256 10 transceiver phy user guide - intel fpga and soc€¦ ·  · 2018-05-21intel® arria® 10...

607
Intel ® Arria ® 10 Transceiver PHY User Guide Updated for Intel ® Quartus ® Prime Design Suite: 18.0 Subscribe Send Feedback UG-01143 | 2018.06.15 Latest document on the web: PDF | HTML

Upload: phungthu

Post on 29-May-2018

269 views

Category:

Documents


1 download

TRANSCRIPT

Page 2: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Contents

1. Arria® 10 Transceiver PHY Overview ..............................................................................81.1. Device Transceiver Layout......................................................................................9

1.1.1. Arria 10 GX Device Transceiver Layout........................................................101.1.2. Arria 10 GT Device Transceiver Layout........................................................ 151.1.3. Arria 10 GX and GT Device Package Details ................................................ 171.1.4. Arria 10 SX Device Transceiver Layout........................................................ 171.1.5. Arria 10 SX Device Package Details............................................................ 19

1.2. Transceiver PHY Architecture Overview.................................................................. 201.2.1. Transceiver Bank Architecture....................................................................201.2.2. PHY Layer Transceiver Components........................................................... 251.2.3. Transceiver Phase-Locked Loops................................................................281.2.4. Clock Generation Block (CGB)...................................................................29

1.3. Calibration.......................................................................................................... 291.4. Intel Arria 10 Transceiver PHY Overview Revision History.......................................... 30

2. Implementing Protocols in Arria 10 Transceivers......................................................... 322.1. Transceiver Design IP Blocks................................................................................. 322.2. Transceiver Design Flow........................................................................................33

2.2.1. Select and Instantiate the PHY IP Core........................................................332.2.2. Configure the PHY IP Core.........................................................................352.2.3. Generate the PHY IP Core......................................................................... 362.2.4. Select the PLL IP Core.............................................................................. 362.2.5. Configure the PLL IP Core........................................................................ 382.2.6. Generate the PLL IP Core ......................................................................... 392.2.7. Reset Controller ......................................................................................392.2.8. Create Reconfiguration Logic..................................................................... 392.2.9. Connect the PHY IP to the PLL IP Core and Reset Controller........................... 402.2.10. Connect Datapath ................................................................................ 402.2.11. Make Analog Parameter Settings ............................................................. 402.2.12. Compile the Design................................................................................ 412.2.13. Verify Design Functionality...................................................................... 41

2.3. Arria 10 Transceiver Protocols and PHY IP Support....................................................412.4. Using the Arria 10 Transceiver Native PHY IP Core....................................................45

2.4.1. Presets...................................................................................................482.4.2. General and Datapath Parameters ............................................................. 482.4.3. PMA Parameters......................................................................................512.4.4. Enhanced PCS Parameters ........................................................................552.4.5. Standard PCS Parameters........................................................................ 622.4.6. PCS Direct ............................................................................................ 672.4.7. Dynamic Reconfiguration Parameters..........................................................672.4.8. PMA Ports.............................................................................................. 732.4.9. Enhanced PCS Ports................................................................................ 762.4.10. Standard PCS Ports................................................................................ 862.4.11. IP Core File Locations............................................................................. 912.4.12. Unused Transceiver RX Channels.............................................................. 932.4.13. Unsupported Features.............................................................................94

2.5. Interlaken..........................................................................................................94

Contents

Intel® Arria® 10 Transceiver PHY User Guide2

Page 3: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.5.1. Metaframe Format and Framing Layer Control Word.....................................952.5.2. Interlaken Configuration Clocking and Bonding............................................972.5.3. How to Implement Interlaken in Arria 10 Transceivers.................................1032.5.4. Design Example..................................................................................... 1062.5.5. Native PHY IP Parameter Settings for Interlaken........................................107

2.6. Ethernet........................................................................................................... 1112.6.1. Gigabit Ethernet (GbE) and GbE with IEEE 1588v2..................................... 1122.6.2. 10GBASE-R, 10GBASE-R with IEEE 1588v2, and 10GBASE-R with FEC

Variants................................................................................................ 1242.6.3. 10GBASE-KR PHY IP Core .......................................................................1352.6.4. 1-Gigabit/10-Gigabit Ethernet (GbE) PHY IP Core....................................... 1642.6.5. 1G/2.5G/5G/10G Multi-rate Ethernet PHY IP Core.......................................1992.6.6. XAUI PHY IP Core...................................................................................2142.6.7. Acronyms.............................................................................................228

2.7. PCI Express (PIPE)............................................................................................ 2292.7.1. Transceiver Channel Datapath for PIPE......................................................2302.7.2. Supported PIPE Features.........................................................................2312.7.3. How to Connect TX PLLs for PIPE Gen1, Gen2, and Gen3 Modes................... 2402.7.4. How to Implement PCI Express (PIPE) in Arria 10 Transceivers....................2462.7.5. Native PHY IP Parameter Settings for PIPE ...............................................2482.7.6. fPLL IP Parameter Core Settings for PIPE................................................... 2532.7.7. ATX PLL IP Parameter Core Settings for PIPE..............................................2552.7.8. Native PHY IP Ports for PIPE................................................................... 2572.7.9. fPLL Ports for PIPE..................................................................................2642.7.10. ATX PLL Ports for PIPE...........................................................................2662.7.11. Preset Mappings to TX De-emphasis........................................................2672.7.12. How to Place Channels for PIPE Configurations......................................... 2682.7.13. PHY IP Core for PCIe (PIPE) Link Equalization for Gen3 Data Rate............... 2742.7.14. Using Transceiver Toolkit (TTK)/System Console/Reconfiguration

Interface to manually tune Arria 10 PCIe designs (Hard IP(HIP) and PIPE)(For debug only).................................................................................... 277

2.8. CPRI................................................................................................................2792.8.1. Transceiver Channel Datapath and Clocking for CPRI...................................2802.8.2. Supported Features for CPRI ..................................................................2812.8.3. Word Aligner in Manual Mode for CPRI.......................................................2832.8.4. How to Implement CPRI in Arria 10 Transceivers........................................ 2842.8.5. Native PHY IP Parameter Settings for CPRI............................................... 285

2.9. Other Protocols..................................................................................................2892.9.1. Using the "Basic (Enhanced PCS)" and "Basic with KR FEC" Configurations

of Enhanced PCS....................................................................................2892.9.2. Using the Basic/Custom, Basic/Custom with Rate Match Configurations of

Standard PCS........................................................................................ 3002.9.3. Design Considerations for Implementing Arria 10 GT Channels..................... 3192.9.4. How to Implement PCS Direct Transceiver Configuration Rule.......................324

2.10. Simulating the Transceiver Native PHY IP Core..................................................... 3252.10.1. NativeLink Simulation Flow.................................................................... 3262.10.2. Scripting IP Simulation..........................................................................3312.10.3. Custom Simulation Flow........................................................................ 332

2.11. Implementing Protocols in Intel Arria 10 Transceivers Revision History.....................335

3. PLLs and Clock Networks............................................................................................ 3473.1. PLLs................................................................................................................. 349

Contents

Intel® Arria® 10 Transceiver PHY User Guide3

Page 4: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

3.1.1. Transmit PLLs Spacing Guideline when using ATX PLLs and fPLLs.................. 3493.1.2. ATX PLL................................................................................................ 3503.1.3. fPLL......................................................................................................3593.1.4. CMU PLL............................................................................................... 368

3.2. Input Reference Clock Sources............................................................................3723.2.1. Dedicated Reference Clock Pins...............................................................3743.2.2. Receiver Input Pins.................................................................................3743.2.3. PLL Cascading as an Input Reference Clock Source..................................... 3753.2.4. Reference Clock Network.........................................................................3753.2.5. Global Clock or Core Clock as an Input Reference Clock...............................375

3.3. Transmitter Clock Network..................................................................................3753.3.1. x1 Clock Lines....................................................................................... 3763.3.2. x6 Clock Lines....................................................................................... 3773.3.3. xN Clock Lines....................................................................................... 3793.3.4. GT Clock Lines....................................................................................... 381

3.4. Clock Generation Block....................................................................................... 3833.5. FPGA Fabric-Transceiver Interface Clocking............................................................ 3843.6. Transmitter Data Path Interface Clocking...............................................................3863.7. Receiver Data Path Interface Clocking...................................................................3873.8. Unused/Idle Clock Line Requirements................................................................... 3893.9. Channel Bonding................................................................................................389

3.9.1. PMA Bonding......................................................................................... 3893.9.2. PMA and PCS Bonding.............................................................................3913.9.3. Selecting Channel Bonding Schemes.........................................................3923.9.4. Skew Calculations.................................................................................. 393

3.10. PLL Feedback and Cascading Clock Network.........................................................3933.11. Using PLLs and Clock Networks.......................................................................... 398

3.11.1. Non-bonded Configurations....................................................................3983.11.2. Bonded Configurations.......................................................................... 4033.11.3. Implementing PLL Cascading..................................................................4083.11.4. Mix and Match Example.........................................................................4093.11.5. Timing Closure Recommendations...........................................................413

3.12. PLLs and Clock Networks Revision History............................................................414

4. Resetting Transceiver Channels.................................................................................. 4164.1. When Is Reset Required? ................................................................................... 4164.2. Transceiver PHY Implementation.......................................................................... 4174.3. How Do I Reset?................................................................................................ 418

4.3.1. Model 1: Default Model........................................................................... 4184.3.2. Model 2: Acknowledgment Model..............................................................4274.3.3. Transceiver Blocks Affected by Reset and Powerdown Signals....................... 432

4.4. Using the Transceiver PHY Reset Controller............................................................ 4334.4.1. Parameterizing the Transceiver PHY Reset Controller IP............................... 4354.4.2. Transceiver PHY Reset Controller Parameters............................................. 4354.4.3. Transceiver PHY Reset Controller Interfaces............................................... 4374.4.4. Transceiver PHY Reset Controller Resource Utilization..................................441

4.5. Using a User-Coded Reset Controller.....................................................................4414.5.1. User-Coded Reset Controller Signals......................................................... 441

4.6. Combining Status or PLL Lock Signals .................................................................. 4424.7. Timing Constraints for Bonded PCS and PMA Channels............................................ 4434.8. Resetting Transceiver Channels Revision History.....................................................445

Contents

Intel® Arria® 10 Transceiver PHY User Guide4

Page 5: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

5. Arria 10 Transceiver PHY Architecture........................................................................ 4475.1. Arria 10 PMA Architecture................................................................................... 447

5.1.1. Transmitter........................................................................................... 4475.1.2. Receiver................................................................................................4505.1.3. Loopback.............................................................................................. 460

5.2. Arria 10 Enhanced PCS Architecture.....................................................................4615.2.1. Transmitter Datapath.............................................................................4625.2.2. Receiver Datapath.................................................................................471

5.3. Arria 10 Standard PCS Architecture..................................................................... 4795.3.1. Transmitter Datapath..............................................................................4805.3.2. Receiver Datapath..................................................................................485

5.4. Arria 10 PCI Express Gen3 PCS Architecture......................................................... 4955.4.1. Transmitter Datapath.............................................................................4965.4.2. Receiver Datapath..................................................................................4975.4.3. PIPE Interface........................................................................................498

5.5. Intel Arria 10 Transceiver PHY Architecture Revision History.....................................499

6. Reconfiguration Interface and Dynamic Reconfiguration .......................................... 5026.1. Reconfiguring Channel and PLL Blocks...................................................................5036.2. Interacting with the Reconfiguration Interface........................................................503

6.2.1. Reading from the Reconfiguration Interface............................................... 5056.2.2. Writing to the Reconfiguration Interface.................................................... 505

6.3. Configuration Files............................................................................................. 5066.4. Multiple Reconfiguration Profiles...........................................................................5096.5. Embedded Reconfiguration Streamer.................................................................... 5106.6. Arbitration.........................................................................................................5126.7. Recommendations for Dynamic Reconfiguration......................................................5156.8. Steps to Perform Dynamic Reconfiguration............................................................ 5166.9. Direct Reconfiguration Flow................................................................................. 5196.10. Native PHY IP or PLL IP Core Guided Reconfiguration Flow..................................... 5196.11. Reconfiguration Flow for Special Cases................................................................ 521

6.11.1. Switching Transmitter PLL ....................................................................5216.11.2. Switching Reference Clocks....................................................................523

6.12. Changing PMA Analog Parameters......................................................................5276.12.1. Changing VOD, Pre-emphasis Using Direct Reconfiguration Flow................. 5306.12.2. Changing CTLE Settings in Manual Mode Using Direct Reconfiguration Flow.. 5316.12.3. CTLE Settings in Triggered Adaptation Mode.............................................5316.12.4. Enabling and Disabling Loopback Modes Using Direct Reconfiguration Flow...533

6.13. Ports and Parameters........................................................................................5356.14. Dynamic Reconfiguration Interface Merging Across Multiple IP Blocks...................... 5426.15. Embedded Debug Features................................................................................ 544

6.15.1. Altera Debug Master Endpoint................................................................ 5446.15.2. Optional Reconfiguration Logic............................................................... 544

6.16. Using Data Pattern Generators and Checkers....................................................... 5506.16.1. Using PRBS Data Pattern Generator and Checker..................................... 5506.16.2. Using Pseudo Random Pattern Mode........................................................559

6.17. Timing Closure Recommendations...................................................................... 5606.18. Unsupported Features.......................................................................................5636.19. Arria 10 Transceiver Register Map.......................................................................5646.20. Reconfiguration Interface and Dynamic Revision History........................................ 564

Contents

Intel® Arria® 10 Transceiver PHY User Guide5

Page 6: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

7. Calibration.................................................................................................................. 5677.1. Reconfiguration Interface and Arbitration with PreSICE Calibration Engine .................5677.2. Calibration Registers...........................................................................................569

7.2.1. Avalon-MM Interface Arbitration Registers................................................. 5697.2.2. Transceiver Channel Calibration Registers.................................................. 5707.2.3. Fractional PLL Calibration Registers...........................................................5707.2.4. ATX PLL Calibration Registers...................................................................5717.2.5. Capability Registers................................................................................5717.2.6. Rate Switch Flag Register........................................................................ 573

7.3. Power-up Calibration.......................................................................................... 5747.4. User Recalibration.............................................................................................. 576

7.4.1. Recalibration After Transceiver Reference Clock Frequency or Data RateChange.................................................................................................579

7.5. Calibration Example............................................................................................5817.5.1. ATX PLL Recalibration............................................................................. 5817.5.2. Fractional PLL Recalibration..................................................................... 5817.5.3. CDR/CMU PLL Recalibration..................................................................... 5827.5.4. PMA Recalibration...................................................................................582

7.6. Calibration Revision History................................................................................. 583

8. Analog Parameter Settings........................................................................................ 5858.1. Making Analog Parameter Settings using the Assignment Editor................................5858.2. Updating Quartus Settings File with the Known Assignment.................................... 5858.3. Analog Parameter Settings List............................................................................5868.4. Receiver General Analog Settings........................................................................ 588

8.4.1. XCVR_A10_RX_LINK..............................................................................5888.4.2. XCVR_A10_RX_TERM_SEL......................................................................5898.4.3. XCVR_VCCR_VCCT_VOLTAGE - RX............................................................589

8.5. Receiver Analog Equalization Settings.................................................................. 5908.5.1. CTLE Settings........................................................................................ 5908.5.2. VGA Settings......................................................................................... 5938.5.3. Decision Feedback Equalizer (DFE) Settings.............................................. 594

8.6. Transmitter General Analog Settings.................................................................... 5968.6.1. XCVR_A10_TX_LINK..............................................................................5968.6.2. XCVR_A10_TX_TERM_SEL.......................................................................5978.6.3. XCVR_A10_TX_COMPENSATION_EN........................................................ 5978.6.4. XCVR_VCCR_VCCT_VOLTAGE - TX............................................................ 5988.6.5. XCVR_A10_TX_SLEW_RATE_CTRL............................................................ 599

8.7. Transmitter Pre-Emphasis Analog Settings............................................................6008.7.1. XCVR_A10_TX_PRE_EMP_SIGN_PRE_TAP_1T............................................6008.7.2. XCVR_A10_TX_PRE_EMP_SIGN_PRE_TAP_2T............................................6008.7.3. XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP........................................6018.7.4. XCVR_A10_TX_PRE_EMP_SIGN_2ND_POST_TAP....................................... 6018.7.5. XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_PRE_TAP_1T......................... 6028.7.6. XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_PRE_TAP_2T......................... 6028.7.7. XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP..................... 6038.7.8. XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_2ND_POST_TAP.....................604

8.8. Transmitter VOD Settings....................................................................................6048.8.1. XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL.............................................604

8.9. Dedicated Reference Clock Settings...................................................................... 6058.9.1. XCVR_A10_REFCLK_TERM_TRISTATE.......................................................605

Contents

Intel® Arria® 10 Transceiver PHY User Guide6

Page 7: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

8.9.2. XCVR_A10_TX_XTX_PATH_ANALOG_MODE................................................6068.10. Unused Transceiver RX Channels Settings............................................................6068.11. Analog Parameter Settings Revision History......................................................... 606

Contents

Intel® Arria® 10 Transceiver PHY User Guide7

Page 8: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

1. Arria® 10 Transceiver PHY OverviewThis user guide provides details about the Arria® 10 transceiver physical (PHY) layerarchitecture, PLLs, clock networks, and transceiver PHY IP. It also provides protocolspecific implementation details and describes features such as transceiver reset anddynamic reconfiguration of transceiver channels and PLLs.

Intel® Arria 10 FPGAs offer up to 96 GX transceiver channels with integrated advancedhigh speed analog signal conditioning and clock data recovery techniques for chip-to-chip, chip-to-module, and backplane applications.

The Arria 10 GX and SX devices have GX transceiver channels that can support datarates up to 17.4 Gbps for chip-to-chip applications and 12.5 Gbps for backplaneapplications.

The Arria 10 GT device has up to 6 GT transceiver channels, that can support datarates up to 25.8 Gbps for short reach chip-to-chip and chip-to-module applications.Additionally, the GT devices have GX transceiver channels that can support data ratesup to 17.4 Gbps for chip-to-chip and 12.5 Gbps for backplane applications. If all 6 GTchannels are used in GT mode, then the GT device also has up to 54 GX transceiverchannels.

The Arria 10 transceivers support reduced power modes with data rates up to 11.3Gbps (chip-to-chip) for critical power sensitive designs. In GX devices that havetransceivers on both sides of the device, each side can be operated independently instandard and reduced power modes. You can achieve transmit and receive data ratesbelow 1.0 Gbps with oversampling.

Table 1. Data Rates Supported by GX Transceiver Channel Type

Device Variant Standard Power Mode (1), (2) Reduced Power Mode (1), (2)

Chip-to-Chip Backplane Chip-to-Chip

SX (3) 1.0 Gbps to 17.4 Gbps 1.0 Gbps to 12.5 Gbps 1.0 Gbps to 11.3 Gbps

GX(3) 1.0 Gbps to 17.4 Gbps 1.0 Gbps to 12.5 Gbps 1.0 Gbps to 11.3 Gbps

GT (4) 1.0 Gbps to 17.4 Gbps 1.0 Gbps to 12.5 Gbps 1.0 Gbps to 11.3 Gbps

(1) To operate GX transceiver channels at designated data rates in standard and reduced powermodes, apply the corresponding core and periphery power supplies. Refer to the Arria 10Device Datasheet for more details.

(2) The minimum operational data rate is 1.0 Gbps for both the transmitter and receiver. Fortransmitter data rates less than 1.0 Gbps, oversampling must be applied at the transmitter. Forreceiver data rates less than 1.0 Gbps, oversampling must be applied at the receiver.

(3) For SX and GX device variants, the maximum transceiver data rates are specified for thefastest (–1) transceiver speed grade.

UG-01143 | 2018.06.15

Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartusand Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or othercountries. Intel warrants performance of its FPGA and semiconductor products to current specifications inaccordance with Intel's standard warranty, but reserves the right to make changes to any products and servicesat any time without notice. Intel assumes no responsibility or liability arising out of the application or use of anyinformation, product, or service described herein except as expressly agreed to in writing by Intel. Intelcustomers are advised to obtain the latest version of device specifications before relying on any publishedinformation and before placing orders for products or services.*Other names and brands may be claimed as the property of others.

ISO9001:2008Registered

Page 9: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 2. Data Rates Supported by GT Transceiver Channel Type

Device Variant (4) Data Rates(5), (2)

Chip-to-Chip Backplane

GT 1.0 Gbps to 25.8 Gbps 1.0 Gbps to 12.5 Gbps

Note: The device data rates depend on the device speed grade. Refer to IntelArria 10 DeviceDatasheet for details on available speed grades and supported data rates.

Related Information

• IntelArria 10 Device Datasheet

• IntelArria 10 Device Overview

1.1. Device Transceiver Layout

Figure 1. Arria 10 FPGA Architecture Block DiagramThe transceiver channels are placed on the left side periphery in most Arria 10 devices. For larger Arria 10devices, additional transceiver channels are placed on the right side periphery.

Core

Logic

Fabr

ic

M20

K Int

erna

l Mem

ory B

locks

Trans

ceive

r Cha

nnels

Hard

IP Pe

r Tra

nsce

iver:

Stan

dard

PCS,

PCIe

Gen3

PCS

, Enh

ance

d PCS

PCI E

xpre

ss Ge

n3 H

ard I

P PL

Ls

M20

K Int

erna

l Mem

ory B

locks

PCI E

xpre

ss Ge

n3 H

ard I

P

Varia

ble Pr

ecisi

on D

SP Bl

ocks

I/O PL

LsHa

rd M

emor

y Con

trolle

rs, G

ener

al-Pu

rpos

e I/O

Cells

, LVD

S

M20

K Int

erna

l Mem

ory B

locks

M20

K Int

erna

l Mem

ory B

locks

Varia

ble Pr

ecisi

on D

SP Bl

ocks

Core

Logic

Fabr

ic

I/O PL

LsHa

rd M

emor

y Con

trolle

rs, G

ener

al-Pu

rpos

e I/O

Cells

, LVD

S

M20

K Int

erna

l Mem

ory B

locks

M20

K Int

erna

l Mem

ory B

locks

Varia

ble Pr

ecisi

on D

SP Bl

ocks

Trans

ceive

r Cha

nnels

PCI E

xpre

ss Ge

n3 H

ard I

PPC

I Exp

ress

Gen3

Har

d IP

PLLs

Hard

IP Pe

r Tra

nsce

iver:

Stan

dard

PCS,

PCIe

Gen3

PCS,

Enha

nced

PCS

(4) For GT device variants, the maximum transceiver data rates are specified for (-1) transceiverspeed grade.

(5) Because the GT transceiver channels are designed for peak performance, they do not have areduced power mode of operation.

1. Arria® 10 Transceiver PHY Overview

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide9

Page 10: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

1.1.1. Arria 10 GX Device Transceiver Layout

The largest Arria 10 GX device includes 96 transceiver channels. A column array ofeight transceiver banks on the left and the right side periphery of the device is shownin the following figure. Each transceiver bank has six transceiver channels. Somedevices have transceiver banks with only three channels. The transceiver banks withonly three channels are the uppermost transceiver banks. Arria 10 devices also includePCI Express* Hard IP blocks.

The figures below illustrate different transceiver bank layouts for Arria 10 GX devicevariants.

For more information about PCIe* Hard IP transceiver placements, refer to RelatedInformation at the end of this section.

1. Arria® 10 Transceiver PHY Overview

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide10

Page 11: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 2. Arria 10 GX Devices with 96 Transceiver Channels and Four PCIe Hard IPBlocks

TransceiverBank

TransceiverBank

TransceiverBank

GXBL1J

TransceiverBank

GXBL1I

TransceiverBank

GXBL1H

TransceiverBank

TransceiverBank

GXBL1F

TransceiverBank

TransceiverBank

GXBL1D

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

GXBL1G

TransceiverBank

TransceiverBank

GXBL1E

TransceiverBank

TransceiverBank

GXBL1C

GXBR4J

TransceiverBank

GXBR4I

GXBR4H

TransceiverBank

GXBR4G

TransceiverBank

GXBR4F

TransceiverBank

GXBR4E

TransceiverBank

GXBR4D

TransceiverBank

GXBR4C

PCIeGen1 - Gen3

Hard IP

CH5CH4CH3CH2CH1CH0

TransceiverBank

Notes:(1) Nomenclature of left column bottom transceiver banks always ends with “C”.(2) Nomenclature of right column bottom transceiver banks may end with “C”, “D”, or “E”.

(1) (2)

Legend:

PCIe Gen1 - Gen3 Hard IP blocks with Configuration via Protocol (CvP) capabilities.

PCIe Gen1 - Gen3 Hard IP blocks without Configuration via Protocol (CvP) capabilities.

GX 115 UF45GX 090 UF45

PCIeGen1 - Gen3

Hard IP(with CvP)

PCIeGen1 - Gen3

Hard IP

PCIeGen1 - Gen3

Hard IP

Arria 10 GX device with 96 transceiver channels and four PCIe Hard IP blocks.

1. Arria® 10 Transceiver PHY Overview

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide11

Page 12: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 3. Arria 10 GX Devices with 72 and 48 Transceiver Channels and Four PCIe HardIP Blocks.

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

CH5CH4CH3CH2CH1CH0

TransceiverBank

GXBL1H

GXBL1G

GXBL1F

GXBL1E

GXBL1D

GXBL1C

GXBR4H

GXBR4G

GXBR4F

GXBR4E

GXBR4D

GXBR4C(1) (2)

Notes:(1) Nomenclature of left column bottom transceiver banks always ends with “C”.(2) Nomenclature of right column bottom transceiver banks may end with “C”, “D”, or “E”.

GX 115 SF45GX 090 SF45

GX 115 NF45GX 090 NF45

PCIeGen1 - Gen3

Hard IP

PCIeGen1 - Gen3

Hard IP

PCIeGen1 - Gen3

Hard IP(with CvP)

PCIeGen1 - Gen3

Hard IP

Legend:

PCIe Gen1 - Gen3 Hard IP blocks with Configuration via Protocol (CvP) capabilities.

PCIe Gen1 - Gen3 Hard IP blocks without Configuration via Protocol (CvP) capabilities.

Arria 10 GX device with 48 transceiver channels and four PCIe Hard IP blocks.

Arria 10 GX device with 72 transceiver channels and four PCIe Hard IP blocks.

1. Arria® 10 Transceiver PHY Overview

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide12

Page 13: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 4. Arria 10 GX Devices with 66 Transceiver Channels and Three PCIe Hard IPBlocks

TransceiverBank

TransceiverBank

GXBL1H

TransceiverBank

GXBL1G

TransceiverBank

GXBL1F

TransceiverBank

GXBL1E

TransceiverBank

GXBL1D

TransceiverBank

GXBL1C

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

GXBR4J

TransceiverBank

GXBR4I

TransceiverBank

GXBR4H

TransceiverBank

GXBR4G

TransceiverBank

GXBR4F

TransceiverBank

GXBR4E

CH5CH4CH3CH2CH1CH0

TransceiverBank

GX 115 RF40GX 090 RF40

CH2CH1CH0

TransceiverBank

(1) (2)

Notes:(1) Nomenclature of left column bottom transceiver banks always ends with “C”.(2) Nomenclature of right column bottom transceiver banks may end with “C”, “D”, or “E”.

PCIeGen1 - Gen3

Hard IP

PCIeGen1 - Gen3

Hard IP(with CvP)

PCIeGen1 - Gen3

Hard IP

Legend:

PCIe Gen1 - Gen3 Hard IP blocks with Configuration via Protocol (CvP) capabilities.

PCIe Gen1 - Gen3 Hard IP blocks without Configuration via Protocol (CvP) capabilities.

Arria 10 GX device with 66 transceiver channels and three PCIe Hard IP blocks.

1. Arria® 10 Transceiver PHY Overview

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide13

Page 14: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 5. Arria 10 GX Devices with 48, 36, and 24 Transceiver Channels and Two PCIeHard IP Blocks

TransceiverBank

TransceiverBank

GXBL1I

TransceiverBank

GXBL1H

TransceiverBank

GXBL1G

TransceiverBank

GXBL1F

TransceiverBank

GXBL1E

TransceiverBank

GXBL1D

TransceiverBank

GXBL1C

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

GX 115 NF40GX 090 NF40GX 066 NF40GX 057 NF40

GX 066 KF35GX 057 KF35GX 048 KF35

GX 115 HF34GX 090 HF34GX 066 HF34GX 057 HF34GX 048 HF34GX 032 HF35GX 032 HF34GX 027 HF35GX 027 HF34

CH5CH4CH3CH2CH1CH0

TransceiverBank

GXBL1J

GXBL1C

GXBL1D

GXBL1E

GXBL1F

GXBL1G

GXBL1H

GXBL1I

GXBL1J

Note:(1) These devices have transceivers only on the left hand side of the device.

GX 066 KF40GX 057 KF40

PCIeGen1 - Gen3

Hard IP

PCIeGen1 - Gen3

Hard IP(with CvP)

Legend:

PCIe Gen1 - Gen3 Hard IP blocks with Configuration via Protocol (CvP) capabilities.

PCIe Gen1 - Gen3 Hard IP blocks without Configuration via Protocol (CvP) capabilities.

Arria 10 GX device with 48 transceiver channels and two PCIe Hard IP blocks.

Arria 10 GX device with 36 transceiver channels and two PCIe Hard IP blocks.

Arria 10 GX device with 24 transceiver channels and two PCIe Hard IP blocks.

1. Arria® 10 Transceiver PHY Overview

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide14

Page 15: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 6. Arria 10 GX Devices with 12 Transceiver Channels and One PCIe Hard IPBlock

TransceiverBank

GXBL1D

TransceiverBank

GXBL1C

TransceiverBank

TransceiverBank

GX 048 EF29GX 032 EF29GX 027 EF29GX 032 EF27GX 027 EF27GX 022 EF29GX 022 EF27GX 016 EF29GX 016 EF27

CH5CH4CH3CH2CH1CH0

TransceiverBank

Note:(1) These devices have transceivers only on the left hand side of the device.

Legend:

PCIe Gen1 - Gen3 Hard IP blocks with Configuration via Protocol (CvP) capabilities.

Arria 10 GX device with 12 transceiver channels and one PCIe Hard IP block.

PCIeGen1 - Gen3

Hard IP(with CvP)

Figure 7. Arria 10 GX Devices with 6 Transceiver Channels and One PCIe Hard IP Block

TransceiverBank

GXBL1C TransceiverBank

PCIe Hard IP GX 022 CU19GX 016 CU19

CH5CH4CH3CH2CH1CH0

TransceiverBank

GXBL1C

Note:

(2) These devices have transceivers only on the left hand side of the device.

Legend:

PCIe Gen1 - Gen3 Hard IP block with Configuration via Protocol (CvP) capabilities.

Arria 10 GX device with six transceiver channels and one PCIe Hard IP block.

(1)

(1) Only CH5 and CH4 support PCIe Hard IP block with CvP capabilities.

Related Information

• IntelArria 10 Avalon-ST Interface for PCIe Solutions User Guide

• IntelArria 10 Avalon-MM Interface for PCIe Solutions User Guide

• IntelArria 10 Avalon-MM DMA Interface for PCIe Solutions User Guide

• IntelArria 10 Avalon-ST Interface with SR-IOV PCIe Solutions User Guide

1.1.2. Arria 10 GT Device Transceiver Layout

The Arria 10 GT device has 72 transceiver channels and four PCI Express Hard IPblocks. A total of 6 GT transceiver channels that can support data rates up to 25.8Gbps.

In the GT device, transceiver banks GXBL1E, GXBL1G, and GXBL1H each contain twoGT transceiver channels. Transceiver banks GXBL1E and GXBL1H channels 3 and 4 canbe used as GT or GX transceiver channel. Transceiver bank GXBL1G channels 0 and 1can be used as GT or GX transceiver channels. When none of the GT capabletransceiver channels are used as GT transceiver channels, the entire transceiver

1. Arria® 10 Transceiver PHY Overview

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide15

Page 16: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

channels in the bank can be reconfigured as GX transceiver channels. However, whenany of the GT capable transceiver channels in transceiver banks GXBL1E, GXBL1G,and GXBL1H is enabled as a GT transceiver channel, the remaining channels in thetransceiver bank cannot be used with the exception of the other GT capable channel inthe transceiver bank.

If you're using GT transceivers in bank GXBL1E, then the adjacent PCIe Hard IP blockcannot be used.

Figure 8. Arria 10 GT Device with 72 Transceiver Channels and Four PCIe Hard IPBlocks

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank (3)

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

GT 115 SF45GT 090 SF45

GT ChannelsCapable of ShortReach 25.8 Gbps

GXBL1C

GXBL1D

GXBL1E

GXBL1F

GXBL1G

GXBL1H

GXBR4C

GXBR4D

GXBR4E

GXBR4F

GXBR4G

GXBR4H

Notes:(1) Nomenclature of left column bottom transceiver banks always end with “C”.(2) Nomenclature of right column bottom transceiver banks may end with “C”, “D”, or “E”.(3) If a GT channel is used in transceiver bank GXBL1E, the PCIe Hard IP adjacent to GXBL1F and GXBL1E cannot be used.

(1) (2)

GX or RestrictedGT or GXGT or GXGX or Restricted

CH5CH4CH3CH2CH1CH0 PCIe

Gen1 - Gen3Hard IP

PCIeGen1 - Gen3

Hard IP

PCIeGen1 - Gen3

Hard IP

PCIeGen1 - Gen3

(with CvP)Hard IP

Legend:

GX transceiver channels (channel 2 and 5) with usage restrictions.

GT transceiver channels (channel 0, 1, 3, and 4).

PCIe Gen1 - Gen3 Hard IP blocks with Configuration via Protocol (CvP) capabilities.

PCIe Gen1 - Gen3 Hard IP blocks without Configuration via Protocol (CvP) capabilities.

GX transceiver channels without usage restrictions.

GX or Restricted

GX or RestrictedGT or GXGT or GX

CH5CH4CH3CH2CH1CH0

GX or RestrictedGX or Restricted

GX or RestrictedGX or Restricted

The GT device has 72 transceiver channels, which include 6 GT transceiver channelssupporting data rates greater than 17.4 Gbps. If all six GT transceiver channels areused in GT mode, there are 54 GX transceiver channels that can drive chip to chipdata rates up to 17.4 Gbps and backplanes at data rates up to 12.5 Gbps and 12 GXchannels that are unusable.

In the GT device, the GX transceiver channels on the entire right side can be used instandard or reduced power mode. In GT devices where none of the GT channels areused to operate in GT data rates above 17.4 Gbps, the transceiver channels on eitherthe entire right side or entire left side can be used as GX channels in standard orreduced power mode.

Related Information

• IntelArria 10 Avalon-ST Interface for PCIe Solutions User Guide

• IntelArria 10 Avalon-MM Interface for PCIe Solutions User Guide

• IntelArria 10 Avalon-MM DMA Interface for PCIe Solutions User Guide

1. Arria® 10 Transceiver PHY Overview

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide16

Page 17: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

• IntelArria 10 Avalon-ST Interface with SR-IOV PCIe Solutions User Guide

1.1.3. Arria 10 GX and GT Device Package Details

The following tables list package sizes, available transceiver channels, and PCI ExpressHard IP blocks for Arria 10 GX and GT devices.

Table 3. Package Details for GX Devices with Transceivers and Hard IP Blocks Locatedon the Left Side Periphery of the Device• Package U19: 19mm x 19mm package; 484 pins.

• Package F27: 27mm x 27mm package; 672 pins.

• Package F29: 29mm x 29mm package; 780 pins.

• Packages F34 and F35: 35 mm x 35 mm package size; 1152 pins.

• Package F40: 40 mm x 40 mm package size; 1517 pins. K = 36 transceiver channels, N = 48 transceiverchannels.

Device U19 F27 F29 F34 F35 K F40 N F40

Transceiver Count, PCIe Hard IP Block Count

GX 016 6, 1 12, 1 12, 1

GX 022 6, 1 12, 1 12, 1

GX 027 12, 1 12, 1 24, 2 24, 2

GX 032 12, 1 12, 1 24, 2 24, 2

GX 048 12, 1 24, 2 36, 2

GX 057 24, 2 36, 2 36, 2 48, 2

GX 066 24, 2 36, 2 36, 2 48, 2

GX 090 24, 2 48, 2

GX 115 24, 2 48, 2

Table 4. Package Details for GX and GT Devices with Transceivers and Hard IP BlocksLocated on the Left and Right Side Periphery of the Device• Package F40: 40 mm x 40 mm package size; 1517 pins. R = 66 transceiver channels.

• Package F45: 45mm x 45mm package size; 1932 pins. N = 48 transceiver channels, S = 72 transceiverchannels, U = 96 transceiver channels.

• If you're using GT transceivers in bank GXBL1E, the nth adjacent PCIe Hard IP block cannot be used.

Device R F40 N F45 S F45 U F45

Transceiver Count, PCIe Hard IP Block Count

GX 090 66, 3 48, 4 72, 4 96, 4

GX 115 66, 3 48, 4 72, 4 96, 4

GT 090 72, 4

GT 115 72, 4

1.1.4. Arria 10 SX Device Transceiver Layout

The largest SX device includes 48 transceiver channels. All SX devices include GXtransceiver channel type. The transceiver banks in SX devices are located on the leftside periphery of the device.

1. Arria® 10 Transceiver PHY Overview

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide17

Page 18: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

For more information about PCIe Hard IP transceiver placements, refer to RelatedInformation at the end of this section.

Figure 9. Arria 10 SX Device with 48, 36, and 24 Transceiver Channels and Two Hard IPBlocks

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

CH5CH4CH3CH2CH1CH0

TransceiverBank

SX 066 NF40SX 057 NF40

SX 066 KF35

SX 057 KF35SX 048 KF35

SX 066 HF34SX 057 HF34SX 048 HF34SX 032 HF35SX 032 HF34SX 027 HF35

SX 027 HF34

GXBL1C

GXBL1D

GXBL1E

GXBL1F

GXBL1G

GXBL1H

GXBL1I

GXBL1J

Note:(1) These devices have transceivers only on the left hand side of the device.

Legend:

PCIe Gen1- Gen3 Hard IP blocks with Configuration via Protocol (CvP) capabilities.

PCIe Gen1 - Gen3 Hard IP blocks without Configuration via Protocol (CvP) capabilities.

PCIeGen1 - Gen3

Hard IP

PCIeGen1 - Gen3

(with CvP)Hard IP

Arria 10 SX device with 24 transceiver channels and two PCIe Hard IP blocks.

Arria 10 SX device with 36 transceiver channels and two PCIe Hard IP blocks.

Arria 10 SX device with 48 transceiver channels and two PCIe Hard IP blocks.

SX 066 KF40

SX 057 KF40

1. Arria® 10 Transceiver PHY Overview

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide18

Page 19: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 10. Arria 10 SX Device with 12 Transceiver Channels and One Hard IP Block

TransceiverBank

TransceiverBank

TransceiverBank

TransceiverBank

PCIeGen1 - Gen3

Hard IP(with CvP)

CH5CH4CH3CH2CH1CH0

TransceiverBank

SX 022 EF29SX 022 EF27SX 016 EF29SX 016 EF27

SX 048 EF29SX 032 EF29SX 032 EF27SX 027 EF29SX 027 EF27

GXBL1D

GXBL1C

GXBL1D

GXBL1C

Note:(1) These devices have transceivers only on the left hand side of the device.

Legend:

PCIe Gen1 - Gen3 Hard IP blocks with Configuration via Protocol (CvP) capabilities.

Arria 10 SX device with 12 transceiver channels and one Hard IP block.

Figure 11. Arria 10 SX Device with Six Transceiver Channels and One Hard IP Block

TransceiverBank

GXBL1C TransceiverBank

PCIe Hard IP SX 022 CU19SX 016 CU19

CH5CH4CH3CH2CH1CH0

TransceiverBank

Legend:

PCIe Gen1 - Gen3 Hard IP block with Configuration via Protocol (CvP) capabilities.

Arria 10 SX device with six transceiver channels and one PCIe Hard IP block.

Note:

(2) These devices have transceivers only on the left hand side of the device.(1) Only CH5 and CH4 support PCIe Hard IP block with Configuration via Protocol (CvP) capabilities.

(1)

Related Information

• IntelArria 10 Avalon-ST Interface for PCIe Solutions User Guide

• IntelArria 10 Avalon-MM Interface for PCIe Solutions User Guide

• IntelArria 10 Avalon-MM DMA Interface for PCIe Solutions User Guide

• IntelArria 10 Avalon-ST Interface with SR-IOV PCIe Solutions User Guide

1.1.5. Arria 10 SX Device Package Details

The following tables list package sizes, available transceiver channels, and PCI ExpressHard IP blocks for Arria 10 SX devices.

1. Arria® 10 Transceiver PHY Overview

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide19

Page 20: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 5. Package Details for SX Devices with Transceivers and Hard IP Blocks Locatedon the Left Side Periphery of the Device• Package U19: 19mm x 19mm package; 484 pins.

• Package F27: 27mm x 27mm package; 672 pins.

• Package F29: 29mm x 29mm package; 780 pins.

• Packages F34 and F35: 35 mm x 35 mm package size; 1152 pins.

• Package F40: 40 mm x 40 mm package size; 1517 pins. K = 36 transceiver channels, N = 48 transceiverchannels.

Device U19 F27 F29 F34 F35 K F40 N F40

Transceiver Count, PCIe Hard IP Block Count

SX 016 6, 1 12, 1 12, 1

SX 022 6, 1 12, 1 12, 1

SX 027 12, 1 12, 1 24, 2 24, 2

SX 032 12, 1 12, 1 24, 2 24, 2

SX 048 12, 1 24, 2 36, 2

SX 057 24, 2 36, 2 36, 2 48, 2

SX 066 24, 2 36, 2 36, 2 48, 2

1.2. Transceiver PHY Architecture Overview

A link is defined as a single entity communication port. A link can have one or moretransceiver channels. A transceiver channel is synonymous with a transceiver lane.

For example, a 10GBASE-R link has one transceiver channel or lane with a data rate of10.3125 Gbps. A 40GBASE-R link has four transceiver channels. Each transceiverchannel operates at a lane data rate of 10.3125 Gbps. Four transceiver channels givea total collective link bandwidth of 41.25 Gbps (40 Gbps before and after 64B/66BPhysical Coding Sublayer (PCS) encoding and decoding).

1.2.1. Transceiver Bank Architecture

The transceiver bank is the fundamental unit that contains all the functional blocksrelated to the device's high speed serial transceivers.

Each transceiver bank includes six transceiver channels in all devices except for thedevices with 66 transceiver channels. Devices with 66 transceiver channels have bothsix channel and three channel transceiver banks. The uppermost transceiver bank onthe left and the right side of these devices is a three channel transceiver bank. Allother devices contain only six channel transceiver banks.

The figures below show the transceiver bank architecture with the phase locked loop(PLL) and clock generation block (CGB) resources available in each bank.

1. Arria® 10 Transceiver PHY Overview

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide20

Page 21: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 12. Three-Channel GX Transceiver Bank Architecture

PMAChannel PLL(CDR Only)

PCS

Local CGB2

CH2

PMAChannel PLL(CMU/CDR)

PCS

Local CGB1

CH1

PMAChannel PLL(CDR Only)

PCS

Local CGB0

CH0

FPGA CoreFabric

Three-Channel GX Transceiver Bank

MasterCGB0

fPLL0

ATXPLL0

ClockDistribution

Network

Note: This figure is a high level overview of the transceiver bank architecture. For detailsabout the available clock networks refer to the PLLs and Clock Networks chapter.

1. Arria® 10 Transceiver PHY Overview

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide21

Page 22: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 13. Six-Channel GX Transceiver Bank Architecture

PMAChannel PLL(CDR Only)

PCS

Local CGB5

CH5

PMAChannel PLL(CMU/CDR)

PCS

Local CGB4

CH4

PMAChannel PLL(CDR Only)

PCS

Local CGB3

CH3

PMAChannel PLL(CDR Only)

PCS

Local CGB2

CH2

PMAChannel PLL(CMU/CDR)

PCS

Local CGB1

CH1

PMAChannel PLL(CDR Only)

PCS

Local CGB0

CH0

FPGA CoreFabric

ClockDistribution

NetworkSix-Channel GX Transceiver Bank

fPLL1

MasterCGB1

MasterCGB0

ATXPLL0

ATXPLL1

fPLL0

Note: This figure is a high level overview of the transceiver bank architecture. For detailsabout the available clock networks refer to the PLLs and Clock Networks chapter.

1. Arria® 10 Transceiver PHY Overview

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide22

Page 23: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 14. GT Transceiver Bank ArchitectureIn the GT device, the transceiver banks GXBL1E, GXBL1G, and GXBL1H include GT channels.

CH1

PMAChannel PLL(CDR Only)

PCS

Local CGB5

CH5

PMAChannel PLL(CMU/CDR)

PCS

Local CGB4

CH4

PMAChannel PLL(CDR Only)

PCS

Local CGB3

CH3

PMAChannel PLL(CDR Only)

PCS

Local CGB2

CH2

PMAChannel PLL(CMU/CDR)

PCS

Local CGB1

PMAChannel PLL(CDR Only)

PCS

Local CGB0

CH0

FPGA CoreFabric

ClockDistribution

NetworkSix-Channel GT Transceiver Bank GXBL1G

fPLL1

MasterCGB1

MasterCGB0

ATXPLL1

ATXPLL0

fPLL0

GX ChannelGT/GX Channel

Legend

Note: This figure is a high level overview of the transceiver bank architecture. For detailsabout the available clock networks refer to the PLLs and Clock Networks chapter.

1. Arria® 10 Transceiver PHY Overview

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide23

Page 24: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 15. GT Transceiver Bank Architecture for Banks GXBL1E and GXBL1H

CH1

PMAChannel PLL(CDR Only)

PCS

Local CGB5

CH5

PMAChannel PLL(CMU/CDR)

PCS

Local CGB4

CH4

PMAChannel PLL(CDR Only)

PCS

Local CGB3

CH3

PMAChannel PLL(CDR Only)

PCS

Local CGB2

CH2

PMAChannel PLL(CMU/CDR)

PCS

Local CGB1

PMAChannel PLL(CDR Only)

PCS

Local CGB0

CH0

FPGA CoreFabric

ClockDistribution

Network

Six-Channel GTTransceiver Banks GXBL1E and GXBL1H

fPLL1

MasterCGB1

MasterCGB0

ATXPLL1

ATXPLL0

fPLL0

GX ChannelGT/GX Channel

Legend

Note: This figure is a high level overview of the transceiver bank architecture. For detailsabout the available clock networks refer to the PLLs and Clock Networks chapter.

The transceiver channels perform all the required PHY layer functions between theFPGA fabric and the physical medium. The high speed clock required by thetransceiver channels is generated by the transceiver PLLs. The master and local clockgeneration blocks (CGBs) provide the necessary high speed serial and low speedparallel clocks to drive the non-bonded and bonded channels in the transceiver bank.

1. Arria® 10 Transceiver PHY Overview

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide24

Page 25: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Related Information

• PLLs and Clock Networks on page 347

• Transceiver BasicsOnline training course for transceivers.

1.2.2. PHY Layer Transceiver Components

Transceivers in Arria 10 devices support both Physical Medium Attachment (PMA) andPhysical Coding Sublayer (PCS) functions at the physical (PHY) layer.

A PMA is the transceiver's electrical interface to the physical medium. The transceiverPMA consists of standard blocks such as:

• serializer/deserializer (SERDES)

• clock and data recovery PLL

• analog front end transmit drivers

• analog front end receive buffers

The PCS can be bypassed with a PCS Direct configuration. Both the PMA and PCSblocks are fed by multiple clock networks driven by high performance PLLs. In PCSDirect configuration, the data flow is through the PCS block, but all the internal PCSblocks are bypassed. In this mode, the PCS functionality is implemented in the FPGAfabric.

1.2.2.1. The GX Transceiver Channel

Figure 16. GX Transceiver Channel in Full Duplex Mode.

Standard PCS

PCIe Gen3 PCS

Enhanced PCSKR FEC

PCS Direct

Hard IP(Optional)

Soft PIPE(Optional)

FPGA FabricTransmitter PCSTransmitter PMA

Serializer

Standard PCS

PCIe Gen3 PCS

Enhanced PCSKR FEC

PCS Direct

Receiver PCSReceiver PMA

DeserializerCDR

Notes:(1) The FPGA Fabric - PCS and PCS-PMA interface widths are configurable.

(1)

(1)

(1)

(1)

Arria 10 GX transceiver channels have three types of PCS blocks that together supportcontinuous data rates between 1.0 Gbps and 17.4 Gbps.

1. Arria® 10 Transceiver PHY Overview

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide25

Page 26: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 6. PCS Types Supported by GX Transceiver Channels

PCS Type Data Rate

Standard PCS 1.0 Gbps to 10.81344 Gbps

Enhanced PCS 1.0 Gbps (6) to 17.4 Gbps

PCIe Gen3 PCS 8 Gbps

Note: 1. The GX channel can also operate in PCS Direct configuration for data rates from1.0 Gbps to 17.4 Gbps. To operate GX transceiver channels in PCS Directdesignated data rates, refer to the IntelArria 10 Device Datasheet for more detailson power supply, speed grade, and transceiver configurations requirement.

2. The minimum operational data rate is 1.0 Gbps for both the transmitter andreceiver. For transmitter data rates less than 1.0 Gbps, oversampling must beapplied at the transmitter. For receiver data rates less than 1.0 Gbps,oversampling must be applied at the receiver.

3. To operate GX transceiver channels with the PCS at designated data rates, refer tothe IntelArria 10 Device Datasheet for more details on power supply, speed grade,and transceiver configurations requirement.

Related Information

IntelArria 10 Device Datasheet

1.2.2.2. The GT Transceiver Channel

The GT transceiver channels are used for supporting data rates from 17.4 Gbps to25.8 Gbps. The PCS Direct datapath that bypasses all PCS blocks is the primaryconfiguration used to support GT data rates from 17.4 Gbps to 25.8 Gbps.Alternatively, the Enhanced PCS in Basic low latency configuration can also be used tosupport GT data rates from 17.4 Gbps to 25.8 Gbps. The GT transceiver channels canalso be configured as GX transceiver channels. When they are configured as GXtransceiver channels, the Standard PCS, Enhanced PCS, and PCIe Gen3 PCS areavailable and they support data rates from 1.0 Gbps to 17.4 Gbps.

(6) Applies when operating in reduced power modes. For standard power modes, the EnhancedPCS minimum data rate is 1600 Mbps.

1. Arria® 10 Transceiver PHY Overview

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide26

Page 27: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 17. GT Transceiver Channel in Full Duplex Mode Operating Between 17.4 Gbpsand 25.8 Gbps

Notes:

(3) The Standard PCS and PCIe Gen3 PCS blocks are available when the GT channel is configured as a GX transceiver channel.

(1) The Enhanced PCS must be configured in Basic low latency mode to support data rate range from 17.4 Gbps to 25.8 Gbps.(2) The FPGA Fabric - PCS and PCS-PMA interface widths are configurable.

Standard PCS

PCIe Gen3 PCS

Enhanced PCSKR FEC

PCS Direct

FPGA FabricTransmitter PCSTransmitter PMA

Serializer

Standard PCS

PCIe Gen3 PCS

Enhanced PCSKR FEC

PCS Direct

Receiver PCSReceiver PMA

DeserializerCDR

(1)

(1)

(2)

(2)

(2)

(2) (3)

(3)

(3)

(3)

Table 7. PCS Types and Data Rates Supported by GT Channel Configurations

GT Channel Configuration PCS Type Data Rates Supported

GT Standard PCS Not available for GT configuration

Enhanced PCS 17.4 Gbps to 25.8 Gbps(7)

PCIe Gen3 PCS Not available for GT configuration

GX Standard PCS 1.0 Gbps to 12 Gbps

Enhanced PCS 1.0 Gbps (8) to 17.4 Gbps

PCIe Gen3 PCS 8 Gbps

Note: 1. The GT channels can also operate in PCS Direct configuration for data rates from1.0 Gbps to 25.8 Gbps. The PCS Direct datapath that bypasses all PCS blocks isthe primary configuration used to support GT data rates from 17.4 Gbps to 25.8Gbps. To operate GX and GT transceiver channels in PCS Direct designated datarates, refer to the IntelArria 10 Device Datasheet for more details on powersupply, speed grade, and transceiver configurations requirement.

2. The minimum operational data rate is 1.0 Gbps for both the transmitter andreceiver. For transmitter data rates less than 1.0 Gbps, oversampling must beapplied at the transmitter. For receiver data rates less than 1.0 Gbps,oversampling must be applied at the receiver.

3. To operate GX and GT transceiver channels with the PCS at designated data rates,refer to the IntelArria 10 Device Datasheet for more details on power supply,speed grade, and transceiver configurations requirement.

(7) The Enhanced PCS must be configured in Basic low latency mode to support data rate rangefrom 17.4 Gbps to 25.8 Gbps.

(8) Applies when operating in reduced power modes. For standard power modes, the EnhancedPCS minimum data rate is 1600 Mbps.

1. Arria® 10 Transceiver PHY Overview

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide27

Page 28: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Related Information

IntelArria 10 Device Datasheet

1.2.3. Transceiver Phase-Locked Loops

Each transceiver channel in Arria 10 devices has direct access to three types of highperformance PLLs:

• Advanced Transmit (ATX) PLL

• Fractional PLL (fPLL)

• Channel PLL / Clock Multiplier Unit (CMU) PLL.

These transceiver PLLs along with the Master or Local Clock Generation Blocks (CGB)drive the transceiver channels.

Related Information

PLLs on page 349For more information on transceiver PLLs in Arria 10 devices.

1.2.3.1. Advanced Transmit (ATX) PLL

An advanced transmit (ATX ) PLL is a high performance PLL. It supports both integerfrequency synthesis and coarse resolution fractional frequency synthesis. The ATX PLLis the transceiver channel’s primary transmit PLL. It can operate over the full range ofsupported data rates required for high data rate applications.

Related Information

• ATX PLL on page 350For more information on ATX PLL.

• ATX PLL IP Core on page 354For details on implementing the ATX PLL IP.

1.2.3.2. Fractional PLL (fPLL)

A fractional PLL (fPLL) is an alternate transmit PLL used for generating lower clockfrequencies for 12.5 Gbps and lower data rate applications. fPLLs support both integerfrequency synthesis and fine resolution fractional frequency synthesis. Unlike the ATXPLL, the fPLL can also be used to synthesize frequencies that can drive the corethrough the FPGA fabric clock networks.

Related Information

• fPLL on page 359For more information on fPLL.

• fPLL IP Core on page 362For details on implementing the fPLL IP.

1.2.3.3. Channel PLL (CMU/CDR PLL)

A channel PLL resides locally within each transceiver channel. Its primary function isclock and data recovery in the transceiver channel when the PLL is used in clock datarecovery (CDR) mode. The channel PLLs of channel 1 and 4 can be used as transmit

1. Arria® 10 Transceiver PHY Overview

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide28

Page 29: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

PLLs when configured in clock multiplier unit (CMU) mode. The channel PLLs ofchannel 0, 2, 3, and 5 cannot be configured in CMU mode and therefore cannot beused as transmit PLLs.

Related Information

• CMU PLL on page 368For more information on CMU PLL.

• CMU PLL IP Core on page 370For information on implementing CMU PLL IP.

1.2.4. Clock Generation Block (CGB)

In Arria 10 devices, there are two types of clock generation blocks (CGBs):

• Master CGB

• Local CGB

Transceiver banks with six transceiver channels have two master CGBs. Master CGB1is located at the top of the transceiver bank and master CGB0 is located at the bottomof the transceiver bank. Transceiver banks with three channels have only one masterCGB. The master CGB divides and distributes bonded clocks to a bonded channelgroup. It also distributes non-bonded clocks to non-bonded channels across the x6/xNclock network.

Each transceiver channel has a local CGB. The local CGB is used for dividing anddistributing non-bonded clocks to its own PCS and PMA blocks.

Related Information

Clock Generation Block on page 383For more information on clock generation block.

1.3. Calibration

Arria 10 FPGAs contain a dedicated calibration engine to compensate for processvariations. The calibration engine calibrates the analog portion of the transceiver toallow both the transmitter and receiver to operate at optimum performance.

The CLKUSR pin clocks the calibration engine. All transceiver reference clocks and theCLKUSR clock must be free running and stable at the start of FPGA configuration tosuccessfully complete the calibration process and for optimal transceiver performance.

Note: For more information about CLKUSR electrical characteristics, refer to IntelArria 10Device Datasheet. The CLKUSR can also be used as an FPGA configuration clock. Forinformation about configuration requirements for the CLKUSR pin, refer to theConfiguration, Design Security, and Remote System Upgrades in Arria 10 Deviceschapter in the Arria 10 Core Fabric and General-Purpose I/O Handbook. For moreinformation about calibration, refer to the Calibration chapter. For more informationabout CLKUSR pin requirements, refer to the IntelArria 10 GX, GT, and SX DeviceFamily Pin Connection Guidelines.

Related Information

• IntelArria 10 Device Datasheet

1. Arria® 10 Transceiver PHY Overview

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide29

Page 30: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

• Configuration, Design Security, and Remote System Upgrades in Arria 10 Devices

• IntelArria 10 GX, GT, and SX Device Family Pin Connection Guidelines

1.4. Intel Arria 10 Transceiver PHY Overview Revision History

DocumentVersion

Changes

2018.06.15 Made the following changes:• Changed the data rate range for the Standard PCS in the "PCS types Supported by GX Transceiver

Channels" table.

2016.05.02 Made the following changes:• Maximum backplane rate updated from 16.0 Gbps to 12.5 Gbps.• No Backplane support when VCCR/T_GXB=0.95 (Low Power Mode).• Added a footnote to refer to Arria 10 Device datasheet for PCS Types Supported by GX and GT

Transceiver Channels.

2016.02.11 Made the following changes:• Changed the "Arria 10 GT Devices with 72 Transceiver Channels and Four PCIe Hard IP Blocks"

figure.• Changed the "GT Transceiver Bank Architecture" figure.• Added the "GT Transceiver Bank Architecture for Banks GXBL1E and GXBL1H" figure.

2015.11.02 Made the following changes:• Changed the minimum data rate from 611 Mbps to 1.0 Gbps.• Changed the location of a PCIe Hard IP block in the "Arria 10 GX Devices with 66 Transceiver

Channels and Three PCIe Hard IP Blocks" figure.

2015.05.11 Changed lower limit of supported data rate from 1.0 Gbps to 611 Mbps

2014.12.15 Made the following changes:• Added statement that a 125-Mbps data rate is possible with oversampling in the "Arria 10

Transceiver PHY Overview" section.• Changed the data rate ranges for Standard PCS and Enhanced PCS in the "PCS Types Supported by

GX Transceiver Channels" table.• Changed the note in "The GX Transceiver Channel" section.• Changed the data rate ranges for Standard PCS and Enhanced PCS in the "PCS Types and Data

Rates Supported by GT Channel Configurations" table.• Added a legend entry to the "Arria 10 GT Devices with 96 Transceiver Channels and Four PCIe Hard

IP Blocks" figure.• Added a legend entry to the "Arria 10 GT Devices with 72 Transceiver Channels and Four PCIe Hard

IP Blocks" figure.• Added a legend entry to the "Arria 10 GT Devices with 48 Transceiver Channels and Two PCIe Hard

IP Blocks" figure.• Changed the note to the "PCS Types and Data Rates Supported by GT Channel Configurations"

table.• Changed the Data Rates Supported for GT channel Standard PCS and PCIe Gen3 PCS types in the

"PCS Types and Data Rates Supported by GT Channel Configurations" table.• Added a related link to the Arria 10 GX, GT, and SX Device Family Pin Connection Guidelines in the

"Calibration" section.

2014.08.15 Made the following changes:• Changed the maximum data rate for GT channels to 25.8 Gbps.• Changed minimum data rate supported by GT transceiver channels to 1 Gbps from 611 Mbps.• Changed the figure "Arria 10 GX Devices with Six Transceiver Channels and One PCIe Hard IP

Block" to adda a clarification about PCIe Hard IP block.• Updated the legend for all figures in "Arria 10 GT Device Transceiver Layout" section.• Changed the device package names in Table1-3 and Table 1-4 in "Arria 10 GX and GT Device

Package Details Section."

continued...

1. Arria® 10 Transceiver PHY Overview

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide30

Page 31: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

DocumentVersion

Changes

• Updated figure "Arria 10 SX Device with 48,36, and 24 Transceiver Channels and Two PCIe Hard IPBlocks.

• Updated figure "Arria 10 SX Devices with Six Transceiver Channels and One PCIe Hard IP Block" toadd a clarification about PCIe Hard IP.

• Updated the device package names in Table 1-5 in "Arria 10 SX Device Package Details" section.• Removed all references of the note about PCS-Direct support available in future release.

2013.12.02 Initial release.

1. Arria® 10 Transceiver PHY Overview

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide31

Page 32: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2. Implementing Protocols in Arria 10 Transceivers

2.1. Transceiver Design IP Blocks

Figure 18. Arria 10 Transceiver Design Fundamental Building Blocks

Transceiver PLL IP Core

Master/LocalClock

GenerationBlock

Avalon-MM Master

Reset Ports

Analog and DigitalReset Bus

ReconfigurationRegisters

Avalon-MMInterface

Non-Bonded andBonded Clocks Transceiver PHY IP Core

(1)

Note:

Transceiver PHYReset Controller (2)

Legend:

Intel generated IP block

User created IP block

MAC IP Core / Data Generator /

Data Analyzer

Parallel Data Bus

Avalon master allows access to Avalon-MMreconfiguration registers via the AvalonMemory Mapped interface. It enables PCS,PMA , and PLL reconfiguration. To access the reconfiguration registers, implement anAvalon master in the FPGA fabric. This faciliates reconfiguration by performing reads and writesthrough the Avalon-MM interface.

Transceiver PLL IP core provides a clock sourceto clock networks that drive the transceiverchannels. In Arria 10 devices, PLL IP Core is separate from the transceiver PHY IP core.

Reset controller is used for resetting the transceiver channels.

This block can be either a MAC IP core, ora frame generator / analyzer or adata generator / analyzer.

Transceiver PHY IP core controls the PCS andPMA configurations and transceiver channels functions for all communicationprotocols.

(1) The Transceiver PHY IP core can be one of the supported PHY IP Cores ( For example: Native PHY IP Core, XAUI PHY IP Core, and so on)(2) You can either design your own reset controller or use the Transceiver PHY Reset Controller.

UG-01143 | 2018.06.15

Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartusand Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or othercountries. Intel warrants performance of its FPGA and semiconductor products to current specifications inaccordance with Intel's standard warranty, but reserves the right to make changes to any products and servicesat any time without notice. Intel assumes no responsibility or liability arising out of the application or use of anyinformation, product, or service described herein except as expressly agreed to in writing by Intel. Intelcustomers are advised to obtain the latest version of device specifications before relying on any publishedinformation and before placing orders for products or services.*Other names and brands may be claimed as the property of others.

ISO9001:2008Registered

Page 33: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.2. Transceiver Design Flow

Figure 19. Transceiver Design Flow

Note: The design examples on the Intel FPGA wiki page provide useful guidance for developing your own design.However, the content on the Intel FPGA wiki page is not guaranteed by Intel.

Generate PHY IP Core

Connect Transceiver Datapath to MAC IP Core or to a Data Generator / Analyzer

Select PLL IP CoreGenerate the Transceiver PHY Reset Controller or create your own User-Coded Reset Controller

Compile Design

Verify Design Functionality

Generate PLL IP Core

Configure the PHY IP Core

Select PHY IP Core

Configure the PLL IP Core

Connect PHY IP Core to PLL IP Core, Reset Controller, and connect reconfiguration logic via Avalon-MM interface

Create reconfiguration logic (if needed)

Make analog parameter settings to I/O pins using the Assignment Editor or updating the Quartus Prime Settings File

Related Information

http://www.alterawiki.com

2.2.1. Select and Instantiate the PHY IP Core

Select the appropriate PHY IP core to implement your protocol.

Refer to the Arria 10 Transceiver Protocols and PHY IP Support section to decide whichPHY IP to select to implement your protocol.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide33

Page 34: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

You can create your Quartus® Prime project first, and then instantiate the various IPsrequired for your design. In this case, specify the location to save your IP HDL files.The current version of the PHY IP does not have the option to set the speed grade.Specify the device family and speed grade when you create the Quartus Prime project.

You can also instantiate the PHY IP directly to evaluate the various features.

To instantiate a PHY IP:

1. Open the Quartus Prime software.

2. Click Tools ➤ IP Catalog.

3. At the top of the IP Catalog window, select Arria 10 device family

4. In IP Catalog, under Library ➤ Interface Protocols, select the appropriate PHYIP and then click Add.

5. In the New IP Instance Dialog Box, provide the IP instance name.

6. Select Arria 10 device family.

7. Select the appropriate device and click OK.

The PHY IP Parameter Editor window opens.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide34

Page 35: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 20. Arria 10 Transceiver PHY Types

Related Information

Arria 10 Transceiver Protocols and PHY IP Support on page 41

2.2.2. Configure the PHY IP Core

Configure the PHY IP core by selecting the valid parameters for your design. The validparameter settings are different for each protocol. Refer to the appropriate protocol'ssection for selecting valid parameters for each protocol.

Related Information

• Using the Arria 10 Transceiver Native PHY IP Core on page 45For information on Native PHY IP.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide35

Page 36: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

• Interlaken on page 94

• Gigabit Ethernet (GbE) and GbE with IEEE 1588v2 on page 112

• 10GBASE-R, 10GBASE-R with IEEE 1588v2, and 10GBASE-R with FEC Variants onpage 124

• 10GBASE-KR PHY IP Core on page 135

• 1-Gigabit/10-Gigabit Ethernet (GbE) PHY IP Core on page 164

• PCI Express (PIPE) on page 229

• CPRI on page 279

• Using the "Basic (Enhanced PCS)" and "Basic with KR FEC" Configurations ofEnhanced PCS on page 289

• Using the Basic/Custom, Basic/Custom with Rate Match Configurations of StandardPCS on page 300

• Design Considerations for Implementing Arria 10 GT Channels on page 319

2.2.3. Generate the PHY IP Core

After configuring the PHY IP, complete the following steps to generate the PHY IP.

1. Click the Generate HDL button in the Parameter Editor window. TheGeneration dialog box opens.

2. In Synthesis options, under Create HDL design for synthesis select Verilogor VHDL.

3. Select appropriate Simulation options depending on the choice of the hardwaredescription language you selected under Synthesis options.

4. In Output Directory, select Clear output directories for selected generationtargets if you want to clear any previous IP generation files from the selectedoutput directory.

5. Click Generate.

The Quartus Prime software generates a <phy ip instance name> folder, <phy ipinstance name>_sim folder, <phy ip instance name>.qip file, <phy ip instancename>.qsys file, and <phy ip instance name>.v file or <phy ip instance name>.vhdfile. This <phy ip instance name>.v file is the top level design file for the PHY IP and isplaced in the <phy ip instance name>/synth folder. The other folders contain lowerlevel design files used for simulation and compilation.

Related Information

IP Core File Locations on page 91For more information about IP core file structure

2.2.4. Select the PLL IP Core

Arria 10 devices have three types of PLL IP cores:

• Advanced Transmit (ATX) PLL IP core.

• Fractional PLL (fPLL) IP core.

• Channel PLL / Clock Multiplier Unit (CMU) PLL IP core.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide36

Page 37: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Select the appropriate PLL IP for your design. For additional details, refer to the PLLsand Clock Networks chapter.

To instantiate a PLL IP:

1. Open the Quartus Prime software.

2. Click Tools ➤ IP Catalog.

3. At the top of the IP Catalog window, select Arria 10 device family

4. In IP Catalog, under Library ➤ Basic Functions ➤ Clocks, PLLs, and Resets➤ PLL choose the PLL IP (Arria 10 fPLL, Arria 10 Transceiver ATX PLL, orArria 10 Transceiver CMU PLL) you want to include in your design and thenclick Add.

5. In the New IP Instance Dialog Box, provide the IP instance name.

6. Select Arria 10 device family.

7. Select the appropriate device and click OK.

The PLL IP GUI window opens.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide37

Page 38: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 21. Arria 10 Transceiver PLL Types

Related Information

PLLs on page 349

2.2.5. Configure the PLL IP Core

Understand the available PLLs, clock networks, and the supported clockingconfigurations. Configure the PLL IP to achieve the adequate data rate for your design.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide38

Page 39: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Related Information

• ATX PLL IP Core on page 354

• fPLL IP Core on page 362

• CMU PLL IP Core on page 370

• Using PLLs and Clock Networks on page 398

2.2.6. Generate the PLL IP Core

After configuring the PLL IP core, complete the following steps to generate the PLL IPcore.

1. Click the Generate HDL button in the Parameter Editor window. TheGeneration dialog box opens.

2. In Synthesis options, under Create HDL design for synthesis select Verilogor VHDL.

3. Select appropriate Simulation options depending on the choice of the hardwaredescription language you selected under Synthesis options.

4. In Output Directory, select Clear output directories for selected generationtargets if you want to clear any previous IP generation files from the selectedoutput directory.

5. Click Generate.

The Quartus ® Prime software generates a <pll ip core instance name> folder, <pll ipcore instance name>_sim folder, <pll ip core instance name>.qip file, <pll ip coreinstance name>.qsys, and <pll ip core instance name>.v file or <pll ip core instancename>.vhd file. The <pll ip core instance name>.v file is the top level design file forthe PLL IP core and is placed in the <pll ip core instance name>/ synth folder. Theother folders contain lower level design files used for simulation and compilation.

Related Information

IP Core File Locations on page 91For more information about IP core file structure

2.2.7. Reset Controller

There are two methods to reset the transceivers in Arria 10 devices:

• Use the Transceiver PHY Reset Controller.

• Create your own reset controller that follows the recommended reset sequence.

Related Information

Resetting Transceiver Channels on page 416

2.2.8. Create Reconfiguration Logic

Dynamic reconfiguration is the ability to dynamically modify the transceiver channelsand PLL settings during device operation. To support dynamic reconfiguration, yourdesign must include an Avalon master that can access the dynamic reconfigurationregisters using the Avalon-MM interface.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide39

Page 40: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The Avalon-MM master enables PLL and channel reconfiguration. You can dynamicallyadjust the PMA parameters, such as differential output voltage swing (Vod), and pre-emphasis settings. This adjustment can be done by writing to the Avalon-MMreconfiguration registers through the user generated Avalon-MM master.

For detailed information on dynamic reconfiguration, refer to Reconfiguration Interfaceand Dynamic Reconfiguration chapter.

Related Information

Reconfiguration Interface and Dynamic Reconfiguration on page 502

2.2.9. Connect the PHY IP to the PLL IP Core and Reset Controller

Connect the PHY IP, PLL IP core, and the reset controller. Write the top level module toconnect all the IP blocks.

All of the I/O ports for each IP, can be seen in the <phy instance name>.v file or <phyinstance name>.vhd, and in the <phy_instance_name>_bb.v file.

For more information about description of the ports, refer to the ports tables in thePLLs, Using the Transceiver Native PHY IP Core, and Resetting Transceiver Channelschapters.

Related Information

• Enhanced PCS Ports on page 76

• Standard PCS Ports on page 86

• Resetting Transceiver Channels on page 416

• Using the Arria 10 Transceiver Native PHY IP Core on page 45

• PLLs and Clock Networks on page 347

2.2.10. Connect Datapath

Connect the transceiver PHY layer design to the Media Access Controller (MAC) IP coreor to a data generator / analyzer or a frame generator / analyzer.

2.2.11. Make Analog Parameter Settings

Make analog parameter settings to I/O pins using the Assignment Editor or updatingthe Quartus Prime Settings File.

After verifying your design functionality, make pin assignments and PMA analogparameter settings for the transceiver pins.

1. Assign FPGA pins to all the transceiver and reference clock I/O pins. For moredetails, refer to the Arria 10 Pin Connection Guidelines.

2. Set the analog parameters to the transmitter, receiver, and reference clock pinsusing the Assignment Editor.All of the pin assignments and analog parameters set using the Pin Planner andthe Assignment Editor are saved in the <top_level_project_name>.qsf file. Youcan also directly modify the Quartus Settings File (.qsf) to set PMA analogparameters.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide40

Page 41: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Related Information

• Analog Parameter Settings on page 585

• Arria 10 Pin Connection Guidelines

2.2.12. Compile the Design

To compile the transceiver design, add the <phy_instancename>.qip files for all the IPblocks generated using the IP Catalog to the Quartus Prime project library. You canalternatively add the .qsys and .qip variants of the IP cores.

Note: If you add both the .qsys and the .qip file into the Quartus Prime project, thesoftware generates an error.

Related Information

Intel Quartus Prime Incremental Compilation for Hierarchical and Team-Based DesignFor more information about compilation details.

2.2.13. Verify Design Functionality

Simulate your design to verify the functionality of your design. For more details, referto Simulating the Native Transceiver PHY IP Core section.

Related Information

• Simulating the Transceiver Native PHY IP Core on page 325

• Quartus Prime Handbook - Volume 3: VerificationInformation about design simulation and verification.

2.3. Arria 10 Transceiver Protocols and PHY IP Support

Table 8. Arria 10 Transceiver Protocols and PHY IP Support

Protocol Transceiver PHY IPCore

PCS Support TransceiverConfiguration Rule(9)

Protocol Preset (10)

PCIe Gen3 x1, x2, x4,x8

Native PHY IP core(PIPE)/Hard IP for PCI

Express (11)

Standard and Gen3 Gen3 PIPE PCIe PIPE Gen3 x1PCIe PIPE Gen3 x8

PCIe Gen2 x1, x2, x4,x8

Native PHY IP (PIPE)core/Hard IP for PCI

Express (11)

Standard Gen2 PIPE PCIe PIPE Gen2 x1PCIe PIPE Gen2 x8

PCIe Gen1 x1, x2, x4,x8

Native PHY IP (PIPE)core/Hard IP for PCI

Express (11)

Standard Gen1 PIPE User created

continued...

(9) For more information about Transceiver Configuration Rules, refer to Using the Intel Arria10Transceiver Native PHY IP Core section.

(10) For more information about Protocol Presets, refer to Using the Intel Arria 10TransceiverNative PHY IP Core section.

(11) Hard IP for PCI Express is also available as a separate IP core.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide41

Page 42: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Protocol Transceiver PHY IPCore

PCS Support TransceiverConfiguration Rule(9)

Protocol Preset (10)

1000BASE-X GigabitEthernet

Native PHY IP core Standard GbE GIGE - 1.25 Gbps

1000BASE-X GigabitEthernet with 1588

Native PHY IP core Standard GbE 1588 GIGE - 1.25 Gbps1588

10GBASE-R Native PHY IP core Enhanced 10GBASE-R 10GBASE-R LowLatency

10GBASE-R 1588 Native PHY IP core Enhanced 10GBASE-R 1588 10GBASE-R 1588

10GBASE-R with KRFEC

Native PHY IP core Enhanced 10GBASE-R w/KR FEC 10GBASE-R w/KR FEC

10GBASE-KR and1000BASE-X

1G/10GbE and10GBASE-KR PHY

IP(12)

Standard andEnhanced

Not applicable BackPlane_wo_1588LineSide (optical)

LineSide(optical)_1588

40GBASE-R Native PHY IP core Enhanced Basic (Enhanced PCS) Low Latency EnhancedPCS (13)

40GBASE-R with FEC/40GBASE-KR4 (14)

Native PHY IP core Enhanced Basic w/KR FEC User created

100GBASE-R viaCAUI-4/CPPI-4/BP and

CEI-25G

Native PHY IP core Enhanced and PCSDirect

Basic (EnhancedPCS) / PCS Direct

Low Latency GT(15)

100GBASE-R via CAUI Native PHY IP core Enhanced Basic (Enhanced PCS) Low Latency EnhancedPCS (16)

100GBASE-R via CAUIwith FEC

Native PHY IP core Enhanced Basic w/KR FEC User created

XAUI XAUI PHY IP core Soft PCS Not applicable Not applicable

SPAUI Native PHY IP core Standard andEnhanced

Basic/Custom(Standard PCS)

User created

continued...

(9) For more information about Transceiver Configuration Rules, refer to Using the Intel Arria10Transceiver Native PHY IP Core section.

(10) For more information about Protocol Presets, refer to Using the Intel Arria 10TransceiverNative PHY IP Core section.

(12) The 1G/10GbE and 10GBASE-KR PHY IP core includes the necessary soft IP for link training,auto speed negotiation, and sequencer functions.

(13) To implement 40GBASE-R using the Low Latency Enhanced PCS preset, change the number ofdata channels to four and select appropriate PCS- FPGA Fabric and PCS-PMA width.

(14) Link training, auto speed negotiation and sequencer functions are not included in the NativePHY IP. The user would have to create soft logic to implement these functions when usingNative PHY IP.

(15) Low Latency GT protocol preset requires some modification to implement CAUI-4/CPPI-4/BP-4and CEI-25G.

(16) To implement 100GBASE-R via CAUI using the Low Latency Enhanced PCS preset, change thenumber of data channels to 10 and select appropriate PCS-FPGA Fabric and PCS-PMA width.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide42

Page 43: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Protocol Transceiver PHY IPCore

PCS Support TransceiverConfiguration Rule(9)

Protocol Preset (10)

Basic (Enhanced PCS)

DDR XAUI Native PHY IP core Standard andEnhanced

Basic/Custom(Standard PCS)

Basic (Enhanced PCS)

User created

Interlaken (CEI-6G/11G) (17)

Native PHY IP core Enhanced Interlaken Interlaken10x12.5Gbps

Interlaken6x10.3GbpsInterlaken

1x6.25Gbps

OTU-4 (100G) viaOTL4.10/OIF SFI-S

Native PHY IP core Enhanced Basic (Enhanced PCS) SFI-S 64:64 4x11.3Gbps(18)

OTU-3 (40G) viaOTL3.4/OIF SFI-5.2/

SFI-5.1

Native PHY IP core Enhanced Basic (Enhanced PCS) User created

OTU-2 (10G) via SFP+/SFF-8431/CEI-11G

Native PHY IP core Enhanced Basic (Enhanced PCS) User created

OTU-2 (10G) via OIFSFI-5.1s

Native PHY IP core Enhanced Basic (Enhanced PCS) User created

OTU-1 (2.7G) Native PHY IP core Standard Basic/Custom(Standard PCS)

User created

SONET/SDH STS-768/STM-256 (40G) via

OIF SFI-5.2/STL256.4

Native PHY IP core Enhanced Basic (Enhanced PCS) User created

SONET/SDH STS-768/STM-256 (40G) via

OIF SFI-5.1

Native PHY IP core Enhanced Basic (Enhanced PCS) User created

SONET/SDH STS-192/STM-64 (10G) via SFP+/SFF-8431/CEI-11G

Native PHY IP core Enhanced Basic (Enhanced PCS) User created

SONET/SDH STS-192/STM-64 (10G) via OIF

SFI-5.1s/SxI-5/SFI-4.2

Native PHY IP core Enhanced Basic (Enhanced PCS) User created

SONET STS-96 (5G)via OIF SFI-5.1s

Native PHY IP core Enhanced Basic/Custom(Standard PCS)

SONET/SDH OC-96

continued...

(9) For more information about Transceiver Configuration Rules, refer to Using the Intel Arria10Transceiver Native PHY IP Core section.

(10) For more information about Protocol Presets, refer to Using the Intel Arria 10TransceiverNative PHY IP Core section.

(17) A Transmit PCS soft bonding logic required for multi-lane bonding configuration is provided inthe design example.

(18) To implement OTU-4 (100G) via OTL4.10/OIF SFI-S using SFI-S 64:64 4x11.3Gbps preset,change the number of data channels to 10 for OTL4.10 or user desired number of channelsand datarate implemented for SFI-S.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide43

Page 44: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Protocol Transceiver PHY IPCore

PCS Support TransceiverConfiguration Rule(9)

Protocol Preset (10)

SONET/SDH STS-48/STM-16 (2.5G) via

SFP/TFI-5.1

Native PHY IP core Standard Basic/Custom(Standard PCS)

SONET/SDH OC-48

SONET/SDH STS-12/STM-4 (0.622G) via

SFP/TFI-5.1

Native PHY IP core(19) Standard Basic/Custom(Standard PCS)

SONET/SDH OC-12

Intel QPI 1.1/2.0 Native PHY IP core PCS Direct PCS Direct User created

SD-SDI/HD-SDI/3G-SDI

Native PHY IP core Standard Basic/Custom(Standard PCS)

3G/HD SDI NTSC3G/HD SDI PAL

Vx1 Native PHY IP core Standard Basic/Custom(Standard PCS)

User created

DisplayPort (20) Native PHY IP core Standard Basic/Custom(Standard PCS)

User created

1.25G/ 2.5G10G GPON/EPON

Native PHY IP core Enhanced Basic (Enhanced PCS) User created

2.5G/1.25G GPON/EPON

Native PHY IP core Standard Basic/Custom(Standard PCS)

User created

16G/10G FibreChannel

Native PHY IP core Enhanced Basic (Enhanced PCS) User created

8G/4G/2G/1G FibreChannel

Native PHY IP core Standard Basic/Custom(Standard PCS)

User created

EDR Infiniband x1, x4 Native PHY IP core Enhanced (low latencymode)

PCS Direct

Basic (Enhanced PCS)PCS Direct

User created

FDR/FDR-10 Infinibandx1, x4, x12

Native PHY IP core Enhanced Basic (Enhanced PCS) User created

SDR/DDR/QDRInfiniband x1, x4, x12

Native PHY IP core Standard Basic/Custom(Standard PCS)

User created

CPRI v6.1 12.16512/CPRI v6.0 10.1376 Gbps

Native PHY IP core Enhanced 10GBASE-R 158810GBASE-R

User created

CPRI 4.2/OBSAI RP3v4.2

Native PHY IP core Standard CPRI (Auto) / CPRI(Manual)

CPRI 9.8Gbps AutoMode

continued...

(9) For more information about Transceiver Configuration Rules, refer to Using the Intel Arria10Transceiver Native PHY IP Core section.

(10) For more information about Protocol Presets, refer to Using the Intel Arria 10TransceiverNative PHY IP Core section.

(19) The minimum operational data rate is 1.0 Gbps for both the transmitter and receiver. Fortransmitter data rates less than 1.0 Gbps, oversampling must be applied at the transmitter.For receiver data rates less than 1.0 Gbps, oversampling must be applied at the receiver.

(20) To meet DisplayPort TX electrical full compliance to VESA DisplayPort Standard version 1.3 andVESA DisplayPort PHY Compliance Specification version 1.2b , VCCT_GXB & VCCR_GXB needsto be 1.03V or higher. Test Refer to AN745: Design Guidelines for DisplayPort and HDMIInterfaces for further details.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide44

Page 45: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Protocol Transceiver PHY IPCore

PCS Support TransceiverConfiguration Rule(9)

Protocol Preset (10)

CPRI 9.8 Gbps ManualMode

SRIO 2.2/1.3 Native PHY IP core Standard Basic/Custom withRate Match(Standard

PCS)

Serial Rapid IO 1.25Gbps

SAS 3.0 Native PHY IP core Enhanced Basic (Enhanced PCS) User created

SATA 3.0/2.0/1.0 andSAS 2.0/1.1/1.0

Native PHY IP core Standard Basic/Custom(Standard PCS)

SAS Gen2/Gen1.1/Gen1

SATA Gen3/Gen2/Gen1

HiGig/HiGig+/HiGig2/HiGig2+

Native PHY IP core Standard Basic/Custom(Standard PCS)

User created

JESD204A / JESD204B Native PHY IP core Standard andEnhanced

Basic/Custom(Standard PCS) Basic(Enhanced PCS)(21)

User created

ASI Native PHY IP core Standard Basic/Custom(Standard PCS)

User created

SPI-5 (100G) / SPI-5(50G)

Native PHY IP core Enhanced Basic (Enhanced PCS) User created

Custom and otherprotocols

Native PHY IP core Standard andEnhancedPCS Direct

Basis/Custom(Standard PCS)

Basic (Enhanced PCS)Basic/Custom with

Rate Match (StandardPCS)

PCS Direct

User created

Related Information

• Using the Arria 10 Transceiver Native PHY IP Core on page 45

• AN745: Design Guidelines for DisplayPort and HDMI Interfaces

2.4. Using the Arria 10 Transceiver Native PHY IP Core

This section describes the use of the Intel-provided Arria 10 Transceiver Native PHY IPcore. This Native PHY IP core provides direct access to Arria 10 transceiver PHYfeatures.

Use the Native PHY IP core to configure the transceiver PHY for your protocolimplementation. To instantiate the IP, click Tools ➤ IP Catalog to select your IP corevariation. Use the Parameter Editor to specify the IP parameters and configure thePHY IP for your protocol implementation. To quickly configure the PHY IP, select a

(9) For more information about Transceiver Configuration Rules, refer to Using the Intel Arria10Transceiver Native PHY IP Core section.

(10) For more information about Protocol Presets, refer to Using the Intel Arria 10TransceiverNative PHY IP Core section.

(21) For JESD204B, Enhanced PCS is used when the data rate is above 12.0 Gbps

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide45

Page 46: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

preset that matches your protocol configuration as a starting point. Presets are PHY IPconfiguration settings for various protocols that are stored in the IP ParameterEditor. Presets are explained in detail in the Presets section below.

You can also configure the PHY IP by selecting an appropriate TransceiverConfiguration Rule. The transceiver configuration rules check the valid combinationsof the PCS and PMA blocks in the transceiver PHY layer, and report errors or warningsfor any invalid settings.

Use the Native PHY IP core to instantiate the following PCS options:

• Standard PCS

• Enhanced PCS

• PCIe Gen3 PCS

• PCS Direct

Based on the Transceiver Configuration Rule that you select, the PHY IP core selectsthe appropriate PCS. The PHY IP core allows you to select all the PCS blocks if youintend to dynamically reconfigure from one PCS to another. Refer to General andDatapath Parameters section for more details on how to enable PCS blocks fordynamic reconfiguration. Refer to the How to Place Channels for PIPE Configurationsection or the PCIE solutions guides on restrictions on placement of transceiverchannels next to active banks with PCI Express interfaces that are Gen3 capable..

After you configure the PHY IP core in the Parameter Editor, click Generate HDL togenerate the IP instance. The top level file generated with the IP instance includes allthe available ports for your configuration. Use these ports to connect the PHY IP coreto the PLL IP core, the reset controller IP core, and to other IP cores in your design.

Figure 22. Native PHY IP Core Ports and Functional Blocks

Reconfiguration Registers

Enhanced PCS

Transmit and Receive Clocks

Standard PCS

PCIe Gen3 PCS

TransmitPMA

ReceivePMA

Reset Signals

Transmit Parallel Data

Reconfiguration Interface

Transmit Serial Data

Receive Serial Data

Receive Parallel Data

PCS-Direct

Nios IICalibration Calibration Signals

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide46

Page 47: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 23. Native PHY IP Core Parameter Editor

Documentation

Presets

GeneralOptions

CommonPMA Options

DatapathOptions

PMA/PCS, Dynamic Reconfiguration, Optional Analog PMA Settings, and General Options

Note: Although the Quartus Prime software provides legality checks, the supported FPGAfabric to PCS interface widths and the supported data rates are pendingcharacterization.

Related Information

• Configure the PHY IP Core on page 35

• Interlaken on page 94

• Gigabit Ethernet (GbE) and GbE with IEEE 1588v2 on page 112

• 10GBASE-R, 10GBASE-R with IEEE 1588v2, and 10GBASE-R with FEC Variants onpage 124

• 10GBASE-KR PHY IP Core on page 135

• 1-Gigabit/10-Gigabit Ethernet (GbE) PHY IP Core on page 164

• PCI Express (PIPE) on page 229

• CPRI on page 279

• Using the "Basic (Enhanced PCS)" and "Basic with KR FEC" Configurations ofEnhanced PCS on page 289

• Using the Basic/Custom, Basic/Custom with Rate Match Configurations of StandardPCS on page 300

• Design Considerations for Implementing Arria 10 GT Channels on page 319

• PMA Parameters on page 51

• Presets on page 48

• General and Datapath Parameters on page 48

• Enhanced PCS Ports on page 76

• Standard PCS Ports on page 86

• PMA Ports on page 73

• How to Place Channels for Pipe Configuration on page 268

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide47

Page 48: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.4.1. Presets

You can select preset settings for the Native PHY IP core defined for each protocol. Usepresets as a starting point to specify parameters for your specific protocol orapplication.

To apply a preset to the Native PHY IP core, double-click on the preset name. Whenyou apply a preset, all relevant options and parameters are set in the current instanceof the Native PHY IP core. For example, selecting the Interlaken preset enables allparameters and ports that the Interlaken protocol requires.

Selecting a preset does not prevent you from changing any parameter to meet therequirements of your design. Any changes that you make are validated by the designrules for the transceiver configuration rules you specified, not the selected preset.

Note: Selecting a preset clears any prior selections user has made so far.

2.4.2. General and Datapath Parameters

You can customize your instance of the Native PHY IP core by specifying parametervalues. In the Parameter Editor, the parameters are organized in the followingsections for each functional block and feature:

• General, Common PMA Options, and Datapath Options

• TX PMA

• RX PMA

• Standard PCS

• Enhanced PCS

• PCS Direct Datapath

• Dynamic Reconfiguration

• Analog PMA Settings (Optional)

• Generation Options

Table 9. General, Common PMA Options, and Datapath Options

Parameter Value Description

Message level for ruleviolations

errorwarning

Specifies the messaging level for parameter rule violations.Selecting error causes all rule violations to prevent IP generation.Selecting warning displays all rule violations as warnings in themessage window and allows IP generation despite the violations.(22)

VCCR_GXB andVCCT_GXB supply voltagefor the Transceiver

0_9V, 1_0V, 1_1V Selects the VCCR_GXB and VCCT_GXB supply voltage for theTransceiver.Note: This option is only used for GUI rule validation. Use

Quartus Prime Setting File (.qsf) assignments to set thisparameter in your static design.

Transceiver Link Type sr, lr Selects the type of transceiver link. sr-Short Reach (Chip-to-chipcommunication), lr-Long Reach (Backplane communication).

continued...

(22) Although you can generate the PHY with warnings, you can not compile the PHY in QuartusPrime.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide48

Page 49: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Value Description

Note: This option is only used for GUI rule validation. UseQuartus Prime Setting File (.qsf) assignments to set thisparameter in your static design.

Transceiverconfiguration rules

User Selection Specifies the valid configuration rules for the transceiver.This parameter specifies the configuration rule against which theParameter Editor checks your PMA and PCS parameter settingsfor specific protocols. Depending on the transceiver configurationrule selected, the Parameter Editor validates the parameters andoptions selected by you and generates error messages or warningsfor all invalid settings.To determine the transceiver configuration rule to be selected foryour protocol, refer to Table 8 on page 41 TransceiverConfiguration Rule Parameters table for more details about eachtransceiver configuration rule.This parameter is used for rule checking and is not a preset. Youneed to set all parameters for your protocol implementation.

PMA configurationrules

BasicSATA/SASQPIGPON

Specifies the configuration rule for PMA.Select Basic for all other protocol modes except for SATA, GPON,and QPI.SATA (Serial ATA) can be used only if the Transceiverconfiguration rule is set to Basic/Custom (Standard PCS).GPON can be used only if the Transceiver configuration rule isset to Basic (Enhanced PCS).QPI can be used only if the Transceiver configuration rule isset to PCS Direct.

Transceiver mode TX/RX DuplexTX SimplexRX Simplex

Specifies the operational mode of the transceiver.• TX/RX Duplex : Specifies a single channel that supports both

transmission and reception.• TX Simplex : Specifies a single channel that supports only

transmission.• RX Simplex : Specifies a single channel that supports only

reception.The default is TX/RX Duplex.

Number of datachannels

1 – <n> Specifies the number of transceiver channels to be implemented.The maximum number of channels available, ( <n> ), depends onthe package you select.The default value is 1.

Data rate < valid Transceiver datarate >

Specifies the data rate in megabits per second (Mbps).

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide49

Page 50: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Value Description

Enable datapath andinterfacereconfiguration

On/Off When you turn this option on, you can preconfigure anddynamically switch between the Standard PCS, Enhanced PCS, andPCS direct datapaths.The default value is Off.

Enable simplified datainterface

On/Off By default, all 128-bits are ports for the tx_parallel_data andrx_parallel_data buses are exposed. You must understand themapping of data and control signals within the interface. Refer tothe Enhanced PCS TX and RX Control Ports section for detailsabout mapping of data and control signals.When you turn on this option, the Native PHY IP core presents asimplified data and control interface between the FPGA fabric andtransceiver. Only the sub-set of the 128-bits that are active for aparticular FPGA fabric width are ports.The default value is Off.(23)

Provide separateinterface for eachchannel

On/Off When selected the Native PHY IP core presents separate data,reset and clock interfaces for each channel rather than a wide bus.

Table 10. Transceiver Configuration Rule Parameters

Transceiver Configuration Setting Description

Basic/Custom (Standard PCS) Enforces a standard set of rules within the Standard PCS. Select these rules toimplement custom protocols requiring blocks within the Standard PCS orprotocols not covered by the other configuration rules.

Basic/Custom w /Rate Match(Standard PCS)

Enforces a standard set of rules including rules for the Rate Match FIFO withinthe Standard PCS. Select these rules to implement custom protocols requiringblocks within the Standard PCS or protocols not covered by the otherconfiguration rules.

CPRI (Auto) Enforces rules required by the CPRI protocol. The receiver word aligner mode isset to Auto. In Auto mode, the word aligner is set to deterministic latency.

CPRI (Manual) Enforces rules required by the CPRI protocol. The receiver word aligner mode isset to Manual. In Manual mode, logic in the FPGA fabric controls the wordaligner.

GbE Enforces rules that the 1 Gbps Ethernet (1 GbE) protocol requires.

GbE 1588 Enforces rules for the 1 GbE protocol with support for Precision time protocol(PTP) as defined in the IEEE 1588 Standard.

Gen1 PIPE Enforces rules for a Gen1 PCIe ® PIPE interface that you can connect to a softMAC and Data Link Layer.

Gen2 PIPE Enforces rules for a Gen2 PCIe PIPE interface that you can connect to a soft MACand Data Link Layer.

Gen3 PIPE Enforces rules for a Gen3 PCIe PIPE interface that you can connect to a soft MACand Data Link Layer.

Basic (Enhanced PCS) Enforces a standard set of rules within the Enhanced PCS. Select these rules toimplement protocols requiring blocks within the Enhanced PCS or protocols notcovered by the other configuration rules.

Interlaken Enforces rules required by the Interlaken protocol.

10GBASE-R Enforces rules required by the 10GBASE-R protocol.

continued...

(23) This option cannot be used, if you intend to dynamically reconfigure between PCS datapaths,or reconfigure the interface of the transceiver.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide50

Page 51: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Transceiver Configuration Setting Description

10GBASE-R 1588 Enforces rules required by the 10GBASE-R protocol with 1588 enabled.

10GBASE-R w/KR FEC Enforces rules required by the 10GBASE-R protocol with KR FEC block enabled.

40GBASE-R w/KR FEC Enforces rules required by the 40GBASE-R protocol with the KR FEC blockenabled.

Basic w/KR FEC Enforces a standard set of rules required by the Enhanced PCS when you enablethe KR FEC block. Select this rule to implement custom protocols requiringblocks within the Enhanced PCS or protocols not covered by the otherconfiguration rules.

PCS Direct Enforces rules required by the PCS Direct mode. In this configuration the dataflows through the PCS channel, but all the internal PCS blocks are bypassed. Ifrequired, the PCS functionality can be implemented in the FPGA fabric.

Related Information

• Device Transceiver Layout on page 9

• Enhanced PCS TX and RX Control Ports on page 83

2.4.3. PMA Parameters

You can specify values for the following types of PMA parameters:

TX PMA

• TX Bonding Options

• TX PLL Options

• TX PMA Optional Ports

RX PMA

• RX CDR Options

• Equalization

• RX PMA Optional Ports

Table 11. TX Bonding Options

Parameter Value Description

TX channel bondingmode

Not bondedPMA only bondingPMA and PCS bonding

Selects the bonding mode to be used for the channels specified.Bonded channels use a single TX PLL to generate a clock thatdrives multiple channels, reducing channel-to-channel skew. Thefollowing options are available:Not bonded: In a non-bonded configuration, only the high speedserial clock is expected to be connected from the TX PLL to theNative PHY IP core. The low speed parallel clock is generated bythe local clock generation block (CGB) present in the transceiverchannel. For non-bonded configurations, because the channels arenot related to each other and the feedback path is local to the PLL,the skew between channels cannot be calculated.PMA only bonding: In PMA bonding, the high speed serial clockis routed from the transmitter PLL to the master CGB. The masterCGB generates the high speed and low parallel clocks and the localCGB for each channel is bypassed. Refer to the Channel Bondingsection for more details.PMA and PCS bonding : In a PMA and PCS bondedconfiguration, the local CGB in each channel is bypassed and theparallel clocks generated by the master CGB are used to clock the

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide51

Page 52: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Value Description

network. The master CGB generates both the high and low speedclocks. The master channel generates the PCS control signals anddistributes to other channels through a control plane block.The default value is Not bonded.Refer to Channel Bonding section in PLLs and Clock Networkschapter for more details.

PCS TX channelbonding master

Auto, 0 to <number ofchannels> -1

Specifies the master PCS channel for PCS bonded configurations.Each Native PHY IP core instance configured with bonding mustspecify a bonding master. If you select Auto, the Native PHY IPcore automatically selects a recommended channel.The default value is Auto. Refer to the PLLs and Clock Networkschapter for more information about the TX channel bondingmaster.

Actual PCS TX channelbonding master

0 to <number ofchannels> -1

This parameter is automatically populated based on your selectionfor the PCS TX channel bonding master parameter. Indicatesthe selected master PCS channel for PCS bonded configurations.

Table 12. TX PLL Options

Parameter Value Description

TX local clock divisionfactor

1, 2, 4, 8 Specifies the value of the divider available in the transceiverchannels to divide the TX PLL output clock to generate the correctfrequencies for the parallel and serial clocks.

Number of TX PLLclock inputs perchannel

1, 2, 3 , 4 Specifies the number of TX PLL clock inputs per channel. Use thisparameter when you plan to dynamically switch between TX PLLclock sources. Up to four input sources are possible.

Initial TX PLL clockinput selection

0 to <number of TXPLL clock inputs> -1

Specifies the initially selected TX PLL clock input. This parameteris necessary when you plan to switch between multiple TX PLLclock inputs.

Table 13. TX PMA Optional Ports

Parameter Value Description

Enabletx_pma_analog_reset_ackport

On/Off Enables the optional tx_pma_analog_reset_ack output port.This port should not be used for register mode data transfers.

Enable tx_pma_clkout port On/Off Enables the optional tx_pma_clkout output clock. This is the lowspeed parallel clock from the TX PMA. The source of this clock isthe serializer. It is driven by the PCS/PMA interface block. (24)

Enable tx_pma_div_clkoutport

On/Off Enables the optional tx_pma_div_clkout output clock. Thisclock is generated by the serializer. You can use this to drive corelogic, to drive the FPGA - transceivers interface.If you select a tx_pma_div_clkout division factor of 1 or 2,this clock output is derived from the PMA parallel clock. If youselect a tx_pma_div_clkout division factor of 33, 40, or 66,this clock is derived from the PMA high serial clock. This clock iscommonly used when the interface to the TX FIFO runs at adifferent rate than the PMA parallel clock frequency, such as 66:40applications.

tx_pma_div_clkout divisionfactor

Disabled, 1, 2,33, 40, 66

Selects the division factor for the tx_pma_div_clkout outputclock when enabled. (25)

continued...

(24) This clock should not be used to clock the FPGA - transceivers interface. This clock may beused as a reference clock to an external clock cleaner.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide52

Page 53: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Value Description

Enabletx_pma_iqtxrx_clkout port

On/Off Enables the optional tx_pma_iqtxrx_clkout output clock. Thisclock can be used to cascade the TX PMA output clock to the inputof a PLL.

Enable tx_pma_elecidleport

On/Off Enables the tx_pma_elecidle port. When you assert this port,the transmitter is forced into an electrical idle condition. This porthas no effect when the transceiver is configured for PCI Express.

Enable tx_pma_qpipullupport (QPI)

On/Off Enables the tx_pma_qpipullup control input port. Use this portonly for Quick Path Interconnect (QPI) applications.

Enable tx_pma_qpipulldnport (QPI)

On/Off Enables the tx_pma_qpipulldn control input port. Use this portonly for QPI applications.

Enable tx_pma_txdetectrxport (QPI)

On/Off Enables the tx_pma_txdetectrx control input port. The receiverdetect block in the TX PMA detects the presence of a receiver atthe other end of the channel. After receiving atx_pma_txdetectrx request the receiver detect block initiatesthe detection process. Use this port only in QPI applications.

Enable tx_pma_rxfoundport (QPI)

On/Off Enables the tx_pma_rxfound status output port. The receiverdetect block in TX PMA detects the presence of a receiver at theother end by using the tx_pma_txdetectrx input. Thetx_pma_rxfound port reports the status of the detectionoperation. Use this port only in QPI applications.

Enable rx_seriallpbken port On/Off Enables the optional rx_seriallpbken control input port. Theassertion of this signal enables the TX to RX serial loopback pathwithin the transceiver. This is an asynchronous input signal.

Table 14. RX CDR Options

Parameter Value Description

Number of CDRreference clocks

1 - 5 Specifies the number of CDR reference clocks. Up to 5 sources arepossible.The default value is 1.Use this feature when you want to dynamically re-configure CDRreference clock source.

Selected CDRreference clock

0 to <number of CDRreference clocks> -1

Specifies the initial CDR reference clock. This parameterdetermines the available CDR references used.The default value is 0.

Selected CDRreference clockfrequency

< data rate dependent > Specifies the CDR reference clock frequency. This value dependson the data rate specified.

PPM detectorthreshold

1003005001000

Specifies the PPM threshold for the CDR. If the PPM between theincoming serial data and the CDR reference clock, exceeds thisthreshold value, the CDR loses lock.The default value is 1000.

Table 15. Equalization

Parameters Value Description

CTLE adaptation mode Manual Specifies the Continuous Time Linear Equalization (CTLE)operation mode.

continued...

(25) The default value is Disabled.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide53

Page 54: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameters Value Description

For manual mode, set the CTLE options through the AssignmentEditor, or modify the Quartus Settings File (.qsf), or write to thereconfiguration registers using the Avalon Memory-Mapped(Avalon-MM) interface.Refer to Continuous Time Linear Equalization (CTLE) on page 452section in Arria 10 Transceiver Architecture chapter for moredetails about CTLE architecture. Refer to How to Enable CTLE andDFE on page 456 for more details on supported adaptationmodes.

DFE adaptation mode Adaptation enabledManual, Disabled

Specifies the operating mode for the Decision FeedbackEqualization (DFE) block in the RX PMA.The default value is Disabled.For manual mode, you can set the DFE options through theAssignment Editor, or by modifying the Quartus Settings File(.qsf), or write to the reconfiguration registers using the Avalon-MM interface.Refer to the Decision Feedback Equalization (DFE) on page 454section in the Arria 10 Transceiver PHY Architecture chapter formore details about DFE. Refer to How to Enable CTLE and DFE onpage 456 for more details on supported adaptation modes.

Number of fixed DFEtaps

3, 7 , 11 Specifies the number of fixed DFE taps. Select the number oftaps depending on the loss in your transmission channel and thetype of equalization required.

Table 16. RX PMA Optional Ports

Parameters Value Description

Enablerx_analog_reset_ackport

On/Off Enables the optional rx_analog_reset_ack output. This portshould not be used for register mode data transfers.

Enable rx_pma_clkoutport

On/Off Enables the optional rx_pma_clkout output clock. This port isthe recovered parallel clock from the RX clock data recovery(CDR). (26)

Enablerx_pma_div_clkoutport

On/Off Enables the optional rx_pma_div_clkout output clock. Thedeserializer generates this clock. Use this to drive core logic, todrive the RX PCS-to-FPGA fabric interface, or both.If you select a rx_pma_div_clkout division factor of 1 or 2, thisclock output is derived from the PMA parallel clock. If you select arx_pma_div_clkout division factor of 33, 40, or 66, this clock isderived from the PMA serial clock. This clock is commonly usedwhen the interface to the RX FIFO runs at a different rate than thePMA parallel clock frequency, such as 66:40 applications.

rx_pma_div_clkoutdivision factor

Disabled, 1, 2, 33, 40,66

Selects the division factor for the rx_pma_div_clkout outputclock when enabled. (27)

Enablerx_pma_iqtxrx_clkoutport

On/Off Enables the optional rx_pma_iqtxrx_clkout output clock. Thisclock can be used to cascade the RX PMA output clock to the inputof a PLL.

Enable rx_pma_clkslipport

On/Off Enables the optional rx_pma_clkslip control input port. A risingedge on this signal causes the RX serializer to slip the serial databy one clock cycle, or 2 unit intervals (UI).

continued...

(26) This clock should not be used to clock the FPGA - transceiver interface. This clock may be usedas a reference clock to an external clock cleaner.

(27) The default value is Disabled.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide54

Page 55: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameters Value Description

Enablerx_pma_qpipulldn port(QPI)

On/Off Enables the rx_pma_qpipulldn control input port. Use this portonly for QPI applications.

Enablerx_is_lockedtodataport

On/Off Enables the optional rx_is_lockedtodata status output port.This signal indicates that the RX CDR is currently in lock to datamode or is attempting to lock to the incoming data stream. This isan asynchronous output signal.

Enablerx_is_lockedtoref port

On/Off Enables the optional rx_is_lockedtoref status output port.This signal indicates that the RX CDR is currently locked to theCDR reference clock. This is an asynchronous output signal.

Enablerx_set_lockedtodataport andrx_set_lockedtorefports

On/Off Enables the optional rx_set_lockedtodata andrx_set_lockedtoref control input ports. You can use thesecontrol ports to manually control the lock mode of the RX CDR.These are asynchronous input signals.

Enable rx_seriallpbkenport

On/Off Enables the optional rx_seriallpbken control input port. Theassertion of this signal enables the TX to RX serial loopback pathwithin the transceiver. This is an asynchronous input signal.

Enable PRBS (PseudoRandom Bit Sequence)verifier control andstatus port

On/Off Enables the optional rx_prbs_err, rx_prbs_clr, andrx_prbs_done control ports. These ports control and collectstatus from the internal PRBS verifier.

Related Information

• PLLs and Clock Networks on page 347

• Channel Bonding on page 389

• Continuous Time Linear Equalization (CTLE) on page 452

• Decision Feedback Equalization (DFE) on page 454

• Analog Parameter Settings on page 585

• How to Enable CTLE and DFE on page 456

2.4.4. Enhanced PCS Parameters

This section defines parameters available in the Native PHY IP core GUI to customizethe individual blocks in the Enhanced PCS.

The following tables describe the available parameters. Based on the selection of theTransceiver Configuration Rule , if the specified settings violate the protocolstandard, the Native PHY IP core Parameter Editor prints error or warningmessages.

Note: For detailed descriptions about the optional ports that you can enable or disable, referto the Enhanced PCS Ports section.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide55

Page 56: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 17. Enhanced PCS Parameters

Parameter Range Description

Enhanced PCS / PMAinterface width

32, 40, 64 Specifies the interface width between the Enhanced PCS and thePMA.

FPGA fabric /EnhancedPCS interface width

32, 40, , 64, 66, 67 Specifies the interface width between the Enhanced PCS and theFPGA fabric.The 66-bit FPGA fabric to PCS interface width uses 64-bits from theTX and RX parallel data. The block synchronizer determines theblock boundary of the 66-bit word, with lower 2 bits from thecontrol bus.The 67-bit FPGA fabric to PCS interface width uses the 64-bits fromthe TX and RX parallel data. The block synchronizer determines theblock boundary of the 67-bit word with lower 3 bits from the controlbus.

Enable Enhanced PCSlow latency mode

On/Off Enables the low latency path for the Enhanced PCS. When you turnon this option, the individual functional blocks within the EnhancedPCS are bypassed to provide the lowest latency path from the PMAthrough the Enhanced PCS. When enabled, this mode is applicablefor GX devices. Intel recommends not enabling it for GT devices.

Enable RX/TX FIFOdouble width mode

On/Off Enables the double width mode for the RX and TX FIFOs. You canuse double width mode to run the FPGA fabric at half the frequencyof the PCS.

Table 18. Enhanced PCS TX FIFO Parameters

Parameter Range Description

TX FIFO Mode Phase-CompensationRegisterInterlakenBasicFast Register

Specifies one of the following modes:• Phase Compensation: The TX FIFO compensates for the clock

phase difference between the read clock rx_clkout and thewrite clocks tx_coreclkin or tx_clkout. You can tietx_enh_data_valid to 1'b1.

• Register: The TX FIFO is bypassed. The tx_parallel_data,tx_control and tx_enh_data_valid are registered at theFIFO output. Assert tx_enh_data_valid port 1'b1 at alltimes. The user must connect the write clock tx_coreclkin tothe read clock tx_clkout.

• Interlaken: The TX FIFO acts as an elastic buffer. In this mode,there are additional signals to control the data flow into theFIFO. Therefore, the FIFO write clock frequency does not haveto be the same as the read clock frequency. You can controlwrites to the FIFO with tx_enh_data_valid. By monitoringthe FIFO flags, you can avoid the FIFO full and emptyconditions. The Interlaken frame generator controls reads.

• Basic: The TX FIFO acts as an elastic buffer. This mode allowsdriving write and read side of FIFO with different clockfrequencies. tx_coreclkin or rx_coreclkin must have aminimum frequency of the lane data rate divided by 66. Thefrequency range for tx_coreclkin or rx_coreclkin is (datarate/32) - (data rate/66). For best results, Intel recommendsthat tx_coreclkin or rx_coreclkin = (data rate/32).Monitor FIFO flag to control write and read operations. Foradditional details refer to Enhanced PCS FIFO Operation on page297 section

• Fast Register: The TX FIFO allows a higher maximumfrequency (fMAX) between the FPGA fabric and the TX PCS at theexpense of higher latency.

TX FIFO partially fullthreshold

10, 11, 12, 13 Specifies the partially full threshold for the Enhanced PCS TX FIFO.Enter the value at which you want the TX FIFO to flag a partiallyfull status.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide56

Page 57: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Range Description

TX FIFO partiallyempty threshold

2, 3, 4, 5 Specifies the partially empty threshold for the Enhanced PCS TXFIFO. Enter the value at which you want the TX FIFO to flag apartially empty status.

Enable tx_enh_fifo_fullport

On / Off Enables the tx_enh_fifo_full port. This signal indicates when theTX FIFO is full. This signal is synchronous to tx_coreclkin.

Enabletx_enh_fifo_pfull port

On / Off Enables the tx_enh_fifo_pfull port. This signal indicates whenthe TX FIFO reaches the specified partially full threshold. Thissignal is synchronous to tx_coreclkin.

Enabletx_enh_fifo_emptyport

On / Off Enables the tx_enh_fifo_empty port. This signal indicates whenthe TX FIFO is empty. This signal is synchronous totx_coreclkin.

Enabletx_enh_fifo_pemptyport

On / Off Enables the tx_enh_fifo_pempty port. This signal indicates whenthe TX FIFO reaches the specified partially empty threshold. Thissignal is synchronous to tx_coreclkin.

Table 19. Enhanced PCS RX FIFO Parameters

Parameter Range Description

RX FIFO Mode Phase-CompensationRegisterInterlaken10GBASE-RBasic

Specifies one of the following modes for Enhanced PCS RX FIFO:• Phase Compensation: This mode compensates for the clock

phase difference between the read clocks rx_coreclkin ortx_clkout and the write clock rx_clkout.

• Register : The RX FIFO is bypassed. Therx_parallel_data, rx_control, andrx_enh_data_valid are registered at the FIFO output. TheFIFO's read clock rx_coreclkin and write clock rx_clkoutare tied together.

• Interlaken: Select this mode for the Interlaken protocol. Toimplement the deskew process, you must implement an FSMthat controls the FIFO operation based on FIFO flags. In thismode the FIFO acts as an elastic buffer.

• 10GBASE-R: In this mode, data passes through the FIFOafter block lock is achieved. OS (Ordered Sets) are deleted andIdles are inserted to compensate for the clock differencebetween the RX PMA clock and the fabric clock of +/- 100 ppmfor a maximum packet length of 64000 bytes.

• Basic: In this mode, the RX FIFO acts as an elastic buffer. Thismode allows driving write and read side of FIFO with differentclock frequencies. tx_coreclkin or rx_coreclkin musthave a minimum frequency of the lane data rate divided by66. The frequency range for tx_coreclkin orrx_coreclkin is (data rate/32) - (data rate/66). Thegearbox data valid flag controls the FIFO read enable. You canmonitor the rx_enh_fifo_pfull and rx_enh_fifo_emptyflags to determine whether or not to read from the FIFO. Foradditional details refer to Enhanced PCS FIFO Operation onpage 297.

Note: The flags are for Interlaken and Basic modes only. Theyshould be ignored in all other cases.

RX FIFO partially fullthreshold

18-29 Specifies the partially full threshold for the Enhanced PCS RXFIFO. The default value is 23.

RX FIFO partiallyempty threshold

2-10 Specifies the partially empty threshold for the Enhanced PCS RXFIFO. The default value is 2.

Enable RX FIFOalignment worddeletion (Interlaken)

On / Off When you turn on this option, all alignment words (sync words),including the first sync word, are removed after framesynchronization is achieved. If you enable this option, you mustalso enable control word deletion.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide57

Page 58: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Range Description

Enable RX FIFO controlword deletion(Interlaken)

On / Off When you turn on this option, Interlaken control word removal isenabled. When the Enhanced PCS RX FIFO is configured inInterlaken mode, enabling this option, removes all control wordsafter frame synchronization is achieved. Enabling this optionrequires that you also enable alignment word deletion.

Enablerx_enh_data_valid port

On / Off Enables the rx_enh_data_valid port. This signal indicates whenRX data from RX FIFO is valid. This signal is synchronous torx_coreclkin.

Enable rx_enh_fifo_fullport

On / Off Enables the rx_enh_fifo_full port. This signal indicates whenthe RX FIFO is full. This is an asynchronous signal.

Enablerx_enh_fifo_pfull port

On / Off Enables the rx_enh_fifo_pfull port. This signal indicates whenthe RX FIFO has reached the specified partially full threshold. Thisis an asynchronous signal.

Enablerx_enh_fifo_emptyport

On / Off Enables the rx_enh_fifo_empty port. This signal indicates whenthe RX FIFO is empty. This signal is synchronous torx_coreclkin.

Enablerx_enh_fifo_pemptyport

On / Off Enables the rx_enh_fifo_pempty port. This signal indicateswhen the RX FIFO has reached the specified partially emptythreshold. This signal is synchronous to rx_coreclkin.

Enable rx_enh_fifo_delport (10GBASE-R)

On / Off Enables the optional rx_enh_fifo_del status output port. Thissignal indicates when a word has been deleted from the ratematch FIFO. This signal is only used for 10GBASE-R transceiverconfiguration rule. This is an asynchronous signal.

Enablerx_enh_fifo_insert port(10GBASE-R)

On / Off Enables the rx_enh_fifo_insert port. This signal indicates whena word has been inserted into the rate match FIFO. This signal isonly used for 10GBASE-R transceiver configuration rule. Thissignal is synchronous to rx_coreclkin.

Enablerx_enh_fifo_rd_en port

On / Off Enables the rx_enh_fifo_rd_en input port. This signal isenabled to read a word from the RX FIFO. This signal issynchronous to rx_coreclkin.

Enablerx_enh_fifo_align_valport (Interlaken)

On / Off Enables the rx_enh_fifo_align_val status output port. Onlyused for Interlaken transceiver configuration rule. This signal issynchronous to rx_clkout.

Enablerx_enh_fifo_align_clrport (Interlaken)

On / Off Enables the rx_enh_fifo_align_clr input port. Only used forInterlaken. This signal is synchronous to rx_clkout.

Table 20. Interlaken Frame Generator Parameters

Parameter Range Description

Enable Interlakenframe generator

On / Off Enables the frame generator block of the Enhanced PCS.

Frame generatormetaframe length

5-8192 Specifies the metaframe length of the frame generator. Thismetaframe length includes 4 framing control words created by theframe generator.

Enable FrameGenerator BurstControl

On / Off Enables frame generator burst. This determines whether theframe generator reads data from the TX FIFO based on the inputof port tx_enh_frame_burst_en.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide58

Page 59: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Range Description

Enable tx_enh_frameport

On / Off Enables the tx_enh_frame status output port. When theInterlaken frame generator is enabled, this signal indicates thebeginning of a new metaframe. This is an asynchronous signal.

Enabletx_enh_frame_diag_status port

On / Off Enables the tx_enh_frame_diag_status 2-bit input port.When the Interlaken frame generator is enabled, the value of thissignal contains the status message from the framing layerdiagnostic word. This signal is synchronous to tx_clkout.

Enabletx_enh_frame_burst_en port

On / Off Enables the tx_enh_frame_burst_en input port. When burstcontrol is enabled for the Interlaken frame generator, this signal isasserted to control the frame generator data reads from the TXFIFO. This signal is synchronous to tx_clkout.

Table 21. Interlaken Frame Synchronizer Parameters

Parameter Range Description

Enable Interlakenframe synchronizer

On / Off When you turn on this option, the Enhanced PCS framesynchronizer is enabled.

Frame synchronizermetaframe length

5-8192 Specifies the metaframe length of the frame synchronizer.

Enable rx_enh_frameport

On / Off Enables the rx_enh_frame status output port. When theInterlaken frame synchronizer is enabled, this signal indicates thebeginning of a new metaframe. This is an asynchronous signal.

Enablerx_enh_frame_lockport

On / Off Enables the rx_enh_frame_lock output port. When theInterlaken frame synchronizer is enabled, this signal is asserted toindicate that the frame synchronizer has achieved metaframedelineation. This is an asynchronous output signal.

Enablerx_enh_frame_diag_status port

On / Off Enables therx_enh_frame_diag_status output port. When theInterlaken frame synchronizer is enabled, this signal contains thevalue of the framing layer diagnostic word (bits [33:32]). This is a2 bit per lane output signal. It is latched when a valid diagnosticword is received. This is an asynchronous signal.

Table 22. Interlaken CRC32 Generator and Checker Parameters

Parameter Range Description

Enable Interlaken TXCRC-32 Generator

On / Off When you turn on this option, the TX Enhanced PCS datapathenables the CRC32 generator function. CRC32 can be used as adiagnostic tool. The CRC contains the entire metaframe includingthe diagnostic word.

Enable Interlaken TXCRC-32 generatorerror insertion

On / Off When you turn on this option, the error insertion of the interlakenCRC-32 generator is enabled. Error insertion is cycle-accurate.When this feature is enabled, the assertion of tx_control[8] ortx_err_ins signal causes the CRC calculation during that word isincorrectly inverted, and thus, the CRC created for that metaframeis incorrect.

Enable Interlaken RXCRC-32 checker

On / Off Enables the CRC-32 checker function.

Enablerx_enh_crc32_err port

On / Off When you turn on this option, the Enhanced PCS enables therx_enh_crc32_err port. This signal is asserted to indicate thatthe CRC checker has found an error in the current metaframe.This is an asynchronous signal.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide59

Page 60: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 23. 10GBASE-R BER Checker Parameters

Parameter Range Description

Enablerx_enh_highber port(10GBASE-R)

On / Off Enables the rx_enh_highber port. For 10GBASE-R transceiverconfiguration rule, this signal is asserted to indicate a bit errorrate higher than 10 -4 . Per the 10GBASE-R specification, thisoccurs when there are at least 16 errors within 125 μs. This is anasynchronous signal.

Enablerx_enh_highber_clr_cnt port (10GBASE-R)

On / Off Enables the rx_enh_highber_clr_cnt input port. For the10GBASE-R transceiver configuration rule, this signal is assertedto clear the internal counter. This counter indicates the number oftimes the BER state machine has entered the "BER_BAD_SH"state. This is an asynchronous signal.

Enablerx_enh_clr_errblk_count port (10GBASE-R)

On / Off Enables the rx_enh_clr_errblk_count input port. For the10GBASE-R transceiver configuration rule, this signal is assertedto clear the internal counter. This counter indicates the number ofthe times the RX state machine has entered the RX_E state. Forprotocols with FEC block enabled, this signal is asserted to resetthe status counters within the RX FEC block. This is anasynchronous signal.

Table 24. 64b/66b Encoder and Decoder Parameters

Parameter Range Description

Enable TX 64b/66bencoder (10GBASE-R)

On / Off When you turn on this option, the Enhanced PCS enables the TX64b/66b encoder.

Enable RX 64b/66bdecoder (10GBASE-R)

On / Off When you turn on this option, the Enhanced PCS enables the RX64b/66b decoder.

Enable TX sync headererror insertion

On / Off When you turn on this option, the Enhanced PCS supports cycle-accurate error creation to assist in exercising error conditiontesting on the receiver. When error insertion is enabled and theerror flag is set, the encoding sync header for the current word isgenerated incorrectly. If the correct sync header is 2'b01 (controltype), 2'b00 is encoded. If the correct sync header is 2'b10 (datatype), 2'b11 is encoded.

Table 25. Scrambler and Descrambler Parameters

Parameter Range Description

Enable TX scrambler(10GBASE-R/Interlaken)

On / Off Enables the scrambler function. This option is available for theBasic (Enhanced PCS) mode, Interlaken, and 10GBASE-Rprotocols. You can enable the scrambler in Basic (Enhanced PCS)mode when the block synchronizer is enabled and with 66:32,66:40, or 66:64 gear box ratios.

TX scrambler seed(10GBASE-R/Interlaken)

User-specified 58-bitvalue

You must provide a non-zero seed for the Interlaken protocol. Fora multi-lane Interlaken Transceiver Native PHY IP, the first lanescrambler has this seed. For other lanes' scrambler, this seed isincreased by 1 per each lane. The initial seed for 10GBASE-R is0x03FFFFFFFFFFFFFF. This parameter is required for the10GBASE-R and Interlaken protocols.

Enable RX descrambler(10GBASE-R/Interlaken)

On / Off Enables the descrambler function. This option is available for Basic(Enhanced PCS) mode, Interlaken, and 10GBASE-R protocols. Youcan enable the descrambler in Basic (Enhanced PCS) mode withthe block synchronizer enabled and with 66:32, 66:40, or 66:64gear box ratios.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide60

Page 61: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 26. Interlaken Disparity Generator and Checker Parameters

Parameter Range Description

Enable Interlaken TXdisparity generator

On / Off When you turn on this option, the Enhanced PCS enables thedisparity generator. This option is available for the Interlakenprotocol.

Enable Interlaken RXdisparity checker

On / Off When you turn on this option, the Enhanced PCS enables thedisparity checker. This option is available for the Interlakenprotocol.

Enable Interlaken TXrandom disparity bit

On / Off Enables the Interlaken random disparity bit. When enabled, arandom number is used as disparity bit which saves one cycle oflatency.

Table 27. Block Synchronizer Parameters

Parameter Range Description

Enable RX blocksynchronizer

On / Off When you turn on this option, the Enhanced PCS enables the RXblock synchronizer. This options is available for the Basic(Enhanced PCS) mode, Interlaken, and 10GBASE-R protocols.

Enablerx_enh_blk_lock port

On / Off Enables the rx_enh_blk_lock port. When you enable the blocksynchronizer, this signal is asserted to indicate that the blockdelineation has been achieved.

Table 28. Gearbox Parameters

Parameter Range Description

Enable TX data bitslip On / Off When you turn on this option, the TX gearbox operates in bitslipmode. The tx_enh_bitslip port controls number of bits which TXparallel data slips before going to the PMA.

Enable TX data polarityinversion

On / Off When you turn on this option, the polarity of TX data is inverted.This allows you to correct incorrect placement and routing on thePCB.

Enable RX data bitslip On / Off When you turn on this option, the Enhanced PCS RX blocksynchronizer operates in bitslip mode. When enabled, therx_bitslip port is asserted on the rising edge to ensure that RXparallel data from the PMA slips by one bit before passing to thePCS.

Enable RX datapolarity inversion

On / Off When you turn on this option, the polarity of the RX data isinverted. This allows you to correct incorrect placement androuting on the PCB.

Enable tx_enh_bitslipport

On / Off Enables the tx_enh_bitslip port. When TX bit slip is enabled,this signal controls the number of bits which TX parallel data slipsbefore going to the PMA.

Enable rx_bitslip port On / Off Enables the rx_bitslip port. When RX bit slip is enabled, therx_bitslip signal is asserted on the rising edge to ensure thatRX parallel data from the PMA slips by one bit before passing tothe PCS. This port is shared between Standard PCS and EnhancedPCS.

Note: If a design is slipping more bits than the PCS/PMA width, the Enhanced RX PCS FIFOcould overflow. To clear the overflow, assert rx_digitalreset.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide61

Page 62: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 29. KR-FEC Parameters

Parameter Range Description

Enable RX KR-FECerror marking

On/Off When you turn on this option, the decoder asserts both sync bits(2'b11) when it detects an uncorrectable error. This featureincreases the latency through the KR-FEC decoder.

Error marking type 10G, 40G Specifies the error marking type (10G or 40G).

Enable KR-FEC TXerror insertion

On/Off Enables the error insertion feature of the KR-FEC encoder. Thisfeature allows you to insert errors by corrupting data starting a bit0 of the current word.

KR-FEC TX errorinsertion spacing

User Input (1 bit to 15bit)

Specifies the spacing of the KR-FEC TX error insertion.

Enable tx_enh_frameport

On/Off Enables the tx_enh_frame port.

Enable rx_enh_frameport

On/Off Enables the rx_enh_frame port.

Enablerx_enh_frame_diag_status port

On/Off Enables the rx_enh_frame_diag_status port.

Related Information

• Arria 10 Enhanced PCS Architecture on page 461

• Using the "Basic (Enhanced PCS)" and "Basic with KR FEC" Configurations ofEnhanced PCS on page 289

• Interlaken on page 94

• 10GBASE-KR PHY IP Core on page 135

• Enhanced PCS Ports on page 76

• 10GBASE-R, 10GBASE-R with IEEE 1588v2, and 10GBASE-R with FEC Variants onpage 124

2.4.5. Standard PCS Parameters

This section provides descriptions of the parameters that you can specify to customizethe Standard PCS.

For specific information about configuring the Standard PCS for these protocols, referto the sections of this user guide that describe support for these protocols.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide62

Page 63: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 30. Standard PCS Parameters

Note: For detailed descriptions of the optional ports that you can enable or disable, refer to the Standard PCS Ports on page 86 section.

Parameter Range Description

Standard PCS/PMAinterface width

8, 10, 16, 20 Specifies the data interface width between the Standard PCS andthe transceiver PMA.

FPGA fabric/StandardTX PCS interface width

8, 10, 16, 20, 32, 40 Shows the FPGA fabric to TX PCS interface width. This value isdetermined by the current configuration of individual blocks withinthe Standard TX PCS datapath.

FPGA fabric/StandardRX PCS interface width

8, 10, 16, 20, 32, 40 Shows the FPGA fabric to RX PCS interface width. This value isdetermined by the current configuration of individual blocks withinthe Standard RX PCS datapath.

Enable Standard PCSlow latency mode

On / Off Enables the low latency path for the Standard PCS. Some of thefunctional blocks within the Standard PCS are bypassed to providethe lowest latency. You cannot turn on this parameter while usingthe Basic/Custom w/Rate Match (Standard PCS) specified forTransceiver configuration rules.

Table 31. Standard PCS FIFO Parameters

Parameter Range Description

TX FIFO mode low_latencyregister_fifofast_register

Specifies the Standard PCS TX FIFO mode. The following modesare available:• low_latency: This mode adds 2-3 cycles of latency to the TX

datapath.• register_fifo: In this mode the FIFO is replaced by registers

to reduce the latency through the PCS. Use this mode forprotocols that require deterministic latency, such as CPRI.

• fast_register: This mode allows a higher maximum frequency(fMAX) between the FPGA fabric and the TX PCS at the expenseof higher latency.

RX FIFO mode low_latencyregister_fifo

The following modes are available:• low_latency: This mode adds 2-3 cycles of latency to the RX

datapath.• register_fifo: In this mode the FIFO is replaced by registers

to reduce the latency through the PCS. Use this mode forprotocols that require deterministic latency, such as CPRI or1588.

Enabletx_std_pcfifo_full port

On / Off Enables the tx_std_pcfifo_full port. This signal indicateswhen the standard TX phase compensation FIFO is full. This signalis synchronous with tx_coreclkin.

Enabletx_std_pcfifo_emptyport

On / Off Enables the tx_std_pcfifo_empty port. This signal indicateswhen the standard TX phase compensation FIFO is empty. Thissignal is synchronous with tx_coreclkin.

Enablerx_std_pcfifo_full port

On / Off Enables the rx_std_pcfifo_full port. This signal indicateswhen the standard RX phase compensation FIFO is full. This signalis synchronous with rx_coreclkin.

Enablerx_std_pcfifo_emptyport

On / Off Enables the rx_std_pcfifo_empty port. This signal indicateswhen the standard RX phase compensation FIFO is empty. Thissignal is synchronous with rx_coreclkin.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide63

Page 64: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 32. Byte Serializer and Deserializer Parameters

Parameter Range Description

Enable TX byteserializer

DisabledSerialize x2Serialize x4

Specifies the TX byte serializer mode for the Standard PCS. Thetransceiver architecture allows the Standard PCS to operate atdouble or quadruple the data width of the PMA serializer. The byteserializer allows the PCS to run at a lower internal clock frequencyto accommodate a wider range of FPGA interface widths.Serialize x4 is only applicable for PCIe protocol implementation.

Enable RX bytedeserializer

DisabledDeserialize x2Deserialize x4

Specifies the mode for the RX byte deserializer in the StandardPCS. The transceiver architecture allows the Standard PCS tooperate at double or quadruple the data width of the PMAdeserializer. The byte deserializer allows the PCS to run at a lowerinternal clock frequency to accommodate a wider range of FPGAinterface widths. Deserialize x4 is only applicable for PCIeprotocol implementation.

Table 33. 8B/10B Encoder and Decoder Parameters

Parameter Range Description

Enable TX 8B/10Bencoder

On / Off When you turn on this option, the Standard PCS enables the TX8B/10B encoder.

Enable TX 8B/10Bdisparity control

On / Off When you turn on this option, the Standard PCS includes disparitycontrol for the 8B/10B encoder. You can force the disparity of the8B/10B encoder using the tx_forcedisp control signal.

Enable RX 8B/10Bdecoder

On / Off When you turn on this option, the Standard PCS includes the8B/10B decoder.

Table 34. Rate Match FIFO Parameters

Parameter Range Description

RX rate match FIFO mode DisabledBasic 10-bit PMA

widthBasic 20-bit PMA

widthGbEPIPE

PIPE 0 ppm

Specifies the operation of the RX rate match FIFO in the StandardPCS.Rate Match FIFO in Basic (Single Width) Mode on page 306

Rate Match FIFO Basic (Double Width) Mode on page 308

Rate Match FIFO for GbE on page 117

Transceiver Channel Datapath for PIPE on page 230

RX rate match insert/delete -ve pattern (hex)

User-specified 20 bitpattern

Specifies the -ve (negative) disparity value for the RX rate matchFIFO as a hexadecimal string.

RX rate match insert/delete +ve pattern (hex)

User-specified 20 bitpattern

Specifies the +ve (positive) disparity value for the RX rate matchFIFO as a hexadecimal string.

Enable rx_std_rmfifo_fullport

On / Off Enables the optional rx_std_rmfifo_full port.

Enablerx_std_rmfifo_empty port

On / Off Enables the rx_std_rmfifo_empty port.

PCI Express* Gen3 ratematch FIFO mode

Bypass0 ppm

600 ppm

Specifies the PPM tolerance for the PCI Express Gen3 rate matchFIFO.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide64

Page 65: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 35. Word Aligner and Bitslip Parameters

Parameter Range Description

Enable TX bitslip On / Off When you turn on this option, the PCS includes the bitslipfunction. The outgoing TX data can be slipped by thenumber of bits specified by thetx_std_bitslipboundarysel control signal.

Enable tx_std_bitslipboundaryselport

On / Off Enables the tx_std_bitslipboundarysel control signal.

RX word aligner mode bitslipmanual (PLDcontrolled)

synchronous statemachine

deterministiclatency

Specifies the RX word aligner mode for the Standard PCS.The word aligned width depends on the PCS and PMA width,and whether or not 8B/10B is enabled.Refer to "Word Aligner" for more information.

RX word aligner pattern length 7, 8, 10, 16, 20,32, 40

Specifies the length of the pattern the word aligner uses foralignment.Refer to "RX Word Aligner Pattern Length" table in "WordAligner". It shows the possible values of "Rx Word AlignerPattern Length" in all available word aligner modes.

RX word aligner pattern (hex) User-specified Specifies the word alignment pattern in hex.

Number of word alignmentpatterns to achieve sync

0-255 Specifies the number of valid word alignment patterns thatmust be received before the word aligner achievessynchronization lock. The default is 3.

Number of invalid words to losesync

0-63 Specifies the number of invalid data codes or disparityerrors that must be received before the word aligner losessynchronization. The default is 3.

Number of valid data words todecrement error count

0-255 Specifies the number of valid data codes that must bereceived to decrement the error counter. If the word alignerreceives enough valid data codes to decrement the errorcount to 0, the word aligner returns to synchronization lock.

Enable fast sync status reportingfor deterministic Latency SM

On / Off When enabled, the rx_syncstatus asserts highimmediately after the deserializer has completed slippingthe bits to achieve word alignment. When it is not selected,rx_syncstatus asserts after the cycle slip operation iscomplete and the word alignment pattern is detected by thePCS (i.e. rx_patterndetect is asserted). This parameteris only applicable when the selected protocol is CPRI (Auto).

Enable rx_std_wa_patternalignport

On / Off Enables the rx_std_wa_patternalign port. When theword aligner is configured in manual mode and when thissignal is enabled, the word aligner aligns to next incomingword alignment pattern.

Enable rx_std_wa_a1a2size port On / Off Enables the optional rx_std_wa_a1a2size control inputport.

Enablerx_std_bitslipboundarysel port

On / Off Enables the optional rx_std_bitslipboundarysel statusoutput port.

Enable rx_bitslip port On / Off Enables the rx_bitslip port. This port is shared betweenthe Standard PCS and Enhanced PCS.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide65

Page 66: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 36. Bit Reversal and Polarity Inversion

Parameter Range Description

Enable TX bit reversal On / Off When you turn on this option, the 8B/10B Encoder reverses TXparallel data before transmitting it to the PMA for serialization.The transmitted TX data bit order is reversed. The normal orderis LSB to MSB. The reverse order is MSB to LSB. During theoperation of the circuit, this setting can be changed throughdynamic reconfiguration.

Enable TX byte reversal On / Off When you turn on this option, the 8B/10B Encoder reverses thebyte order before transmitting data. This function allows you toreverse the order of bytes that were erroneously swapped. ThePCS can swap the ordering of either one of the 8- or 10-bitwords, when the PCS/PMA interface width is 16 or 20 bits. Thisoption is not valid under certain Transceiver configurationrules.

Enable TX polarityinversion

On / Off When you turn on this option, the tx_std_polinv port controlspolarity inversion of TX parallel data to the PMA. When you turnon this parameter, you also need to turn on the Enabletx_polinv port.

Enable tx_polinv port On / Off When you turn on this option, the tx_polinv input control portis enabled. You can use this control port to swap the positive andnegative signals of a serial differential link, if they wereerroneously swapped during board layout.

Enable RX bit reversal On / Off When you turn on this option, the word aligner reverses RXparallel data. The received RX data bit order is reversed. Thenormal order is LSB to MSB. The reverse order is MSB to LSB.This setting can be changed through dynamic reconfiguration.When you enable Enable RX bit reversal, you must also enableEnable rx_std_bitrev_ena port.

Enable rx_std_bitrev_enaport

On / Off When you turn on this option and assert therx_std_bitrev_ena control port, the RX data order isreversed. The normal order is LSB to MSB. The reverse order isMSB to LSB.

Enable RX byte reversal On / Off When you turn on this option, the word aligner reverses the byteorder, before storing the data in the RX FIFO. This function allowsyou to reverse the order of bytes that are erroneously swapped.The PCS can swap the ordering of either one of the 8- or 10-bitwords, when the PCS / PMA interface width is 16 or 20 bits. Thisoption is not valid under certain Transceiver configurationrules.When you enable Enable RX byte reversal, you must alsoselect the Enable rx_std_byterev_ena port.

Enablerx_std_byterev_ena port

On / Off When you turn on this option and assert therx_std_byterev_ena input control port, the order of theindividual 8- or 10-bit words received from the PMA is swapped.

Enable RX polarityinversion

On / Off When you turn on this option, the rx_std_polinv port invertsthe polarity of RX parallel data. When you turn on thisparameter, you also need to enable Enable rx_polinv port.

Enable rx_polinv port On / Off When you turn on this option, the rx_polinv input is enabled.You can use this control port to swap the positive and negativesignals of a serial differential link if they were erroneouslyswapped during board layout.

Enable rx_std_signaldetectport

On / Off When you turn on this option, the optionalrx_std_signaldetect output port is enabled. This signal isrequired for the PCI Express protocol. If enabled, the signalthreshold detection circuitry senses whether the signal levelpresent at the RX input buffer is above the signal detect

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide66

Page 67: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Range Description

threshold voltage that you specified. You can specify the signaldetect threshold using a Quartus Prime Assignment Editor or bymodifying the Quartus Settings File (.qsf)

Table 37. PCIe Ports

Parameter Range Description

Enable PCIe dynamicdatarate switch ports

On / Off When you turn on this option, the pipe_rate, pipe_sw, andpipe_sw_done ports are enabled. You should connect these portsto the PLL IP core instance in multi-lane PCIe Gen2 and Gen3configurations. The pipe_sw and pipe_sw_done ports are onlyavailable for multi-lane bonded configurations.

Enable PCIepipe_hclk_in andpipe_hclk_out ports

On / Off When you turn on this option, the pipe_hclk_in, andpipe_hclk_out ports are enabled. The pipe_hclk_in portmust be connected to the PLL IP core instance for the PCI Expressconfigurations. The pipe_hclk_out port can be left floatingwhen you connect tx_clkout to the MAC clock input.

Enable PCIe Gen3analog control ports

On / Off When you turn on this option, the pipe_g3_txdeemph andpipe_g3_rxpresenthint ports are enabled. You can use theseports for equalization for Gen3 configurations.

Enable PCIe electricalidle control and statusports

On / Off When you turn on this option, the pipe_rx_eidleinfersel andpipe_rx_elecidle ports are enabled. These ports are used forPCI Express configurations.

Enable PCIepipe_rx_polarity port

On / Off When you turn on this option, the pipe_rx_polarity inputcontrol port is enabled. You can use this option to control channelsignal polarity for PCI Express configurations. When the StandardPCS is configured for PCIe, the assertion of this signal inverts theRX bit polarity. For other Transceiver configuration rules theoptional rx_polinv port inverts the polarity of the RX bit stream.

Related Information

• Standard PCS Ports on page 86

• Word Aligner on page 485

2.4.6. PCS Direct

Table 38. PCS Direct Datapath Parameters

Parameter Range Description

PCS Direct interface width 8, 10, 16, 20, 32, 40, 64 Specifies the data interface width between the PLD andthe transceiver PMA.

2.4.7. Dynamic Reconfiguration Parameters

Dynamic reconfiguration allows you to change the behavior of the transceiver channelsand PLLs without powering down the device.

Each transceiver channel and PLL includes an Avalon-MM slave interface forreconfiguration. This interface provides direct access to the programmable addressspace of each channel and PLL. Because each channel and PLL includes a dedicatedAvalon-MM slave interface, you can dynamically modify channels either concurrentlyor sequentially. If your system does not require concurrent reconfiguration, you canparameterize the Transceiver Native PHY IP to share a single reconfiguration interface.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide67

Page 68: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

You can use dynamic reconfiguration to change many functions and features of thetransceiver channels and PLLs. For example, you can change the reference clock inputto the TX PLL. You can also change between the Standard and Enhanced datapaths.

To enable Intel Arria 10 transceiver toolkit capability in the Native PHY IP core, youmust enable the following options:

• Enable dynamic reconfiguration

• Enable Altera Debug Master Endpoint

• Enable capability registers

• Enable control and status registers

• Enable PRBS (Pseudo Random Binary Sequence) soft accumulators

Table 39. Dynamic Reconfiguration

Parameter Value Description

Enable dynamicreconfiguration

On/Off When you turn on this option, the dynamic reconfigurationinterface is enabled.

Share reconfigurationinterface

On/Off When you turn on this option, the Transceiver Native PHY IPpresents a single Avalon-MM slave interface for dynamicreconfiguration for all channels. In this configuration, the upper[n-1:10] address bits of the reconfiguration address bus specifythe channel. The channel numbers are binary encoded. Addressbits [9:0] provide the register offset address within thereconfiguration space for a channel.

Enable Altera DebugMaster Endpoint

On/Off When you turn on this option, the Transceiver Native PHY IPincludes an embedded Altera Debug Master Endpoint (ADME) thatconnects internally to the Avalon-MM slave interface for dynamicreconfiguration. The ADME can access the reconfiguration space ofthe transceiver. It can perform certain test and debug functionsvia JTAG using the System Console. This option requires you toenable the Share reconfiguration interface option forconfigurations using more than one channel.

Separatereconfig_waitrequestfrom the status ofAVMM arbitration withPreSICE

On/Off When enabled, the reconfig_waitrequest does not indicatethe status of AVMM arbitration with PreSICE. The AVMM arbitrationstatus is reflected in a soft status register bit. This featurerequires that the "Enable control and status registers" featureunder "Optional Reconfiguration Logic" be enabled.

Table 40. Optional Reconfiguration Logic

Parameter Value Description

Enable capabilityregisters

On/Off Enables capability registers that provide high level information about theconfiguration of the transceiver channel.

Set user-defined IPidentifier

User-defined Sets a user-defined numeric identifier that can be read from theuser_identifier offset when the capability registers are enabled.

Enable control andstatus registers

On/Off Enables soft registers to read status signals and write control signals on thePHY interface through the embedded debug.

Enable PRBS (PseudoRandom BinarySequence) softaccumulators

On/Off Enables soft logic for performing PRBS bit and error accumulation when thehard PRBS generator and checker are used.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide68

Page 69: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 41. Configuration Files

Parameter Value Description

Configuration fileprefix

<prefix> Here, the file prefix to use for generated configuration files isspecified. Each variant of the Transceiver Native PHY IP should usea unique prefix for configuration files.

GenerateSystemVerilogpackage file

On/Off When you turn on this option, the Transceiver Native PHY IPgenerates a SystemVerilog package file,reconfig_parameters.sv. This file contains parameters definedwith the attribute values required for reconfiguration.

Generate C header file On/Off When you turn on this option, the Transceiver Native PHY IPgenerates a C header file, reconfig_parameters.h. This filecontains macros defined with the attribute values required forreconfiguration.

Generate MIF (MemoryInitialization File)

On/Off When you turn on this option, the Transceiver Native PHY IPgenerates a MIF, reconfig_parameters.mif. This file contains theattribute values required for reconfiguration in a data format.

Include PMA analogsettings inconfiguration files

On/Off When enabled, the IP allows you to configure the PMA analogsettings that are selected in the Analog PMA settings (Optional)tab. These settings are included in your generated configurationfiles.Note: You must still specify the analog settings for your current

configuration using Quartus Prime Setting File (.qsf)assignments in Quartus. This option does not remove therequirement to specify Quartus Prime Setting File (.qsf)assignments for your analog settings. Refer to the AnalogParameter Settings chapter in the Arria 10 Transceiver PHYUser Guide for details on using the QSF assignments.

Table 42. Configuration Profiles

Parameter Value Description

Enablemultiplereconfiguration profiles

On/Off When enabled, you can use the GUI to store multiple configurations. This information isused by Quartus to include the necessary timing arcs for all configurations during timingdriven compilation. The Native PHY generates reconfiguration files for all of the storedprofiles. The Native PHY also checks your multiple reconfiguration profiles forconsistency to ensure you can reconfigure between them. Among other things thischecks that you have exposed the same ports for each configuration.(28)

Enableembeddedreconfiguration streamer

On/Off Enables the embedded reconfiguration streamer, which automates the dynamicreconfiguration process between multiple predefined configuration profiles. This isoptional and increases logic utilization. The PHY includes all of the logic and datanecessary to dynamically reconfigure between pre-configured profiles.

Generatereducedreconfiguration files

On/Off When enabled, The Native PHY generates reconfiguration report files containing only theattributes or RAM data that are different between the multiple configured profiles. Thereconfiguration time decreases with the use of reduced .mif files.

Number ofreconfiguration profiles

1-8 Specifies the number of reconfiguration profiles to support when multiple reconfigurationprofiles are enabled.

Selectedreconfiguration profile

0-7 Selects which reconfiguration profile to store/load/clear/refresh, when clicking therelevant button for the selected profile.

continued...

(28) For more information on timing closure, refer to the Reconfiguration Interface and DynamicReconfiguration chapter.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide69

Page 70: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Value Description

Storeconfigurationto selectedprofile

- Clicking this button saves or stores the current Native PHY parameter settings to theprofile specified by the Selected reconfiguration profile parameter.

Loadconfigurationfrom selectedprofile

- Clicking this button loads the current Native PHY with parameter settings from thestored profile specified by the Selected reconfiguration profile parameter.

Clear selectedprofile

- Clicking this button clears or erases the stored Native PHY parameter settings for theprofile specified by the Selected reconfiguration profile parameter. An empty profiledefaults to the current parameter settings of the Native PHY.

Clear allprofiles

- Clicking this button clears the Native PHY parameter settings for all the profiles.

Refreshselectedprofile

- Clicking this button is equivalent to clicking the Load configuration from selectedprofile and Store configuration to selected profile buttons in sequence. Thisoperation loads the Native PHY parameter settings from stored profile specified by theSelected reconfiguration profile parameter and subsequently stores or saves theparameters back to the profile.

Table 43. Analog PMA Settings (Optional) for Dynamic Reconfiguration

Parameter Value Description

TX Analog PMA Settings

Analog Mode (Load Intel-recommended Defaultsettings)

Cei_11100_lr to xfp_9950 Selects the analog protocol mode to pre-select theTX pin swing settings (VOD, Pre-emphasis, andSlew Rate). After loading the pre-selected valuesin the GUI, if one or more of the individual TX pinswing settings need to be changed, then enablethe option to override the Intel-recommendeddefaults to individually modify the settings.

Override Intel-recommendedAnalog Mode Default settings

On/Off Enables the option to override the Intel-recommended settings for the selected TX AnalogMode for one or more TX analog parameters.

Output Swing Level (VOD) 0-31 Selects the transmitter programmable outputdifferential voltage swing.

Pre-Emphasis First Pre-TapPolarit

Fir_pre_1t_neg

Fir_pre_1t_pos

Selects the polarity of the first pre-tap for pre-emphasis.

Pre-Emphasis First Pre-TapMagnitude

0-16 (29) Selects the magnitude of the first pre-tap for pre-emphasis

Pre-Emphasis Second Pre-Tap Polarity

Fir_pre_2t_neg

Fir_pre_2t_pos

Selects the polarity of the second pre-tap for pre-emphasis.

Pre-Emphasis Second Pre-Tap Magnitude

0-7 (30) Selects the magnitude of the second pre-tap forpre-emphasis.

continued...

(29) For more information refer to Available Options table in theXCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_PRE_TAP_1T section of the Analog ParameterSettings chapter.

(30) For more information refer to Available Options table in theXCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_PRE_TAP_2T section of the Analog ParameterSettings chapter.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide70

Page 71: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Value Description

Pre-Emphasis First Post-TapPolarity

Fir_post_1t_negFir_post_1t_pos

Selects the polarity of the first post-tap for pre-emphasis

Pre-Emphasis First Post-TapMagnitude

0-25 (31) Selects the magnitude of the first post-tap forpre-emphasis.

Pre-Emphasis Second Post-Tap Polarity

Fir_post_2t_neg

Fir_post_2t_pos

Selects the polarity of the second post-tap forpre-emphasis.

Pre-Emphasis Second Post-Tap Magnitude

0-12 (32) Selects the magnitude of the second post-tap forpre-emphasis

Slew Rate Control slew_r0 to slew_r5 Selects the slew rate of the TX output signal. Validvalues span from slowest to the fastest rate.

High-Speed Compensation Enable/Disable Enables the power-distribution network (PDN)induced inter-symbol interference (ISI)compensation in the TX driver. When enabled, itreduces the PDN induced ISI jitter, but increasesthe power consumption.

On-Chip termination r_r1

r_r2

Selects the on-chip TX differential termination.

RX Analog PMA settings

Override Intel-recommendedDefault settings

On/Off Enables the option to override the Intel-recommended settings for one or more RX analogparameters

CTLE (Continuous TimeLinear Equalizer) mode

non_s1_mode

S1_mode

Selects between the RX high gain modenon_s1_mode or RX high data rate modes1_mode for the Continuous Time LinearEqualizer (CTLE).

DC gain control of high gainmode CTLE

No_dc_gain to stg4_gain7 Selects the DC gain of the Continuous Time LinearEqualizer (CTLE) in high gain mode

AC Gain Control of High GainMode CTLE

radp_ctle_acgain_4s_0 toradp_ctle_acgain_4s_28

Selects the AC gain of the Continuous Time LinearEqualizer (CTLE) in high gain mode when CTLE isin manual mode.

AC Gain Control of High DataRate Mode CTLE

radp_ctle_eqz_1s_sel_0 toRadp_ctle_eqz_1s_sel_15

Selects the AC gain of the Continuous Time LinearEqualizer (CTLE) in high data rate mode whenCTLE is in manual mode.

Variable Gain Amplifier(VGA) Voltage Swing Select

radp_vga_sel_0 toradp_vga_sel_7

Selects the Variable Gain Amplifier (VGA) outputvoltage swing when both the CTLE and DFE blocksare in manual mode

Decision Feedback Equalizer(DFE) Fixed Tap 1 Co-efficient

radp_dfe_fxtap1_0 toradp_dfe_fxtap1_127

Selects the co-efficient of the fixed tap 1 of theDecision Feedback Equalizer (DFE) whenoperating in manual mode

Decision Feedback Equalizer(DFE) Fixed Tap 2 Co-efficient

radp_dfe_fxtap2_0 toradp_dfe_fxtap2_127

Selects the co-efficient of the fixed tap 2 of theDecision Feedback Equalizer (DFE) whenoperating in manual mode

continued...

(31) For more information refer to Available Options table in theXCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP section of the Analog ParameterSettings chapter.

(32) For more information refer to Available Options table in theXCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_2ND_POST_TAP section of the Analog ParameterSettings chapter.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide71

Page 72: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Value Description

Decision Feedback Equalizer(DFE) Fixed Tap 3 Co-efficient

radp_dfe_fxtap3_0 toradp_dfe_fxtap3_127

Selects the co-efficient of the fixed tap 3 of theDecision Feedback Equalizer (DFE) whenoperating in manual mode.

Decision Feedback Equalizer(DFE) Fixed Tap 4 Co-efficient

radp_dfe_fxtap4_0 toradp_dfe_fxtap4_63

Selects the co-efficient of the fixed tap 4 of theDecision Feedback Equalizer (DFE) whenoperating in manual mode.

Decision Feedback Equalizer(DFE) Fixed Tap 5 Co-efficient

radp_dfe_fxtap5_0 toradp_dfe_fxtap5_63

Selects the co-efficient of the fixed tap 5 of theDecision Feedback Equalizer (DFE) whenoperating in manual mode.

Decision Feedback Equalizer(DFE) Fixed Tap 6 Co-efficient

radp_dfe_fxtap6_0 toradp_dfe_fxtap6_31

Selects the co-efficient of the fixed tap 6 of theDecision Feedback Equalizer (DFE) whenoperating in manual mode.

Decision Feedback Equalizer(DFE) Fixed Tap 7 Co-efficient

radp_dfe_fxtap7_0 toradp_dfe_fxtap7_31

Selects the co-efficient of the fixed tap 7 of theDecision Feedback Equalizer (DFE) whenoperating in manual mode.

Decision Feedback Equalizer(DFE) Fixed Tap 8 Co-efficient

radp_dfe_fxtap8_0 toradp_dfe_fxtap8_31

Selects the co-efficient of the fixed tap 8 of theDecision Feedback Equalizer (DFE) whenoperating in manual mode.

Decision Feedback Equalizer(DFE) Fixed Tap 9 Co-efficient

radp_dfe_fxtap9_0 toradp_dfe_fxtap9_31

Selects the co-efficient of the fixed tap 9 of theDecision Feedback Equalizer (DFE) whenoperating in manual mode.

Decision Feedback Equalizer(DFE) Fixed Tap 10 Co-efficient

radp_dfe_fxtap10_0 toradp_dfe_fxtap10_31

Selects the co-efficient of the fixed tap 10 of theDecision Feedback Equalizer (DFE) whenoperating in manual mode.

Decision Feedback Equalizer(DFE) Fixed Tap 11 Co-efficient

radp_dfe_fxtap11_0 toradp_dfe_fxtap11_31

Selects the co-efficient of the fixed tap 11 of theDecision Feedback Equalizer (DFE) whenoperating in manual mode.

On-Chip termination R_ext0, r_r1, r_r2 Selects the on-chip RX differential termination.

Table 44. Generation Options

Parameter Value Description

Generate parameterdocumentation file

On/Off When you turn on this option, generation produces a Comma-Separated Value (.csv ) file with descriptions of the TransceiverNative PHY IP parameters.

Related Information

• Analog Parameter Settings on page 585

• XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_PRE_TAP_1T on page 602

• XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_PRE_TAP_2T on page 602

• XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP on page 603

• XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_2ND_POST_TAP on page 604

• Reconfiguration Interface and Dynamic Reconfiguration on page 502

• Transmitter Pre-Emphasis First Pre-Tap Value on page 600

• Transmitter Pre-Emphasis Second Pre-Tap Value on page 600

• Transmitter Pre-Emphasis First Post-Tap Value on page 601

• Transmitter Pre-Emphasis Second Post-Tap Value on page 601

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide72

Page 73: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.4.8. PMA Ports

This section describes the PMA and calibration ports for the Arria 10 Transceiver NativePHY IP core.

The following tables, the variables represent these parameters:

• <n>—The number of lanes

• <d>—The serialization factor

• <s>—The symbol size

• <p>—The number of PLLs

Table 45. TX PMA Ports

Name Direction Clock Domain Description

tx_serial_data[<n>-1:0]

Input N/A This is the serial data output of the TX PMA.

tx_serial_clk0 Input Clock This is the serial clock from the TX PLL. The frequency of thisclock depends on the data rate and clock division factor. Thisclock is for non bonded channels only. For bonded channelsuse the tx_bonding_clocks clock TX input.

tx_bonding_clocks[<n><6>-1:0]

Input Clock This is a 6-bit bus which carries the low speed parallel clockper channel. These clocks are outputs from the master CGB.Use these clocks for bonded channels only.

Optional Ports

tx_serial_clk1

tx_serial_clk2

tx_serial_clk3

tx_serial_clk4

Inputs Clocks These are the serial clocks from the TX PLL. The frequency ofthese clocks depends on the data rate and clock divisionfactor. These additional ports are enabled when you specifymore than one TX PLL.

tx_analog_reset_ack

Output Asynchronous Enables the optional tx_pma_analog_reset_ack output.This port should not be used for register mode data transfers

tx_pma_clkout Output Clock This clock is the low speed parallel clock from the TX PMA. Itis available when you turn on Enable tx_pma_clkout portin the Transceiver Native PHY IP core Parameter Editor. (33)

tx_pma_div_clkout Output Clock If you specify a tx_pma_div_clkout division factor of 1 or2, this clock output is derived from the PMA parallel clock(low speed parallel clock). If you specify atx_pma_div_clkout division factor of 33, 40, or 66, thisclock is derived from the PMA serial clock. This clock iscommonly used when the interface to the TX FIFO runs at adifferent rate than the PMA parallel clock frequency, such as66:40 applications.

tx_pma_iqtxrx_clkout

Output Clock This port is available if you turn on Enable tx_pma_iqtxrx_clkout port in the Transceiver Native PHY IPcore Parameter Editor. This output clock can be used tocascade the TX PMA output clock to the input of a PLL.

tx_pma_elecidle[<n>-1:0]

Input Asynchronous When you assert this signal, the transmitter is forced toelectrical idle. This port has no effect when you configure thetransceiver for the PCI Express protocol.

continued...

(33) This clock is not to be used to clock the FPGA - transceiver interface. This clock may be usedas a reference clock to an external clock cleaner.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide73

Page 74: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Name Direction Clock Domain Description

tx_pma_qpipullup[<n>-1:0]

Input Asynchronous This port is available if you turn on Enabletx_pma_qpipullup port (QPI) in the Transceiver Native PHYIP core Parameter Editor. It is only used for Quick PathInterconnect (QPI) applications.

tx_pma_qpipulldn[<n>-1:0]

Input Asynchronous This port is available if you turn on Enabletx_pma_qpipulldn port (QPI) in the Transceiver Native PHYIP core Parameter Editor. It is only used for Quick PathInterconnect (QPI) applications.

tx_pma_txdetectrx[<n>-1:0]

Input Asynchronous This port is available if you turn on Enabletx_pma_txdetectrx port (QPI) in the Transceiver NativePHY IP core Parameter Editor. When asserted, the receiverdetect block in TX PMA detects the presence of a receiver atthe other end of the channel. After receiving thetx_pma_txdetectrx request, the receiver detect blockinitiates the detection process. Use this port for Quick PathInterconnect (QPI) applications only.

tx_pma_rxfound[<n>-1:0]

Output Synchronous torx_coreclkin orrx_clkout basedon theconfiguration.

This port is available if you turn on Enabletx_rxfound_pma port (QPI) in the Transceiver Native PHYIP core Parameter Editor. When asserted, indicates thatthe receiver detect block in TX PMA has detected a receiverat the other end of the channel. Use this port for Quick PathInterconnect (QPI) applications only.

rx_seriallpbken[<n>-1:0]

Input Asynchronous This port is available if you turn on Enable rx_seriallpbkenport in the Transceiver Native PHY IP core ParameterEditor. The assertion of this signal enables the TX to RXserial loopback path within the transceiver. This signal can beenabled in Duplex or Simplex mode. If enabled in Simplexmode, you must drive the signal on both the TX and RXinstances from the same source. Otherwise the design failscompilation.

Table 46. RX PMA Ports

Name Direction Clock Domain Description

rx_serial_data[<n>-1:0]

Input N/A Specifies serial data input to the RX PMA.

rx_cdr_refclk0 Input Clock Specifies reference clock input to the RX clock data recovery(CDR) circuitry.

Optional Ports

rx_cdr_refclk1–rx_cdr_refclk4

Input Clock Specifies reference clock inputs to the RX clock data recovery(CDR) circuitry.

rx_analog_reset_ack

Output Asynchronous Enables the optional rx_pma_analog_reset_ack output. Thisport should not be used for register mode data transfers.

rx_pma_clkout Output Clock This clock is the recovered parallel clock from the RX CDRcircuitry.

rx_pma_div_clkout Output Clock The deserializer generates this clock. This is used to drive corelogic, PCS-to-FPGA fabric interface, or both. If you specify arx_pma_div_clkout division factor of 1 or 2, this clock outputis derived from the PMA parallel clock (low speed parallelclock). If you specify a rx_pma_div_clkout division factor of33, 40, or 66, this clock is derived from the PMA serial clock.This clock is commonly used when the interface to the RX FIFOruns at a different rate than the PMA parallel clock (low speedparallel clock) frequency, such as 66:40 applications.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide74

Page 75: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Name Direction Clock Domain Description

rx_pma_iqtxrx_clkout

Output Clock This port is available if you turn on Enable rx_pma_iqtxrx_clkout port in the Transceiver Native PHY IPcore Parameter Editor. This output clock can be used tocascade the RX PMA output clock to the input of a PLL.

rx_pma_clkslip Output Clock When asserted, indicates that the deserializer has eitherskipped one serial bit or paused the serial clock for one cycle toachieve word alignment. As a result, the period of the parallelclock could be extended by 1 unit interval (UI) during the clockslip operation.

rx_pma_qpipulldn[<n>-1:0]

Input Asynchronous This port is only used for Quick Path Interconnect (QPI)applications.

rx_is_lockedtodata[<n>-1:0]

Output rx_clkout When asserted, indicates that the CDR PLL is locked to theincoming data, rx_serial_data.

rx_is_lockedtoref[<n>-1:0]

Output rx_clkout When asserted, indicates that the CDR PLL is locked to theinput reference clock.

rx_set_locktodata[<n>-1:0]

Input Asynchronous This port provides manual control of the RX CDR circuitry.

rx_set_locktoref[<n>-1:0]

Input Asynchronous This port provides manual control of the RX CDR circuitry.

rx_seriallpbken[<n>-1:0]

Input Asynchronous This port is available if you turn on Enable rx_ seriallpbkenport in the Transceiver Native PHY IP core Parameter Editor.The assertion of this signal enables the TX to RX serialloopback path within the transceiver. This signal is enabled inDuplex or Simplex mode. If enabled in Simplex mode, youmust drive the signal on both the TX and RX instances fromthe same source. Otherwise the design fails compilation.

rx_prbs_done[<n>-1:0]

Output rx_coreclkinor rx_clkout

When asserted, indicates the verifier has aligned and capturedconsecutive PRBS patterns and the first pass through apolynomial is complete.

rx_prbs_err[<n>-1:0]

Output rx_coreclkinor rx_clkout

When asserted, indicates an error only after therx_prbs_done signal has been asserted. This signal getsasserted for three parallel clock cycles for every error thatoccurs. Errors can only occur once per word.

rx_prbs_err_clr[<n>-1:0]

Input rx_coreclkinor rx_clkout

When asserted, clears the PRBS pattern and deasserts therx_prbs_done signal.

Table 47. Calibration Status Ports

Name Direction Clock Domain Description

tx_cal_busy[<n>-1:0] Output Asynchronous When asserted, indicates that the initial TXcalibration is in progress. For both initial andmanual recalibration, this signal is assertedduring calibration and deasserts after calibrationis completed. You must hold the channel inreset until calibration completes.

rx_cal_busy[<n>-1:0] Output Asynchronous When asserted, indicates that the initial RXcalibration is in progress. For both initial andmanual recalibration, this signal is assertedduring calibration and deasserts after calibrationis completed.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide75

Page 76: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 48. Reset Ports

Name Direction Clock Domain(34) Description

tx_analogreset[<n>-1:0]

Input Asynchronous Resets the analog TX portion of the transceiverPHY.

tx_digitalreset[<n>-1:0]

Input Asynchronous Resets the digital TX portion of the transceiverPHY.

rx_analogreset[<n>-1:0]

Input Asynchronous Resets the analog RX portion of the transceiverPHY.

rx_digitalreset[<n>-1:0]

Input Asynchronous Resets the digital RX portion of the transceiverPHY.

2.4.9. Enhanced PCS Ports

Figure 24. Enhanced PCS InterfacesThe labeled inputs and outputs to the PMA and PCS modules represent buses, not individual signals.

reconfig_resetreconfig_clkreconfig_avmm

TX Parallel Data, Control, ClocksEnhanced PCS TX FIFOInterlaken Frame Generator

ReconfigurationRegisters

TX Enhanced PCS

RX Enhanced PCS

Nios HardCalibration IP

TX PMA

Serializer

RX PMA

DeserializerCDR

tx_cal_busyrx_cal_busy

Serial DataOptional Ports

CDR ControlQPI

Serial Data

ClockGeneration

Block

tx_serial_clk0(from TX PLL) tx_analog_reset

RX Parallel Data, Control, ClocksEnhanced PCS RX FIFOInterlaken Frame Synchronizer10GBASE-R BER CheckerBitslip

Bitslip

rx_analog_reset

ClocksPRBS

Optional Ports

ClocksQPI

Arria 10 Transceiver Native PHY

In the following tables, the variables represent these parameters:

• <n>—The number of lanes

• <d>—The serialization factor

• <s>— The symbol size

• <p>—The number of PLLs

(34) Although the reset ports are not synchronous to any clock domain, Intel recommends that yousynchronize the reset ports with the system clock.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide76

Page 77: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 49. Enhanced TX PCS: Parallel Data, Control, and Clocks

Name Direction Clock Domain Description

tx_parallel_data[<n>128-1:0]

Input Synchronous tothe clock drivingthe write side ofthe FIFO(tx_coreclkinor tx_clkout)

TX parallel data inputs from the FPGA fabric to the TX PCS. Ifyou select Enable simplified interface in the TransceiverNative PHY IP Parameter Editor, tx_parallel_dataincludes only the bits required for the configuration you specify.You must ground the data pins that are not active. For singlewidth configuration, the following bits are active:• 32-bit FPGA fabric to PCS interface width:

tx_parallel_data[31:0]. Ground [127:32].• 40-bit FPGA fabric to PCS interface width:

tx_parallel_data[39:0]. Ground [127:40].• 64-bit FPGA fabric to PCS interface width:

tx_parallel_data[63:0] Ground [127:64].For double width configuration, the following bits are active:• 40-bit FPGA fabric to PCS interface width: data[103:64],

[39:0]. Ground [127:104], [63:40].• 64-bit FPGA fabric to PCS interface width: data[127:64],

[63:0].Double-width mode is not supported for 32-bit, 50-bit, and 67-bit FPGA fabric to PCS interface widths.

unused_tx_parallel_data

Input tx_clkout Port is enabled, when you enable Enable simplified datainterface. Connect all of these bits to 0. When Enablesimplified data interface is disabled, the unused bits are apart of tx_parallel_data. Refer to tx_parallel_data toidentify the bits you need to ground.

tx_control[<n><3>-1:0] ortx_control[<n><18>-1:0]

Input Synchronous tothe clock drivingthe write side ofthe FIFO(tx_coreclkinor tx_clkout)

tx_control bits have different functionality depending on thetransceiver configuration rule selected. When Simplified datainterface is enabled, the number of bits in this bus changebecause the unused bits are shown as part of theunused_tx_control port.Refer to Enhanced PCS TX and RX Control Ports on page 83section for more details.

unused_tx_control[<n> <15>-1:0]

Input Synchronous tothe clock drivingthe write side ofthe FIFO(tx_coreclkinor tx_clkout)

This port is enabled when you enable Enable simplified datainterface. Connect all of these bits to 0. When Enablesimplified data interface is disabled, the unused bits are apart of the tx_control.Refer to tx_control to identify the bits you need to ground.

tx_err_ins Input tx_coreclkin For the Interlaken protocol, you can use this bit to insert thesynchronous header and CRC32 errors if you have turned onEnable simplified data interface.When asserted, the synchronous header for that cycle word isreplaced with a corrupted one. A CRC32 error is also inserted ifEnable Interlaken TX CRC-32 generator error insertion isturned on. The corrupted sync header is 2'b00 for a controlword, and 2'b11 for a data word. For CRC32 error insertion, theword used for CRC calculation for that cycle is incorrectlyinverted, causing an incorrect CRC32 in the Diagnostic Word ofthe Metaframe.Note that a synchronous header error and a CRC32 errorcannot be created for the Framing Control Words because theFrame Control Words are created in the frame generator

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide77

Page 78: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Name Direction Clock Domain Description

embedded in TX PCS. Both the synchronous header error andthe CRC32 errors are inserted if the CRC-32 error insertionfeature is enabled in the Transceiver Native PHY IP GUI.

tx_coreclkin Input Clock The FPGA fabric clock. Drives the write side of the TX FIFO. Forthe Interlaken protocol, the frequency of this clock could befrom datarate/67 to datarate/32. Using frequency lower thanthis range can cause the TX FIFO to underflow and result indata corruption.

tx_clkout Output Clock This is a parallel clock generated by the local CGB for nonbonded configurations, and master CGB for bondedconfigurations. This clocks the blocks of the TX Enhanced PCS.The frequency of this clock is equal to the datarate divided byPCS/PMA interface width.

Table 50. Enhanced RX PCS: Parallel Data, Control, and Clocks

Name Direction Clock Domain Description

rx_parallel_data[<n>128-1:0]

Output Synchronousto the clockdriving theread side ofthe FIFO(rx_coreclkin orrx_clkout)

RX parallel data from the RX PCS to the FPGA fabric. If youselect, Enable simplified data interface in the TransceiverNative PHY IP GUI, rx_parallel_data includes only the bitsrequired for the configuration you specify. Otherwise, thisinterface is 128 bits wide.When FPGA fabric to PCS interface width is 64 bits, thefollowing bits are active for interfaces less than 128 bits. Youcan leave the unused bits floating or not connected.• 32-bit FPGA fabric to PCS width: data[31:0].• 40-bit FPGA fabric to PCS width: data[39:0].• 64-bit FPGA fabric to PCS width: data[63:0].When the FPGA fabric to PCS interface width is 128 bits, thefollowing bits are active:• 40-bit FPGA fabric to PCS width: data[103:64], [39:0].• 64-bit FPGA fabric to PCS width: data[127:0].

unused_rx_parallel_data

Output rx_clkout This signal specifies the unused data when you turn on Enablesimplified data interface. When simplified data interface isnot set, the unused bits are a part of rx_parallel_data.You can leave the unused data outputs floating or notconnected.

rx_control[<n><20>-1:0]

Output Synchronousto the clockdriving theread side ofthe FIFO(rx_coreclkin orrx_clkout)

Indicates whether the rx_parallel_data bus is control ordata.Refer to the Enhanced PCS TX and RX Control Ports on page83 section for more details.

unused_rx_control[<n>10-1:0]

Output Synchronousto the clockdriving theread side ofthe FIFO

These signals only exist when you turn on Enable simplifieddata interface. When simplified data interface is not set, theunused bits are a part of rx_control. These outputs can beleft floating.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide78

Page 79: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Name Direction Clock Domain Description

(rx_coreclkin orrx_clkout)

rx_coreclkin Input Clock The FPGA fabric clock. Drives the read side of the RX FIFO. ForInterlaken protocol, the frequency of this clock could be fromdatarate/67 to datarate/32.

rx_clkout Output Clock The low speed parallel clock recovered by the transceiver RXPMA, that clocks the blocks in the RX Enhanced PCS. Thefrequency of this clock is equal to data rate divided byPCS/PMA interface width.

Table 51. Enhanced PCS TX FIFO

Name Direction Clock Domain Description

tx_enh_data_valid[<n>-1:0]

Input Synchronous tothe clock drivingthe write side ofthe FIFO(tx_coreclkinor tx_clkout)

Assertion of this signal indicates that the TX data is valid.Connect this signal to 1'b1 for 10GBASE-R without 1588.For 10GBASE-R with 1588, you must control this signalbased on the gearbox ratio. For Basic and Interlaken, youneed to control this port based on TX FIFO flags so thatthe FIFO does not underflow or overflow.Refer to Enhanced PCS FIFO Operation on page 297 formore details.

tx_enh_fifo_full[<n>-1:0]

Output Synchronous tothe clock drivingthe write side ofthe FIFO(tx_coreclkinor tx_clkout)

Assertion of this signal indicates the TX FIFO is full.Because the depth is always constant, you can ignore thissignal for the phase compensation mode.Refer to Enhanced PCS FIFO Operation on page 297 formore details.

tx_enh_fifo_pfull[<n>-1:0]

Output Synchronous tothe clock drivingthe write side ofthe FIFOtx_coreclkinor tx_clkout

This signal gets asserted when the TX FIFO reaches itspartially full threshold. Because the depth is alwaysconstant, you can ignore this signal for the phasecompensation mode.Refer to Enhanced PCS FIFO Operation on page 297 formore details.

tx_enh_fifo_empty[<n>-1:0]

Output Synchronous tothe clock drivingthe write side ofthe FIFOtx_coreclkinor tx_clkout

When asserted, indicates that the TX FIFO is empty. Thissignal gets asserted for 2 to 3 clock cycles. Because thedepth is always constant, you can ignore this signal forthe phase compensation mode.Refer to Enhanced PCS FIFO Operation on page 297 formore details.

tx_enh_fifo_pempty[<n>-1:0]

Output Synchronous tothe clock drivingthe write side ofthe FIFOtx_coreclkinor tx_clkout

When asserted, indicates that the TX FIFO has reached itsspecified partially empty threshold. When you turn thisoption on, the Enhanced PCS enables thetx_enh_fifo_pempty port, which is asynchronous. Thissignal gets asserted for 2 to 3 clock cycles. Because thedepth is always constant, you can ignore this signal forthe phase compensation mode.Refer to Enhanced PCS FIFO Operation on page 297 formore details.

Table 52. Enhanced PCS RX FIFO

Name Direction Clock Domain Description

rx_enh_data_valid[<n>-1:0]

Output Synchronous tothe clock drivingthe read side of

When asserted, indicates that rx_parallel_data isvalid. Discard invalid RX parallel datawhenrx_enh_data_valid signal is low.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide79

Page 80: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Name Direction Clock Domain Description

the FIFOrx_coreclkinor rx_clkout

This option is available when you select the followingparameters:• Enhanced PCS Transceiver configuration rules

specifies Interlaken• Enhanced PCS Transceiver configuration rules

specifies Basic, and RX FIFO mode is Phasecompensation

• Enhanced PCS Transceiver configuration rulesspecifies Basic, and RX FIFO mode is Register

Refer to Enhanced PCS FIFO Operation on page 297for more details.

rx_enh_fifo_full[<n>-1:0]

Output Synchronous tothe clock drivingthe read side ofthe FIFOrx_coreclkinor rx_clkout

When asserted, indicates that the RX FIFO is full. Thissignal gets asserted for 2 to 3 clock cycles.Becausethe depth is always constant, you can ignore thissignal for the phase compensation mode.Refer to Enhanced PCS FIFO Operation on page 297for more details.

rx_enh_fifo_pfull[<n>-1:0]

Output Synchronous tothe clock drivingthe read side ofthe FIFOrx_coreclkinor rx_clkout

When asserted, indicates that the RX FIFO hasreached its specified partially full threshold. This signalgets asserted for 2 to 3 clock cycles. Because thedepth is always constant, you can ignore this signalfor the phase compensation mode.Refer to Enhanced PCS FIFO Operation on page 297for more details.

rx_enh_fifo_empty[<n>-1:0]

Output Synchronous tothe clock drivingthe read side ofthe FIFOrx_coreclkinor rx_clkout

When asserted, indicates that the RX FIFO is empty.Because the depth is always constant, you can ignorethis signal for the phase compensation mode.Refer to Enhanced PCS FIFO Operation on page 297for more details.

rx_enh_fifo_pempty[<n>-1:0]

Output Synchronous tothe clock drivingthe read side ofthe FIFOrx_coreclkinor rx_clkout

When asserted, indicates that the RX FIFO hasreached its specified partially empty threshold.Because the depth is always constant, you can ignorethis signal for the phase compensation mode.Refer to Enhanced PCS FIFO Operation on page 297for more details.

rx_enh_fifo_del[<n>-1:0]

Output Synchronous tothe clock drivingthe read side ofthe FIFOrx_coreclkinor rx_clkout

When asserted, indicates that a word has been deletedfrom the RX FIFO. This signal gets asserted for 2 to 3clock cycles. This signal is used for the 10GBASE-Rprotocol.

rx_enh_fifo_insert[<n>-1:0]

Output Synchronous tothe clock drivingthe read side ofthe FIFOrx_coreclkinor rx_clkout

When asserted, indicates that a word has beeninserted into the RX FIFO. This signal is used for the10GBASE-R protocol.

rx_enh_fifo_rd_en[<n>-1:0]

Output Synchronous tothe clock drivingthe read side ofthe FIFOrx_coreclkinor rx_clkout

For Interlaken only, when this signal is asserted, aword is read form the RX FIFO. You need to controlthis signal based on RX FIFO flags so that the FIFOdoes not underflow or overflow.

rx_enh_fifo_align_val[<n>-1:0]

Input Synchronous tothe clock drivingthe read side of

When asserted, indicates that the word alignmentpattern has been found. This signal is only valid forthe Interlaken protocol.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide80

Page 81: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Name Direction Clock Domain Description

the FIFOrx_coreclkinor rx_clkout

rx_enh_fifo_align_clr[<n>-1:0]

Input Synchronous tothe clock drivingthe read side ofthe FIFOrx_coreclkinor rx_clkout

When asserted, the FIFO resets and begins searchingfor a new alignment pattern. This signal is only validfor the Interlaken protocol. Assert this signal for atleast 4 cycles.

Table 53. Interlaken Frame Generator, Synchronizer, and CRC32

Name Direction Clock Domain Description

tx_enh_frame[<n>-1:0] Output tx_clkout Asserted for 2 or 3 parallel clock cycles to indicate thebeginning of a new metaframe.

tx_enh_frame_diag_status[<n> 2-1:0]

Input tx_clkout Drives the lane status message contained in the framinglayer diagnostic word (bits[33:32]). This message isinserted into the next diagnostic word generated by theframe generator block. This bus must be held constant for 5clock cycles before and after the tx_enh_frame pulse. Thefollowing encodings are defined:• Bit[1]: When 1, indicates the lane is operational. When

0, indicates the lane is not operational.• Bit[0]: When 1, indicates the link is operational. When

0, indicates the link is not operational.

tx_enh_frame_burst_en[<n>-1:0]

Input tx_clkout If Enable frame burst is enabled, this port controls framegenerator data reads from the TX FIFO to the framegenerator. It is latched once at the beginning of eachMetaframe. If the value of tx_enh_frame_burst_en is 0,the frame generator does not read data from the TX FIFOfor current Metaframe. Instead, the frame generator insertsSKIP words as the payload of Metaframe. Whentx_enh_frame_burst_en is 1, the frame generator readsdata from the TX FIFO for the current Metaframe. This portmust be held constant for 5 clock cycles before and afterthe tx_enh_frame pulse.

rx_enh_frame[<n>-1:0] Output rx_clkout When asserted, indicates the beginning of a new receivedMetaframe. This signal is pulse stretched.

rx_enh_frame_lock[<n>-1:0]

Output rx_clkout When asserted, indicates the Frame Synchronizer statemachine has achieved Metaframe delineation. This signal ispulse stretched.

rx_enh_frame_diag_status[2 <n>-1:0]

Output rx_clkout Drives the lane status message contained in the framinglayer diagnostic word (bits[33:32]). This signal is latchedwhen a valid diagnostic word is received in the end of theMetaframe while the frame is locked. The followingencodings are defined:• Bit[1]: When 1, indicates the lane is operational. When

0, indicates the lane is not operational.• Bit[0]: When 1, indicates the link is operational. When

0, indicates the link is not operational.

rx_enh_crc32_err[<n>-1:0]

Output rx_clkout When asserted, indicates a CRC error in the currentMetaframe. Asserted at the end of current Metaframe. Thissignal gets asserted for 2 or 3 cycles.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide81

Page 82: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 54. 10GBASE-R BER Checker

Name Direction Clock Domain Description

rx_enh_highber[<n>-1:0]

Output rx_clkout When asserted, indicates a bit error rate that is greaterthan 10 -4. For the 10GBASE-R protocol, this BER rateoccurs when there are at least 16 errors within 125 µs.This signal gets asserted for 2 to 3 clock cycles.

rx_enh_highber_clr_cnt[<n>-1:0]

Input rx_clkout When asserted, clears the internal counter that indicatesthe number of times the BER state machine has enteredthe BER_BAD_SH state.

rx_enh_clr_errblk_count[<n>-1:0] (10GBASE-Rand FEC)

Input rx_clkout When asserted the error block counter resets to 0.Assertion of this signal clears the internal counter thatcounts the number of times the RX state machine hasentered the RX_E state. In modes where the FEC block isenabled, the assertion of this signal resets the statuscounters within the RX FEC block.

Table 55. Block Synchronizer

Name Direction Clock Domain Description

rx_enh_blk_lock<n>-1:0]

Output rx_clkout When asserted, indicates that block synchronizer hasachieved block delineation. This signal is used for10GBASE-R and Interlaken.

Table 56. Gearbox

Name Direction Clock Domain Description

rx_bitslip[<n>-1:0] Input rx_clkout The rx_parallel_data slips 1 bit for every positive edgeof the rx_bitslip input. Keep the minimum intervalbetween rx_bitslip pulses to at least 20 cycles. Themaximum shift is < pcswidth -1> bits, so that if the PCS is64 bits wide, you can shift 0-63 bits.

tx_enh_bitslip[<n>-1:0]

Input rx_clkout The value of this signal controls the number of bits to slipthe tx_parallel_data before passing to the PMA.

Table 57. KR-FEC

Name Direction Clock Domain Description

tx_enh_frame[<n>-1:0] Output tx_clkout Asynchronous status flag output of TX KR-FEC that signifiesthe beginning of generated KR FEC frame

rx_enh_frame[<n>-1:0] Output rx_clkout Asynchronous status flag output of RX KR-FEC thatsignifies the beginning of received KR FEC frame

rx_enh_frame_diag_status

Output rx_clkout Asynchronous status flag output of RX KR-FEC thatindicates the status of the current received frame.• 00: No error• 01: Correctable Error• 10: Un-correctale error• 11: Reset condition/pre-lock condition

Related Information

• ATX PLL IP Core on page 354

• CMU PLL IP Core on page 370

• fPLL IP Core on page 362

• Ports and Parameters on page 535

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide82

Page 83: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

• Transceiver PHY Reset Controller Interfaces on page 437

2.4.9.1. Enhanced PCS TX and RX Control Ports

This section describes the tx_control and rx_control bit encodings for differentprotocol configurations.

When Enable simplified data interface is ON, all of the unused ports shown in thetables below, appear as a separate port. For example: It appears asunused_tx_control/ unused_rx_control port.

Enhanced PCS TX Control Port Bit Encodings

Table 58. Bit Encodings for Interlaken

Name Bit Functionality Description

tx_control [1:0] Synchronous header The value 2'b01 indicates a data word. The value2'b10 indicates a control word.

[2] Inversion control A logic low indicates that the built-in disparitygenerator block in the Enhanced PCS maintainsthe Interlaken running disparity.

[7:3] Unused

[8] Insert synchronous header error orCRC32

You can use this bit to insert synchronous headererror or CRC32 errors. The functionality is similarto tx_err_ins. Refer to tx_err_ins signaldescription for more details.

[17:9] Unused

Table 59. Bit Encodings for 10GBASE-R , 10GBASE-KR with FEC

Name Bit Functionality

tx_control [0] XGMII control signal for parallel_data[7:0]

[1] XGMII control signal for parallel_data[15:8]

[2] XGMII control signal for parallel_data[23:16]

[3] XGMII control signal for parallel_data[31:24]

[4] XGMII control signal for parallel_data[39:32]

[5] XGMII control signal for parallel_data[47:40]

[6] XGMII control signal for parallel_data[55:48]

[7] XGMII control signal for parallel_data[63:56]

[17:8] Unused

Table 60. Bit Encodings for Basic Single Width ModeFor basic single width mode, the total word length is 66-bit with 64-bit data and 2-bit synchronous header.

Name Bit Functionality Description

tx_control [1:0] Synchronous header The value 2'b01 indicates a data word. The value2'b10 indicates a control word.

[17:2] Unused

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide83

Page 84: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 61. Bit Encodings for Basic Double Width ModeFor basic double width mode, the total word length is 66-bit with 128-bit data and 4-bit synchronous header.

Name Bit Functionality Description

tx_control [1:0] Synchronous header The value 2'b01 indicates a data word. The value2'b10 indicates a control word.

[8:2] Unused

[10:9] Synchronous header The value 2'b01 indicates a data word. The value2'b10 indicates a control word.

[17:11] Unused

Table 62. Bit Encodings for Basic ModeIn this case, the total word length is 67-bit with 64-bit data and 2-bit synchronous header.

Name Bit Functionality Description

tx_control [1:0] Synchronous header The value 2'b01 indicates a data word. The value2'b10 indicates a control word.

[2] Inversion control A logic low indicates that built-in disparitygenerator block in the Enhanced PCS maintainsthe running disparity.

Enhanced PCS RX Control Port Bit Encodings

Table 63. Bit Encodings for Interlaken

Name Bit Functionality Description

rx_control [1:0] Synchronous header The value 2'b01 indicates a dataword. The value 2'b10 indicates acontrol word.

[2] Inversion control A logic low indicates that the built-in disparity generator block in theEnhanced PCS maintains theInterlaken running disparity. In thecurrent implementation, this bit isalways tied logic low (1'b0).

[3] Payload word location A logic high (1'b1) indicates thepayload word location in ametaframe.

[4] Synchronization word location A logic high (1'b1) indicates thesynchronization word location in ametaframe.

[5] Scrambler state word location A logic high (1'b1) indicates thescrambler word location in ametaframe.

[6] SKIP word location A logic high (1'b1) indicates theSKIP word location in a metaframe.

[7] Diagnostic word location A logic high (1'b1) indicates thediagnostic word location in ametaframe.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide84

Page 85: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Name Bit Functionality Description

[8] Synchronization header error, metaframe error,or CRC32 error status

A logic high (1'b1) indicatessynchronization header error,metaframe error, or CRC32 errorstatus.

[9] Block lock and frame lock status A logic high (1'b1) indicates thatblock lock and frame lock havebeen achieved.

[19:10] Unused

Table 64. Bit Encodings for 10GBASE-R , 10GBASE-KR with FEC

Name Bit Functionality

rx_control [0] XGMII control signal for parallel_data[7:0]

[1] XGMII control signal for parallel_data[15:8]

[2] XGMII control signal for parallel_data[23:16]

[3] XGMII control signal for parallel_data[31:24]

[4] XGMII control signal for parallel_data[39:32]

[5] XGMII control signal for parallel_data[47:40]

[6] XGMII control signal for parallel_data[55:48]

[7] XGMII control signal for parallel_data[63:56]

[19:8] Unused

Table 65. Bit Encodings for Basic Single Width ModeFor basic single width mode, the total word length is 66-bit with 64-bit data and 2-bit synchronous header.

Name Bit Functionality Description

rx_control [1:0] Synchronous header The value 2'b01 indicates a data word. The value2'b10 indicates a control word.

[7:2] Unused

[9:8] Synchronous header error status The value 2'b01 indicates a data word. The value2'b10 indicates a control word.

[19:10] Unused

Table 66. Bit Encodings for Basic Double Width ModeFor basic double width mode, total word length is 66-bit with 128-bit data, and 4-bit synchronous header.

Name Bit Functionality Description

rx_control [1:0] Synchronous header The value 2'b01 indicates a data word. Thevalue 2'b10 indicates a control word.

[7:2] Unused

[8] Synchronous header error status Active-high status signal that indicates asynchronous header error.

[9] Block lock is achieved Active-high status signal indicating when blocklock is achieved.

[11:10] Synchronous header The value 2'b01 indicates a data word. Thevalue 2'b10 indicates a control word.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide85

Page 86: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Name Bit Functionality Description

[17:12] Unused

[18] Synchronous header error status Active-high status signal that indicates asynchronous header error.

[19] Block lock is achieved Active-high status signal indicating when BlockLock is achieved.

Table 67. Bit Encodings for Basic ModeIn this case, the total word length is 67-bit with 64-bit data and 2-bit synchronous header.

Name Bit Functionality Description

rx_control [1:0] Synchronous header The value 2'b01 indicates a data word. The value2'b10 indicates a control word.

[2] Inversion control A logic low indicates that built-in disparitygenerator block in the Enhanced PCS maintainsthe running disparity.

2.4.10. Standard PCS Ports

Figure 25. Transceiver Channel using the Standard PCS PortsStandard PCS ports appear if either one of the transceiver configuration modes is selected that uses StandardPCS or if Data Path Reconfiguration is selected even if the transceiver configuration is not one of those thatuses Standard PCS.

reconfig_resetreconfig_clkreconfig_avmm

Parallel Data, Control, ClocksTX FIFO8B/10B Encoder/Decoder

ReconfigurationRegisters

TX Standard PCS

RX Standard PCS

Nios HardCalibration IP

TX PMA

Serializer

RX PMA

DeserializerCDR

tx_cal_busyrx_cal_busy

Serial DataOptional Ports

CDR ControlQPI

PCIe

Serial Data

ClockGeneration

Block

tx_serial_clk0(from TX PLL) tx_analog_reset

Parallel Data, Control, ClocksRX FIFORate Match FIFOWord Aligner & BitslipPCIe

rx_analog_reset

ClocksPRBS

Bit & Byte ReversalPolarity Inversion

PCIeOptional Ports

ClocksQPI

Arria 10 Transceiver Native PHY

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide86

Page 87: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

In the following tables, the variables represent these parameters:

• <n>—The number of lanes

• <w>—The width of the interface

• <d>—The serialization factor

• <s>— The symbol size

• <p>—The number of PLLs

Table 68. TX Standard PCS: Data, Control, and Clocks

Name Direction Clock Domain Description

tx_parallel_data[<n>128-1:0]

Input tx_clkout TX parallel data input from the FPGA fabric to the TX PCS.

unused_tx_parallel_data

Input tx_clkout This signal specifies the unused data when you turn onEnable simplified data interface. When simplified datainterface is not set, the unused bits are a part oftx_parallel_data. Connect all these bits to 0. If you donot connect the unused data bits to 0, then TX parallel datamay not be serialized correctly by the Native PHY IP core.

tx_coreclkin Input Clock The FPGA fabric clock. This clock drives the write port of theTX FIFO.

tx_clkout Output Clock This is the parallel clock generated by the local CGB for nonbonded configurations, and master CGB for bondedconfiguration. This clocks the tx_parallel_data from theFPGA fabric to the TX PCS.

Table 69. RX Standard PCS: Data, Control, Status, and Clocks

Name Direction Clock Domain Description

rx_parallel_data[<n>128-1:0]

Output Synchronousto the clockdriving theread side ofthe FIFO(rx_coreclkin orrx_clkout)

RX parallel data from the RX PCS to the FPGA fabric. Foreach 128-bit word of rx_parallel_data, the data bitscorrespond to rx_parallel_data[7:0] when 8B/10Bdecoder is enabled and rx_parallel_data[9:0] when8B/10B decoder is disabled.

unused_rx_parallel_data

Output Synchronousto the clockdriving theread side ofthe FIFO(rx_coreclkin orrx_clkout)

This signal specifies the unused data when you turn onEnable simplified data interface. When simplified datainterface is not set, the unused bits are a part ofrx_parallel_data. These outputs can be left floating.

rx_clkout Output Clock The low speed parallel clock recovered by the transceiver RXPMA, that clocks the blocks in the RX Standard PCS.

rx_coreclkin Input Clock RX parallel clock that drives the read side clock of the RXFIFO.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide87

Page 88: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 70. Standard PCS FIFO

Name Direction Clock Domain Description

tx_std_pcfifo_full[<n>-1:0]

Output Synchronousto the clockdriving thewrite side ofthe FIFO(tx_coreclkin ortx_clkout)

Indicates when the standard TX FIFO is full.

tx_std_pcfifo_empty[<n>-1:0]

Output Synchronousto the clockdriving thewrite side ofthe FIFO(tx_coreclkin ortx_clkout)

Indicates when the standard TX FIFO is empty.

rx_std_pcfifo_full[<n>-1:0]

Output Synchronousto the clockdriving theread side ofthe FIFO(rx_coreclkin orrx_clkout)

Indicates when the standard RX FIFO is full.

rx_std_pcfifo_empty[<n>-1:0]

Output Synchronousto the clockdriving theread side ofthe FIFO(rx_coreclkin orrx_clkout)

Indicates when the standard RX FIFO is empty.

Table 71. Rate Match FIFO

Name Direction Clock Domain Description

rx_std_rmfifo_full[<n>-1:0]

Output Asynchronous Rate match FIFO full flag. When asserted the rate matchFIFO is full. You must synchronize this signal. This port isonly used for GigE mode.

rx_std_rmfifo_empty[<n>-1:0]

Output Asynchronous Rate match FIFO empty flag. When asserted, match FIFO isempty. You must synchronize this signal. This port is onlyused for GigE mode.

rx_rmfifostatus[<n>-1:0]

Output Asynchronous Indicates FIFO status. The following encodings are defined:• 2'b00: Normal operation• 2'b01: Deletion, rx_std_rmfifo_full = 1• 2'b10: Insertion, rx_std_rmfifo_empty = 1• 2'b11: Full. rx_rmfifostatus is a part of

rx_parallel_data. rx_rmfifostatus correspondsto rx_parallel_data[14:13].

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide88

Page 89: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 72. 8B/10B Encoder and Decoder

Name Direction Clock Domain Description

tx_datak Input tx_clkout tx_datak is exposed if 8B/10B enabled and simplified datainterface is set.When 1, indicates that the 8B/10B encodedword of tx_parallel_data is control. When 0, indicates thatthe 8B/10B encoded word of tx_parallel_data is data.tx_datak is a part of tx_parallel_data when simplifieddata interface is not set.

tx_forcedisp[<n>(<w>/<s>-1:0]

Input Asynchronous This signal allows you to force the disparity of the 8B/10Bencoder. When "1", forces the disparity of the output data tothe value driven on tx_dispval. When "0", the currentrunning disparity continues. tx_forcedisp is a part oftx_parallel_data. tx_forcedisp corresponds totx_parallel_data[9].

tx_dispval[<n>(<w>/<s>-1:0]

Input Asynchronous Specifies the disparity of the data. When 0, indicates positivedisparity, and when 1, indicates negative disparity.tx_dispval is a part of tx_parallel_data. tx_dispvalcorresponds to tx_dispval[10].

rx_datak[<n><w>/<s>-1:0]

Output rx_clkout rx_datak is exposed if 8B/10B is enabled and simplifieddata interface is set. When 1, indicates that the 8B/10Bdecoded word of rx_parallel_data is control. When 0,indicates that the 8B/10B decoded word ofrx_parallel_data is data. rx_datak is a part ofrx_parallel_data when simplified data interface is notset.

rx_errdetect[<n><w>/<s>-1:0]

Output Synchronous tothe clockdriving theread side of theFIFO(rx_coreclkin orrx_clkout)

When asserted, indicates a code group violation detected onthe received code group. Used along with rx_disperrsignal to differentiate between code group violation anddisparity errors. The following encodings are defined forrx_errdetect/rx_disperr:• 2'b00: no error• 2'b10: code group violation• 2'b11: disparity error. rx_errdetect is a part of

rx_parallel_data. For each 128-bit word,rx_errdetect corresponds torx_parallel_data[9].

rx_disperr[<n><w>/<s>-1:0]

Output Synchronous tothe clockdriving theread side of theFIFO(rx_coreclkin orrx_clkout)

When asserted, indicates a disparity error on the receivedcode group. rx_disperr is a part of rx_parallel_data.For each 128-bit word, rx_disperr corresponds torx_parallel_data[11].

rx_runningdisp[<n><w>/<s>-1:0]

Output Synchronous tothe clockdriving theread side of theFIFO(rx_coreclkin orrx_clkout)

When high, indicates that rx_parallel_data was receivedwith negative disparity. When low, indicates thatrx_parallel_data was received with positive disparity.rx_runningdisp is a part of rx_parallel_data. Foreach 128 bit word, rx_runningdisp corresponds torx_parallel_data[15].

rx_patterndetect[<n><w>/<s>-1:0]

Output Asynchronous When asserted, indicates that the programmed wordalignment pattern has been detected in the current wordboundary. rx_patterndetect is a part of

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide89

Page 90: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Name Direction Clock Domain Description

rx_parallel_data. For each 128-bit word,rx_patterndetect corresponds torx_parallel_data[12].

rx_syncstatus[<n><w>/<s>-1:0]

Output Asynchronous When asserted, indicates that the conditions required forsynchronization are being met. rx_syncstatus is a part ofrx_parallel_data. For each 128-bit word,rx_syncstatus corresponds to rx_parallel_data[10].

Table 73. Word Aligner and Bitslip

Name Direction Clock Domain Description

tx_std_bitslipboundarysel[5 <n>-1:0]

Input Asynchronous Bitslip boundary selection signal. Specifies the number ofbits that the TX bit slipper must slip.

rx_std_bitslipboundarysel[5 <n>-1:0]

Output Asynchronous This port is used in deterministic latency word aligner mode.This port reports the number of bits that the RX blockslipped. This port values should be taken into considerationin either Deterministic Latency Mode or Manual Mode ofWord Aligner.

rx_std_wa_patternalign[<n>-1:0]

Input Synchronousto rx_clkout

Active when you place the word aligner in manual mode. Inmanual mode, you align words by assertingrx_std_wa_patternalign. When the PCS-PMA Interfacewidth is 10 bits, rx_std_wa_patternalign is levelsensitive. For all the other PCS-PMA Interface widths,rx_std_wa_patternalign is positive edge sensitive.You can use this port only when the word aligner isconfigured in manual or deterministic latency mode.When the word aligner is in manual mode, and the PCS-PMAinterface width is 10 bits, this is a level sensitive signal. Inthis case, the word aligner monitors the input data for theword alignment pattern, and updates the word boundarywhen it finds the alignment pattern.For all other PCS-PMA interface widths, this signal is edgesensitive.This signal is internally synchronized inside thePCS using the PCS parallel clock and should be asserted forat least 2 clock cycles to allow synchronization.

rx_std_wa_a1a2size[<n>-1:0]

Input Asynchronous Used for the SONET protocol. Assert when the A1 and A2framing bytes must be detected. A1 and A2 are SONETbackplane bytes and are only used when the PMA datawidth is 8 bits.

rx_bitslip[<n>-1:0] Input Asynchronous Used when word aligner mode is bitslip mode. When theWord Aligner is in either Manual (PLD controlled),Synchronous State Machine or Deterministic Latency ,therx_bitslip signal is not valid and should be tied to 0.For every rising edge of the rx_std_bitslip signal, theword boundary is shifted by 1 bit. Each bitslip removes theearliest received bit from the received data.

Table 74. Bit Reversal and Polarity Inversion

Name Direction Clock Domain Description

rx_std_byterev_ena[<n>-1:0]

Input Asynchronous This control signal is available when the PMA width is 16 or20 bits. When asserted, enables byte reversal on the RXinterface. Used if the MSB and LSB of the transmitted dataare erroneously swapped.

rx_std_bitrev_ena[<n>-1:0]

Input Asynchronous When asserted, enables bit reversal on the RX interface. Bitorder may be reversed if external transmission circuitrytransmits the most significant bit first. When enabled, the

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide90

Page 91: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Name Direction Clock Domain Description

receive circuitry receives all words in the reverse order. Thebit reversal circuitry operates on the output of the wordaligner.

tx_polinv[<n>-1:0] Input Asynchronous When asserted, the TX polarity bit is inverted. Only activewhen TX bit polarity inversion is enabled.

rx_polinv[<n>-1:0] Input Asynchronous When asserted, the RX polarity bit is inverted. Only activewhen RX bit polarity inversion is enabled.

rx_std_signaldetect[<n>-1:0]

Output Asynchronous When enabled, the signal threshold detection circuitrysenses whether the signal level present at the RX inputbuffer is above the signal detect threshold voltage. You canspecify the signal detect threshold using a Quartus PrimeSettings File (.qsf) assignment. This signal is required forthe PCI Express, SATA and SAS protocols.

Related Information

• ATX PLL IP Core on page 354

• CMU PLL IP Core on page 370

• fPLL IP Core on page 362

• Ports and Parameters on page 535

• Transceiver PHY Reset Controller Interfaces on page 437

• Analog Parameter Settings on page 585

2.4.11. IP Core File Locations

When you generate your Transceiver Native PHY IP, the Quartus® Prime softwaregenerates the HDL files that define your instance of the IP. In addition, the QuartusPrime software generates an example Tcl script to compile and simulate your design inthe ModelSim* simulator. It also generates simulation scripts for Synopsys* VCS,Aldec* Active-HDL, Aldec Riviera-Pro, and Cadence* Incisive Enterprise.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide91

Page 92: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 26. Directory Structure for Generated Files

<Project Directory>

<your_ip_or_system_name>.qsys - Top-level IP variation file

<your_ip_or_system_name>.sopcinfo

<your_ip_name> - IP core variation files

<your_ip_name>.cmp - VHDL component declaration file

<your_ip_name>_bb - Verilog HDL black-box EDA synthesis file

<your_ip_name>_inst - IP instantiation template file

<your_ip_name>.ppf - XML I/O pin information file

<your_ip_name>.qip - Lists IP synthesis files

<your_ip_name>.sip - Lists files for simulation

<your_ip_name>.v or .vhd - Greybox timing netlist

synth - IP synthesis files

<your_ip_name>.v or .vhd - Top-level IP synthesis file

sim - IP simulation files

<your_ip_name>.v or .vhd - Top-level simulation file

aldec- Simulator setup scripts

<IP subcore> - IP subcore files

<HDL files>

sim

cadence - Simulator setup scripts

mentor - Simulator setup scripts

synopsys - Simulator setup scripts

<HDL files>

synth

The following table describes the directories and the most important files for theparameterized Transceiver Native PHY IP core and the simulation environment. Thesefiles are in clear text.

Table 75. Transceiver Native PHY Files and Directories

File Name Description

<project_dir> The top-level project directory.

<your_ip_name> .v or .vhd The top-level design file.

<your_ip_name> .qip A list of all files necessary for Quartus Prime compilation.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide92

Page 93: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

File Name Description

<your_ip_name> .bsf A Block Symbol File (.bsf) for your Transceiver Native PHYinstance.

<project_dir>/<your_ip_name>/ The directory that stores the HDL files that define theTransceiver Native PHY IP.

<project_dir>/sim The simulation directory.

<project_dir>/sim/aldec Simulation files for Riviera-PRO simulation tools.

<project_dir>/sim/cadence Simulation files for Cadence simulation tools.

<project_dir>/sim/mentor Simulation files for Mentor simulation tools.

<project_dir>/sim/synopsys Simulation files for Synopsys simulation tools.

<project_dir>/synth The directory that stores files used for synthesis.

The Verilog and VHDL Transceiver Native PHY IP cores have been tested with thefollowing simulators:

• ModelSim SE

• Synopsys VCS MX

• Cadence NCSim

If you select VHDL for your transceiver PHY, only the wrapper generated by theQuartus Prime software is in VHDL. All the underlying files are written in Verilog orSystemVerilog. To enable simulation using a VHDL-only ModelSim license, theunderlying Verilog and SystemVerilog files for the Transceiver Native PHY IP areencrypted so that they can be used with the top-level VHDL wrapper without using amixed-language simulator.

For more information about simulating with ModelSim, refer to the Mentor GraphicsModelSim and QuestaSim Support chapter in volume 3 of the Quartus PrimeHandbook.

The Transceiver Native PHY IP cores do not support the NativeLink feature in theQuartus Prime software.

Related Information

• Simulating the Transceiver Native PHY IP Core on page 325

• Mentor Graphics ModelSim and QuestaSim Support

2.4.12. Unused Transceiver RX Channels

To prevent performance degradation of unused transceiver RX channels over time, thefollowing assignments must be added to an Arria 10 device QSF. You can either use aglobal assignment or per-pin assignments.

set_global_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL ONor

set_instance_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL ON -topin_name

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide93

Page 94: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

When you perform this procedure, the Intel Quartus Prime software instantiates theclock data recovery (CDR) PLL corresponding to each unused receiver channel. TheCDR uses CLKUSR as reference clock and is configured to run at 1 Gbps. To useCLKUSR as reference clock, the pin must be assigned a 100- to 125 MHz clock. Whenyou implement these assignments, it causes a power consumption increase perreceiver channel. Please contact your local support center for details.

Related Information

Unused/Idle Clock Line Requirements on page 389

2.4.13. Unsupported Features

Native PHY should not be included in QXP.

2.5. Interlaken

Interlaken is a scalable, channelized chip-to-chip interconnect protocol.

The key advantages of Interlaken are scalability and low I/O count compared to earlierprotocols such as SPI 4.2. Other key features include flow control, low overheadframing, and extensive integrity checking. Interlaken operates on 64-bit data wordsand 3 control bits, which are striped round-robin across the lanes. The protocolaccepts packets on 256 logical channels and is expandable to accommodate up to65,536 logical channels. Packets are split into small bursts that can optionally beinterleaved. The burst semantics include integrity checking and per logical channelflow control.

The Interlaken interface is supported with 1 to 48 lanes running at data rates up to12.5 Gbps per lane on Arria 10 devices. Interlaken is implemented using the EnhancedPCS. The Enhanced PCS has demonstrated interoperability with Interlaken ASSPvendors and third-party IP suppliers.

Arria 10 devices provide three preset variations for Interlaken in the Arria 10Transceiver Native PHY IP Parameter Editor:

• Interlaken 10x12.5 Gbps

• Interlaken 1x6.25 Gbps

• Interlaken 6x10.3 Gbps

Depending on the line rate, the enhanced PCS can use a PMA to PCS interface width of32, 40, or 64 bits.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide94

Page 95: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 27. Transceiver Channel Datapath and Clocking for InterlakenThis figure assumes the serial data rate is 12.5 Gbps and the PMA width is 40 bits.

Transmitter Enhanced PCSTransmitter PMA

Receiver PMA Receiver Enhanced PCS

TXGe

arbo

x

tx_s

erial

_dat

a

Seria

lizer

Inte

rlake

n Di

spar

ity G

ener

ator

Scra

mble

r

PRBSGenerator

PRPGenerator

rx_s

erial

_dat

a

Dese

rializ

er

CDR

Descr

amble

r

Inte

rlake

n Di

spar

ity Ch

ecke

r

Bloc

kSy

nchr

onize

r

Inte

rlake

n Fra

me S

ync

RXGe

arbo

x

PRBSVerifier

Trans

code

Deco

der

KR FE

C RX

Gear

box

KR FE

CDe

code

r

KR FE

CBl

ock S

ync

KR FE

CDe

scram

bler

Parallel Clock (312.5 MHz)

Parallel Clock (312.5 MHz)

Parallel ClockSerial ClockParallel and Serial Clocks

Clock Divider

Parallel and Serial Clocks

Clock Generation Block (CGB)

Serial Clock

Seria

l Cloc

k (6.2

5 GHz

)

(6.25 GHz) =Data rate/2

Input Reference Clock

64 bits data + 3 bits

control

64 bits data + 3 bits

control

ATX PLLfPLL

CMU PLL

64B/

66B D

ecod

eran

d RX S

M

10GBASE-R BER Checker

PRP

rx_pma_div_clkout

tx_pma_div_clkout

Verifier

rx_c

orec

lkin

rx_clkout

186.57 MHzto 312.5MHz

186.57 MHzto 312.5MHz

Enha

nced

PCS

TX FI

FOEn

hanc

ed PC

S RX

FIFO

Inte

rlake

n Fra

me G

ener

ator

Inte

rlake

n CR

C32 G

ener

ator

Inte

rlake

n CR

C32 C

heck

er

64B/

66B E

ncod

eran

d TX S

M

FPGAFabric

tx_c

orec

lkin

tx_clkout

KR FE

CTX

Gea

rbox

KR FE

CSc

ram

bler

KR FE

CEn

code

r

Trans

code

Enco

der

Div 40

40

40

Related Information

• Interlaken Protocol Definition v1.2

• Interlaken Look-Aside Protocol Definition, v1.1

2.5.1. Metaframe Format and Framing Layer Control Word

The Enhanced PCS supports programmable metaframe lengths from 5 to 8192 words.However, for stability and performance, Intel recommends you set the frame length tono less than 128 words. In simulation, use a smaller metaframe length to reducesimulation times. The payload of a metaframe could be pure data payload and aBurst/Idle control word from the MAC layer.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide95

Page 96: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 28. Framing Layer Metaframe Format

Diag

nosti

cSy

nchr

oniza

tion

Scra

mble

r Sta

teSK

P Control andData Words

Diag

nosti

cSy

nchr

oniza

tion

Scra

mble

r Sta

teSK

P

Metaframe Length

The framing control words include:

• Synchronization (SYNC)—for frame delineation and lane alignment (deskew)

• Scrambler State (SCRM)—to synchronize the scrambler

• Skip (SKIP)—for clock compensation in a repeater

• Diagnostic (DIAG)—provides per-lane error check and optional status message

To form a metaframe, the Enhanced PCS frame generator inserts the framing controlwords and encapsulates the control and data words read from the TX FIFO as themetaframe payload.

Figure 29. Interlaken Synchronization and Scrambler State Words Format

bx10 b011110 h0F678F678F678F6bx10 b001010 Scrambler State

66 63 58 57 0

Figure 30. Interlaken Skip Word Format

bx10 b00011166 63 58

h21E57

h1E48

h1E47

h1E40

h1E h1E h1E0

The DIAG word is comprised of a status field and a CRC-32 field. The 2-bit status isdefined by the Interlaken specification as:

• Bit 1 (Bit 33): Lane health

— 1: Lane is healthy

— 0: Lane is not healthy

• Bit 0 (Bit 32): Link health

— 1: Link is healthy

— 0: Link is not healthy

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide96

Page 97: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The tx_enh_frame_diag_status[1:0] input from the FPGA fabric is inserted intothe Status field each time a DIAG word is created by the framing generator.

Figure 31. Interlaken Diagnostic Word

bx10 b01100166 63 58

h00000057 33

Status32 31

CRC32034

2.5.2. Interlaken Configuration Clocking and Bonding

The Arria 10 Interlaken PHY layer solution is scalable and has flexible data rates. Youcan implement a single lane link or bond up to 48 lanes together. You can choose alane data rate up to 17.4 Gbps for GX devices and 25.8 Gbps for GT devices. You canalso choose between different reference clock frequencies, depending on the PLL usedto clock the transceiver. Refer to the Arria 10 Device Datasheet for the minimum andmaximum data rates that Arria 10 transceivers can support at different speed grades.

You can use an ATX PLL or fPLL to provide the clock for the transmit channel. An ATXPLL has better jitter performance compared to an fPLL. You can use the CMU PLL toclock only the non-bonded Interlaken transmit channels. However, if you use the CMUPLL, you lose one RX transceiver channel.

For the multi-lane Interlaken interface, TX channels are usually bonded together tominimize the transmit skew between all bonded channels. Currently, xN bonding andPLL feedback compensation bonding schemes are available to support a multi-laneInterlaken implementation. If the system tolerates higher channel-to-channel skew,you can choose to not bond the TX channels.

To implement bonded multi-channel Interlaken, all channels must be placedcontiguously. The channels may all be placed in one bank (if not greater than sixlanes) or they may span several banks.

Related Information

• Using PLLs and Clock Networks on page 398For more information about implementing PLLs and clocks

• Arria 10 Device Datasheet

2.5.2.1. xN Clock Bonding Scenario

The following figure shows a xN bonding example supporting 10 lanes. Each lane isrunning at 12.5 Gbps. The first six TX channels reside in one transceiver bank and theother four TX channels reside in the adjacent transceiver bank. The ATX PLL providesthe serial clock to the master CGB. The CGB then provides parallel and serial clocks toall of the TX channels inside the same bank and other banks through the xN clocknetwork.

Because of xN clock network skew, the maximum achievable data rate decreaseswhen TX channels span several transceiver banks.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide97

Page 98: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 32. 10X12.5 Gbps xN Bonding

Transceiver PLLInstance (6.25 GHz)

ATX PLL

Native PHY Instance(10 Ch Bonded 12.5 Gbps)

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

Transceiver Bank 2

TX Channel

TX Channel

TX Channel

MasterCGB

xNTransceiver Bank 1

Related Information

• Implementing x6/xN Bonding Mode on page 404For detailed information on xN bonding limitations

• Using PLLs and Clock Networks on page 398For more information about implementing PLLs and clocks

2.5.2.2. TX Multi-Lane Bonding and RX Multi-Lane Deskew Alignment StateMachine

The Interlaken configuration sets the enhanced PCS TX and RX FIFOs in Interlakenelastic buffer mode. In this mode of operation, TX and RX FIFO control and status portsignals are provided to the FPGA fabric. Connect these signals to the MAC layer asrequired by the protocol. Based on these FIFO status and control signals, you canimplement the multi-lane deskew alignment state machine in the FPGA fabric tocontrol the transceiver RX FIFO block.

Note: You must also implement the soft bonding logic to control the transceiver TX FIFOblock.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide98

Page 99: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.5.2.2.1. TX FIFO Soft Bonding

The MAC layer logic and TX soft bonding logic control the writing of the Interlakenword to the TX FIFO with tx_enh_data_valid (functions as a TX FIFO write enable)by monitoring the TX FIFO flags (tx_fifo_full, tx_fifo_pfull,tx_fifo_empty, tx_fifo_pempty, and so forth). On the TX FIFO read side, a readenable is controlled by the frame generator. If tx_enh_frame_burst_en is assertedhigh, the frame generator reads data from the TX FIFO.

A TX FIFO pre-fill stage must be implemented to perform the TX channel soft bonding.The following figure shows the state of the pre-fill process.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide99

Page 100: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 33. TX Soft Bonding Flow

Exit fromtx_digitalreset

Deassert all lanes tx_enh_frame_burst_enAssert all lanes tx_enh_data_valid

Deassert all lanes tx_enh_data_valid

All lanesfull?

no

yes

Any lane send new frame?

tx_enh_frameasserted?

no

yes

no

yes

All lanesfull?

TX FIFO pre-fillcompleted

Wait for extra 16tx_coreclkin cycles

The following figure shows that after deasserting tx_digitalreset, TX soft bondinglogic starts filling the TX FIFO until all lanes are full.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide100

Page 101: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 34. TX FIFO Pre-fill (6-lane Interface)

tx_enh_data_valid

tx_digitalreset

tx_enh_fifo_fulltx_enh_fifo_pfull

tx_enh_fifo_emptytx_enh_fifo_pempty

tx_enh_fifo_cnttx_enh_frame

tx_enh_frame_burst_en

3f 00

000000

3f3f0000000000

3f3f

3f

00

00

003f1... 2... 3... 4... 5... 6... 7... 8... 9... a... b... c... d... e... ffffff

Deassert tx_digitalreset

Deassert burst_en for all Lanes and FillTX FIFO Until all Lane FIFOs Are Full

After the TX FIFO pre-fill stage completes, the transmit lanes synchronize and the MAClayer begins to send valid data to the transceiver’s TX FIFO. You must never allow theTX FIFO to overflow or underflow. If it does, you must reset the transceiver and repeatthe TX FIFO pre-fill stage.

For a single lane Interlaken implementation, TX FIFO soft bonding is not required. Youcan begin sending an Interlaken word to the TX FIFO after tx_digitalresetdeasserts.

The following figure shows the MAC layer sending valid data to the Native PHY afterthe pre-fill stage. tx_enh_frame_burst_en is asserted, allowing the framegenerator to read data from the TX FIFO. The TX MAC layer can now controltx_enh_data_valid and write data to the TX FIFO based on the FIFO status signals.

Figure 35. MAC Sending Valid Data (6-lane Interface)

tx_enh_data_validtx_digitalreset

tx_enh_fifo_fulltx_enh_fifo_pfull

tx_enh_fifo_emptytx_enh_fifo_pempty

tx_enh_fifo_cnttx_enh_frame

tx_enh_frame_burst_en

3f

0000

0000

3f 00

003f

3f

3f

00

0000 3f

ffffff

After the Pre-fill Stage, Assert burst_en. The Frame Generator Reads Data from the TX FIFO for the Next Metaframe

The User Logic Asserts data_validto Send Data to the TX FIFO Basedon the FIFO Status

The TX FIFOWrites Backpressure

2.5.2.2.2. RX Multi-lane FIFO Deskew State Machine

Add deskew logic at the receiver side to eliminate the lane-to-lane skew created at thetransmitter of the link partner, PCB, medium, and local receiver PMA.

Implement a multi-lane alignment deskew state machine to control the RX FIFOoperation based on available RX FIFO status flags and control signals.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide101

Page 102: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 36. State Flow of the RX FIFO Deskew

Exit fromrx_digitalreset

Deassert all Lane’s rx_enh_fifo_rd_en

All Lane’srx_enh_fifo_pempty

Deasserted?

yes

All Lane’srx_enh_fifo_pfull

Deasserted?

yes

Assert rx_enh_fifo_align_clr for atleast 4 rx_coreclkin Cycles

no

no

RX FIFO DeskewCompleted

Each lane's rx_enh_fifo_rd_en should remain deasserted before the RX FIFOdeskew is completed. After frame lock is achieved (indicated by the assertion ofrx_enh_frame_lock; this signal is not shown in the above state flow), data iswritten into the RX FIFO after the first alignment word (SYNC word) is found on thatchannel. Accordingly, the RX FIFO partially empty flag (rx_enh_fifo_pempty) ofthat channel is asserted. The state machine monitors the rx_enh_fifo_pempty andrx_enh_fifo_pfull signals of all channels. If the rx_enh_fifo_pempty signalsfrom all channels deassert before any channels rx_enh_fifo_pfull assert, whichimplies the SYNC word has been found on all lanes of the link, the MAC layer can startreading from all the RX FIFO by asserting rx_enh_fifo_rd_en simultaneously.Otherwise, if the rx_enh_fifo_pfull signal of any channel asserts high before therx_enh_fifo_pempty signals deassertion on all channels, the state machine needsto flush the RX FIFO by asserting rx_enh_fifo_align_clr high for 4 cycles andrepeating the soft deskew process.

The following figure shows one RX deskew scenario. In this scenario, all of the RXFIFO partially empty lanes are deasserted while the pfull lanes are still deasserted.This indicates the deskew is successful and the FPGA fabric starts reading data fromthe RX FIFO.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide102

Page 103: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 37. RX FIFO Deskew

rx_enh_data_valid

rx_enh_fifo_rd_en

rx_enh_fifo_full

rx_enh_fifo_pfull

rx_enh_fifo_empty

rx_enh_fifo_pempty

rx_enh_fifo_align_val

rx_enh_frame_lock

rx_enh_fifo_align_clr

3f

00

00

0000

3f

00

3f

3f

0000

3f

[5][4][3][2][1][0]

00

21 3f

21 3f3b

1e

001e

Each Lane IsFrame-Locked

in a Different Cycle

After deskew is successful, the user logic asserts rd_en for all lanes to startreading data from the RX FIFO.

data_valid is asserted, indicating that the RX FIFOis outputting valid data.

Deassertion of pempty of all lanes before any lane pfull goes high, which means the deskew is complete.

2.5.3. How to Implement Interlaken in Arria 10 Transceivers

You should be familiar with the Interlaken protocol, Enhanced PCS and PMAarchitecture, PLL architecture, and the reset controller before implementing theInterlaken protocol PHY layer.

Arria 10 devices provide three preset variations for Interlaken in the IP ParameterEditor:

• Interlaken 10x12.5 Gbps

• Interlaken 1x6.25 Gbps

• Interlaken 6x10.3 Gbps

1. Instantiate the Arria 10 Transceiver Native PHY IP from the IP Catalog(Installed IP ➤ Library ➤ Interface Protocols ➤ Transceiver PHY ➤ Arria10 Transceiver Native PHY).Refer to Select and Instantiate the PHY IP Core on page 33 for more details.

2. Select Interlaken from the Transceiver configuration rules list located underDatapath Options, depending on which protocol you are implementing.

3. Use the parameter values in the tables in Transceiver Native PHY IP Parameters forInterlaken Transceiver Configuration Rules. Or you can use the protocol presetsdescribed in Transceiver Native PHY Presets. You can then modify the settings tomeet your specific requirements.

4. Click Generate to generate the Native PHY IP (this is your RTL file).

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide103

Page 104: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 38. Signals and Ports of Native PHY IP for Interlaken

reconfig_resetreconfig_clkreconfig_avmm

tx_digital_resettx_clkouttx_coreclkintx_control[17:0] (1)tx_parallel_data[127:0] (1)tx_enh_data_validtx_enh_frame_burst_entx_enh_frame_diag_status[1:0]tx_enh_frametx_enh_fifo_cnt[3:0]tx_enh_fifo_fulltx_enh_fifo_pfulltx_enh_fifo_emptytx_enh_fifo_pempty

ReconfigurationRegisters

TX Enhanced PCS

rx_clkoutrx_coreclkinrx_parallel_data[127:0] (2)rx_control[19:0] (2)rx_enh_fifo_rd_enrx_enh_data_validrx_enh_fifo_align_valrx_enh_fifo_align_clrrx_enh_framerx_enh_fifo_cnt[3:0]rx_enh_fifo_fullrx_enh_fifo_pfullrx_enh_fifo_emptyrx_enh_fifo_pemptyrx_enh_frame_diag_status[1:0]rx_enh_frame_lockrx_enh_crc32_errrx_enh_blk_lock

RX Enhanced PCS

HardCalibration Block

TX PMA

Serializer

RX PMA

DeserializerCDR

tx_cal_busyrx_cal_busy

tx_serial_data

rx_serialloopbackrx_serial_datarx_cdr_refclk0

rx_is_lockedtodatarx_is_lockedtoref

tx_serial_clk or tx_bonding_clocks[5:0]

(from TX PLL)

Notes: (1) The width of tx_parallel_data and tx_control depends on whether the simplified interface is enabled or not. If the simplified interface is enabled, then tx_parallel_data = 64 bits and tx_control = 3 bits. The width shown here is without simplified interface. (2) The width of rx_parallel_data and rx_control depends on whether the simplified interface is enabled or not. If the simplified interface is enabled, then rx_parallel_data = 64 bits and rx_control = 10 bits. The width shown here is without simplified interface.

tx_analog_reset

rx_analog_reset

rx_digital_reset

Arria 10 Transceiver Native PHY

32/40/64

32/40/64

5. Configure and instantiate your PLL.

6. Create a transceiver reset controller. You can use your own reset controller or usethe Transceiver PHY Reset Controller.

7. Implement a TX soft bonding logic and an RX multi-lane alignment deskew statemachine using fabric logic resources for multi-lane Interlaken implementation.

8. Connect the Native PHY IP to the PLL IP and the reset controller.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide104

Page 105: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 39. Connection Guidelines for an Interlaken PHY DesignThis figure shows the connection of all these blocks in the Interlaken PHY design example available on the IntelFPGA Wiki website.

For the blue blocks, Intel provides an IP core. The gray blocks use the TX soft bonding logic that is included inthe design example. The white blocks are your test logic or MAC layer logic.

Reset Controller

PLL IP

PatternGenerator

PatternVerifier

TX SoftBonding

RXDeskew

Arria 10 Transceiver Native PHY

PLL and CGB Reset

TX/RX Analog/Digital Reset

TX FIFO Status

TX Data Stream

RX Data Stream

TX FIFO ControlControl and Status

Control and Status

RX FIFO Status

RX FIFO Control

TX Clocks

9. Simulate your design to verify its functionality.

Figure 40. 24 Lanes Bonded Interlaken Link, TX DirectionTo show more details, three different time segments are shown with the same zoom level.

24`h000000

24`h000000

24`... 24`hffffff

24`h00000024`hffffff24`h000000

24`h000000

24`h00000024`hffffff

24`hffffff24`hffffff 24`h000000

24`h000000

1536`h0123456789abcdef0123456772`h249249249249249249

24`h000000

24`hffffff24`...

24`h000000

24`h000000

24`hffffff

24`hffffff24`h000000

24`h000...

24`h000000

24`h00000024`h000000

1536`h0123456789abcdef0123456772`h249249249249249249

24`h000000

24`h000000

24`h000000

24`hffffff

24`hffffff

24`hffffff1536`h0123456789abcdef0123456772`h24924924924924924924`h00000024`h000000

24`hffffff24`h000000

24`h000000

24`h000000

24`h000000

1536`hbd212...

pll_lockedtx_analogreset

tx_clkout[0]tx_clkout

tx_digitalresettx_ready[0]

tx_readytx_enh_data_valid[0]

tx_enh_data_validtx_enh_fifo_full

tx_enh_frame[0]tx_enh_frame

tx_enh_frame_burst_en[0]tx_enh_frame_burst_en

tx_parallel_datatx_control

tx_enh_fifo_emptytx_enh_fifo_pempty

tx_ready Asserted

Pre-FillStage

Pre-Fill CompletedAssert burst_en for

All Lanes

Send DataBased on FIFO Flags

24 lanes bonded Interlaken link, TX direction

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide105

Page 106: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 41. 24 Lanes Bonded Interlaken Link, RX DirectionTo show more details, three different time segments are shown with different zoom level.

rx_clkout[0]rx_digitalreset

rx_readyrx_enh_blk_lock

rx_enh_frame_lockrx_enh_fifo_pfull[0]

rx_enh_fifo_pfullrx_enh_fifo_pempty

rx_enh_fifo_align_clrrx_enh_fifo_align_val

rx_enh_fifi_rd_enrx_enh_data_valid

rx_parallel_datarx_control

24`h00000024`hffffff

24`h000000

24`hffffff

24`h00000024`h000000

24`h000000

24`h00000024`h000000

24`h00000024`h000000

1536`h0100009c0100240`h0441104411044

24`hffffff24`hffffff

24`h00000124`h0...

24`h00000024`hffffff24`h00000024`h00...

24`h00000024`h000000

1536`h0100009c0100009c0100009c0100009c0100009c0100009c0100009c01000240`h044110441104404411044110441104411044110441104411044110441104411

24`hfffffe

24`h000001

24`h00000024`hffffff

24`hffffff

24`h000000

24`hffffff24`hffffff24`hffffff

24`h00000024`hffffff24`h00000024`hffffff24`h00..

24`h00000024`h000000

1536`h01000...240`h044110...

24`h000000 24`h000000

24`hffffff 24`hffffff24`h00..24`hffffff 24`hffffff24`h00..

1536`h1e...240`h90a... 240`h826...

rx_readyAsserted

24`h00...24`hff...

Some Lanes pfull Signal Is Asserted before All Lanes pempty is Deasserted;

RX Deskew Fails. Need to Realign

Assert align_clrto Re-Align

All Lanes pfull Low and All Lanes pempty Deasserted

RX Deskew Complete

Start Reading DataBased on FIFO Flags

24`h00..

Related Information

• Arria 10 Enhanced PCS Architecture on page 461For more information about Enhanced PCS architecture

• Arria 10 PMA Architecture on page 447For more information about PMA architecture

• Using PLLs and Clock Networks on page 398For more information about implementing PLLs and clocks

• PLLs on page 349PLL architecture and implementation details

• Resetting Transceiver Channels on page 416Reset controller general information and implementation details

• Enhanced PCS Ports on page 76For detailed information about the available ports in the Interlaken protocol

2.5.4. Design Example

Intel provides a PHY layer-only design example to help you integrate an InterlakenPHY into your complete design.

The TX soft bonding logic is included in the design example. Intel recommends thatyou integrate this module into your design.

The Interlaken Design Example is available on the Arria 10 Transceiver PHY DesignExamples Wiki page.

Note: The design examples on the Wiki page provide useful guidance for developing yourown designs, but they are not guaranteed by Intel. Use them with caution.

Related Information

Interlaken Design Example

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide106

Page 107: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.5.5. Native PHY IP Parameter Settings for Interlaken

This section contains the recommended parameter values for this protocol. Refer toUsing the Arria 10 Transceiver Native PHY IP Core for the full range of parametervalues.

Table 76. General and Datapath Parameters

Parameter Value

Message level for rule violations errorwarning

Transceiver configuration rules Interlaken

PMA configuration rules basic

Transceiver mode TX / RX DuplexTX SimplexRX Simplex

Number of data channels 1 to 96

Data rate Up to 17.4 Gbps for GX devices(Depending on Enhanced PCS to PMA interface widthselection)

Enable datapath and interface reconfiguration On / Off

Enable simplified data interface On / Off

Provide separate interface for each channel On / Off

Table 77. TX PMA Parameters

Parameter Value

TX channel bonding mode Not bondedPMA-only bondingPMA and PCS bonding

PCS TX channel bonding master If TX channel bonding mode is set to PMA and PCSbonding, then:Auto, 0, 1, 2, 3 through [Number of data channels – 1]

Actual PCS TX channel bonding master If TX channel bonding mode is set to PMA and PCSbonding, then:0, 1, 2, 3 through [Number of data channels – 1]

TX local clock division factor If TX channel bonding mode is not bonded, then:1, 2, 4, 8

Number of TX PLL clock inputs per channel If TX channel bonding mode is not bonded, then:1, 2, 3, 4

Initial TX PLL clock input selection 0

Enable tx_pma_clkout port On / Off

Enable tx_pma_div_clkout port On / Off

tx_pma_div_clkout division factor When Enable tx_pma_div_clkout port is On, then:Disabled, 1, 2, 33, 40, 66

Enable tx_pma_elecidle port On / Off

Enable tx_pma_qpipullup port (QPI) Offcontinued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide107

Page 108: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Value

Enable tx_pma_qpipulldn port (QPI) Off

Enable tx_pma_txdetectrx port (QPI) Off

Enable tx_pma_rxfound port (QPI) Off

Enable rx_seriallpbken port On / Off

Table 78. RX PMA Parameters

Parameter Value

Number of CDR reference clocks 1 to 5

Selected CDR reference clock 0 to 4

Selected CDR reference clock frequency Select legal range defined by the Quartus Prime software

PPM detector threshold 100, 300, 500, 1000

CTLE adaptation mode manual,

DFE adaptation mode adaptation enabled, manual, disabled

Number of fixed dfe taps 3, 7, 11

Enable rx_pma_clkout port On / Off

Enable rx_pma_div_clkout port On / Off

rx_pma_div_clkout division factor When Enable rx_pma_div_clkout port is On, then:Disabled, 1, 2, 33, 40, 66

Enable rx_pma_clkslip port On / Off

Enable rx_pma_qpipulldn port (QPI) Off

Enable rx_is_lockedtodata port On / Off

Enable rx_is_lockedtoref port On / Off

Enable rx_set_locktodata and rx_set_locktoref ports On / Off

Enable rx_seriallpbken port On / Off

Enable PRBS verifier control and status ports On / Off

Table 79. Enhanced PCS Parameters

Parameter Value

Enhanced PCS / PMA interface width 32, 40, 64

FPGA fabric / Enhanced PCS interface width 67

Enable 'Enhanced PCS' low latency mode Allowed when the PMA interface width is 32 and presetvariations for data rate is 10.3125 Gbps or 6.25 Gbps;otherwise Off

Enable RX/TX FIFO double-width mode Off

TX FIFO mode Interlaken

TX FIFO partially full threshold 8 to 15

TX FIFO partially empty threshold 1 to 8

Enable tx_enh_fifo_full port On / Offcontinued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide108

Page 109: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Value

Enable tx_enh_fifo_pfull port On / Off

Enable tx_enh_fifo_empty port On / Off

Enable tx_enh_fifo_pempty port On / Off

RX FIFO mode Interlaken

RX FIFO partially full threshold from 10-29 (no less than pempty_threshold+8)

RX FIFO partially empty threshold 2 to 10

Enable RX FIFO alignment word deletion (Interlaken) On / Off

Enable RX FIFO control word deletion (Interlaken) On / Off

Enable rx_enh_data_valid port On / Off

Enable rx_enh_fifo_full port On / Off

Enable rx_enh_fifo_pfull port On / Off

Enable rx_enh_fifo_empty port On / Off

Enable rx_enh_fifo_pempty port On / Off

Enable rx_enh_fifo_del port (10GBASE-R) Off

Enable rx_enh_fifo_insert port (10GBASE-R) Off

Enable rx_enh_fifo_rd_en port On

Enable rx_enh_fifo_align_val port (Interlaken) On / Off

Enable rx_enh_fifo_align_clr port (Interlaken) On

Table 80. Interlaken Frame Generator Parameters

Parameter Value

Enable Interlaken frame generator On

Frame generator metaframe length 5 to 8192 (Intel recommends a minimum metaframelength of 128)

Enable frame generator burst control On

Enable tx_enh_frame port On

Enable tx_enh_frame_diag_status port On

Enable tx_enh_frame_burst_en port On

Table 81. Interlaken Frame Synchronizer Parameters

Parameter Value

Enable Interlaken frame synchronizer On

Frame synchronizer metaframe length 5 to 8192 (Intel recommends a minimum metaframelength of 128)

Enable rx_enh_frame port On

Enable rx_enh_frame_lock port On / Off

Enable rx_enh_frame_diag_status port On / Off

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide109

Page 110: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 82. Interlaken CRC-32 Generator and Checker Parameters

Parameter Value

Enable Interlaken TX CRC-32 generator On

Enable Interlaken TX CRC-32 generator errorinsertion

On / Off

Enable Interlaken RX CRC-32 checker On

Enable rx_enh_crc32_err port On / Off

Table 83. Scrambler and Descrambler Parameters

Parameter Value

Enable TX scrambler (10GBASE-R / Interlaken) On

TX scrambler seed (10GBASE-R / Interlaken) 0x1 to 0x3FFFFFFFFFFFFFF

Enable RX descrambler (10GBASE-R / Interlaken) On

Table 84. Interlaken Disparity Generator and Checker Parameters

Parameter Value

Enable Interlaken TX disparity generator On

Enable Interlaken RX disparity checker On

Enable Interlaken TX random disparity bit On / Off

Table 85. Block Sync Parameters

Parameter Value

Enable RX block synchronizer On

Enable rx_enh_blk_lock port On / Off

Table 86. Gearbox Parameters

Parameter Value

Enable TX data bitslip Off

Enable TX data polarity inversion On / Off

Enable RX data bitslip Off

Enable RX data polarity inversion On / Off

Enable tx_enh_bitslip port Off

Enable rx_bitslip port Off

Table 87. Dynamic Reconfiguration Parameters

Parameter Value

Enable dynamic reconfiguration On / Off

Share reconfiguration interface On / Off

Enable Altera Debug Master Endpoint On / Offcontinued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide110

Page 111: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Value

Separate reconfig_waitrequest from the status ofAVMM arbitration with PreSICE

On / Off

Enable capability registers On / Off

Set user-defined IP identifier: 0 to 255

Enable control and status registers On / Off

Enable prbs soft accumulators On / Off

Table 88. Configuration Files Parameters

Parameter Value

Configuration file prefix —

Generate SystemVerilog package file On / Off

Generate C header file On / Off

Generate MIF (Memory Initialization File) On / Off

Include PMA analog settings in configuration files On / Off

Table 89. Configuration Profiles Parameters

Parameter Value

Enable multiple reconfiguration profiles On / Off

Enable embedded reconfiguration streamer On / Off

Generate reduced reconfiguration files On / Off

Number of reconfiguration profiles 1 to 8

Selected reconfiguration profile 1 to 7

Related Information

Using the Arria 10 Transceiver Native PHY IP Core on page 45

2.6. Ethernet

The Ethernet standard comprises many different PHY standards with variations insignal transmission medium and data rates. The 1G/10GbE and 10GBASE-KR PHY IPCore enables Ethernet connectivity at 1 Gbps and 10 Gbps over backplanes. The10GBASE-KR PHY IP is also known as the Backplane Ethernet PHY IP. It includes linktraining and auto negotiation to support the IEEE Backplane Ethernet standard.

Data Rate Transceiver Configuration Rule/IP

1G • Gigabit Ethernet• Gigabit Ethernet 1588

10G • 10GBASE-R• 10GBASE-R 1588• 10GBASE-R with KR FEC• 10GBASE-KR PHY IP

1G/10G 1G/10G Ethernet PHY IP

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide111

Page 112: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.6.1. Gigabit Ethernet (GbE) and GbE with IEEE 1588v2

Gigabit Ethernet (GbE) is a high-speed local area network technology that providesdata transfer rates of about 1 Gbps. GbE builds on top of the ethernet protocol, butincreases speed tenfold over Fast Ethernet. IEEE 802.3 defines GbE as an intermediate(or transition) layer that interfaces various physical media with the media accesscontrol (MAC) in a Gigabit Ethernet system. Gigabit Ethernet PHY shields the MAClayer from the specific nature of the underlying medium and is divided into three sub-layers shown in the following figure.

Figure 42. GbE PHY Connection to IEEE 802.3 MAC and RS

Application

Presentation

Session

Transport

Network

Data Link

Physical

OSIReference

ModelLayers

Higher Layers

LANCSMA/CDLAYERS

LLC (Logical Link Control)or other MAC Client

MAC Control (Optional)

Media Access Control (MAC)

Reconciliation

PHYSublayers

GMII

MDI

PMA

PCS

RECONCILIATION

PMD

Medium

1 Gbps

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide112

Page 113: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 43. Transceiver Channel Datapath and Clocking at 1250 Mbps for GbE, GbE withIEEE 1588v2

RX FIFO (1)

ByteDeserializer (4)

8B/10B Decoder

Rate Match FIFO (2)

Receiver PMA

Word Aligner

Deserializer

CDR

Receiver Standard PCS

Transmitter Standard PCS Transmitter PMA

Serializer

tx_serial_datarx_serial_data

FPGAFabric

TX FIFO (1)

Byte Serializer (3)

8B/10B Encoder

PRBSGenerator

TX Bit Slip

/2

/2

Parallel Clock

Serial Clock

Parallel and Serial Clock Parallel and Serial Clock

Clock Divider

rx_pma_div_clkout

Serial Clock

Clock Generation Block (CGB) (5)ATX PLL

CMU PLL fPLL

tx_coreclkin

rx_coreclkin

rx_clkout ortx_clkout

Parallel Clock(Recovered)

Parallel Clock(From Clock

Divider)

tx_clkout

tx_clkout

tx_clkout

rx_clkout

PRBSVerifier

tx_pma_div_clkout

10

625 MHz

125 MHz

10

625 MHz

125 MHz

Notes:1. This block is set in low latency mode for GbE and register_fifo mode for GbE with IEEE 1588v2.

2. The rate match FIFO of the hard PCS is disabled for GbE with IEEE 1588v2 because it is not able to acheive deterministic latency. It is also disabled for Triple-speed Ethernet (TSE) configurations that require an auto-negotiation sequency. The insertion/deletion operation could break the auto-negotiation functionality due to the rate matching of different frequency PPM scenarios.The soft rate match FIFO is constructed in the GbE Serial Gigabit Media Independent Interface (SGMII) IP core.3. The byte serializer can be enabled or disabled.4. The byte deserializer can be enabled or disabled.5. The CGB is in the Native PHY.

8

8

125 MHz

125 MHz

Note: The Native PHY only supports basic PCS functions. The Native PHY does not supportauto-negotiation state machine, collision-detect, and carrier-sense. If required, youmust implement these functions in the FPGA fabric or external circuits.

GbE with IEEE 1588v2

GbE with IEEE 1588v2 provides a standard method to synchronize devices on anetwork with submicrosecond precision. To improve performance, the protocolsynchronizes slave clocks to a master clock so that events and time stamps aresynchronized in all devices. The protocol enables heterogeneous systems that includeclocks of various inherent precision, resolution, and stability to synchronize to agrandmaster clock.

The TX FIFO and RX FIFO are set to register_fifo mode for GbE with IEEE 1588v2.

Related Information

Triple-Speed Ethernet IP Function User Guide.For more information about the IEEE 1588v2 implementation in GbE PHY and MAC,and design examples.

2.6.1.1. 8B/10B Encoding for GbE, GbE with IEEE 1588v2

The 8B/10B encoder clocks 8-bit data and 1-bit control identifiers from the transmitterphase compensation FIFO and generates 10-bit encoded data. The 10-bit encodeddata is sent to the PMA.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide113

Page 114: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The IEEE 802.3 specification requires GbE to transmit Idle ordered sets (/I/)continuously and repetitively whenever the gigabit media-independent interface(GMII) is Idle. This transmission ensures that the receiver maintains bit and wordsynchronization whenever there is no active data to be transmitted.

For the GbE protocol, the transmitter replaces any /Dx.y/ following a /K28.5/ commawith either a /D5.6/ (/I1/ ordered set) or a /D16.2/ (/I2/ ordered set), depending onthe current running disparity. The exception is when the data following the /K28.5/ is /D21.5/ (/C1/ ordered set) or /D2.2/ (/C2/) ordered set. If the running disparity beforethe /K28.5/ is positive, an /I1/ ordered set is generated. If the running disparity isnegative, a /I2/ ordered set is generated. The disparity at the end of a /I1/ is theopposite of that at the beginning of the /I1/. The disparity at the end of a /I2/ is thesame as the beginning running disparity immediately preceding transmission of theIdle code. This sequence ensures a negative running disparity at the end of an Idleordered set. A /Kx.y/ following a /K28.5/ does not get replaced.

Note: /D14.3/, /D24.0/, and /D15.8/ are replaced by /D5.6/ or /D16.2/ (for I1 and I2ordered sets). D21.5 (/C1/) is not replaced.

Figure 44. Idle Ordered-Set Generation Example

Clock (i)

tx_datain (i)

tx_dataout (o)

Ordered Set (o)

K28.5 D14.3 D24.0 D15.8 D21.5 Dx.yK28.5 K28.5 K28.5

Dx.y K28.5 K28.5 K28.5 K28.5D5.6 D16.2 D21.5D16.2

/I1/ /I2/ /C1//I2/

Legend:(i) = Input signal(o) = Output signal

Related Information

8B/10B Encoder on page 482

2.6.1.1.1. Reset Condition for 8B/10B Encoder in GbE, GbE with IEEE 1588v2

After deassertion of tx_digitalreset, the transmitters automatically transmit atleast three /K28.5/ comma code groups before transmitting user data on thetx_parallel_data port. This transmission could affect the synchronization statemachine behavior at the receiver.

Depending on when you start transmitting the synchronization sequence, there couldbe an even or odd number of /Dx.y/ code groups transmitted between the last of thethree automatically sent /K28.5/ code groups and the first /K28.5/ code group of thesynchronization sequence. If there is an even number of /Dx.y/code groups receivedbetween these two /K28.5/ code groups, the first /K28.5/ code group of thesynchronization sequence begins at an odd code group boundary. The synchronizationstate machine treats this as an error condition and goes into the loss ofsynchronization state.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide114

Page 115: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 45. Reset Condition

clock

tx_parallel_data

tx_digitalreset

K28.5 K28.5 K28.5K28.5xxx Dx.y Dx.y K28.5 K28.5 K28.5Dx.y Dx.y Dx.y

n n + 1 n + 2 n + 3 n + 4

Automatically transmitted /K28.5/

User transmitted data

User transmitted synchronization sequence

2.6.1.2. Word Alignment for GbE, GbE with IEEE 1588v2

The word aligner for the GbE and GbE with IEEE 1588v2 protocols is configured inautomatic synchronization state machine mode. The software automatically configuresthe synchronization state machine to indicate synchronization when the receiverreceives three consecutive synchronization ordered sets. A synchronization orderedset is a /K28.5/ code group followed by an odd number of valid /Dx.y/ code groups.The fastest way for the receiver to achieve synchronization is to receive threecontinuous {/K28.5/, /Dx.y/} ordered sets.

The GbE PHY IP core signals receiver synchronization status on the rx_syncstatusport of each channel. A high on the rx_syncstatus port indicates that the lane issynchronized; a low on the rx_syncstatus port indicates that the lane has fallen outof synchronization. The receiver loses synchronization when it detects three invalidcode groups separated by less than three valid code groups or when it is reset.

Table 90. Synchronization State Machine Parameter Settings for GbE

Synchronization State Machine Parameter Setting

Number of word alignment patterns to achieve sync 3

Number of invalid data words to lose sync 3

Number of valid data words to decrement error count 3

The following figure shows rx_syncstatus high when three consecutive ordered setsare sent through rx_parallel_data.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide115

Page 116: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 46. rx_syncstatus High

Three Consecutive Ordered Sets Received to Achieve Synchronization

c5 bc 50 bcbc 50 8d8c 00 8c 8drx_parallel_data

rx_datak

rx_syncstatus

rx_patterndetect

rx_disperr

rx_errdetect

Related Information

Word Aligner on page 485

2.6.1.3. 8B/10B Decoding for GbE, GbE with IEEE 1588v2

The 8B/10B decoder takes a 10-bit encoded value as input and produces an 8-bit datavalue and 1-bit control value as output.

Figure 47. Decoding for GbEDx.y(0x8d), Dx.y(0xa4), K28.5(0xbc), and Dx.y(0x50) are received at rx_parallel_data. /K28.5/ is set asthe word alignment pattern. rx_patterndetect goes high whenever it detects /K28.5/(0xbc). rx_datak ishigh when bc is received, indicating that the decoded word is a control word. Otherwise, rx_datak is low.rx_runningdisp is high for 0x8d, indicating that the decoded word has negative disparity and 0xa4 haspositive disparity.

8d

rx_datak

rx_parallel_data a4 bc 50 8d a4 bc 50 8d a4 bc 50 508d a4 bc

rx_patterndetect

rx_disperr

rx_errdetect

rx_runningdisp

Related Information

8B/10B Decoder on page 492

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide116

Page 117: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.6.1.4. Rate Match FIFO for GbE

The rate match FIFO compensates frequency Part-Per-Million (ppm) differencesbetween the upstream transmitter and the local receiver reference clock up to 125MHz ± 100 ppm difference.

Note: 200 ppm total is only true if calculated as (125 MHz + 100 ppm) - (125 MHz - 100ppm) = 200 ppm. By contrast, (125 MHz + 0 ppm) - (125 MHz - 200 ppm) is out ofspecification.

The GbE protocol requires the transmitter to send idle ordered sets /I1/ (/K28.5/D5.6/) and /I2/ (/K28.5/D16.2/) during inter-packet gaps (IPG) adhering to the ruleslisted in the IEEE 802.3-2008 specification.

The rate match operation begins after the synchronization state machine in the wordaligner indicates synchronization is acquired by driving the rx_syncstatus signalhigh. The rate matcher deletes or inserts both symbols /K28.5/ and /D16.2/ ofthe /I2/ ordered sets as a pair in the operation to prevent the rate match FIFO fromoverflowing or underflowing. The rate match operation can insert or delete asmany /I2/ ordered sets as necessary.

The following figure shows a rate match deletion operation example where threesymbols must be deleted. Because the rate match FIFO can only delete /I2/ orderedsets, it deletes two /I2/ ordered sets (four symbols deleted).

Figure 48. Rate Match FIFO Deletion

D x . y K 28 . 5datain K 28 . 5 D 16 .2 K 28 .5 D 16 . 2 D x . yD 16 .2

F irst /I2 / O rdered S et /I2 / O rdered S etS econd Third /I2 / O rdered S et

D x . y D x . yK 28 . 5dataout D 16 .2

/I2/ SKP Symbol Deleted

The following figure shows an example of rate match FIFO insertion in the case whereone symbol must be inserted. Because the rate match FIFO can only insert /I2/ordered sets, it inserts one /I2/ ordered set (two symbols inserted).

Figure 49. Rate Match FIFO Insertion

D x . y K 28 . 5datain K 28 . 5

K 28 . 5

D 16 .2 K 28 .5 D 16 . 2 D x . yD 16 .2

D x . y K 28 . 5dataout D 16 .2 D 16 . 2

/I2/ SKP Symbol InsertedFirst /I2/ Ordered Set Second /I2/ Ordered Set

rx_std_rmfifo_full and rx_std_rmfifo_empty are forwarded to the FPGAfabric to indicate rate match FIFO full and empty conditions.

The rate match FIFO does not delete code groups to overcome a FIFO full condition. Itasserts the rx_std_rmfifo_full flag for at least two recovered clock cycles toindicate rate match FIFO full. The following figure shows the rate match FIFO fullcondition when the write pointer is faster than the read pointer.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide117

Page 118: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 50. Rate Match FIFO Full Condition

2D 2E 2F 30 31 32 33 34 35 36 37 38

03

tx_parallel_data

rx_parallel_data 04 05 06 07 08 09 0A 0B 0C 0D 0E

rx_std_rmfifo_full

The rx_std_rmfifo_full status flag indicatesthat the FIFO is full at this time

The rate match FIFO does not insert code groups to overcome the FIFO emptycondition. It asserts the rx_std_rmfifo_empty flag for at least two recovered clockcycles to indicate that the rate match FIFO is empty. The following figure shows therate match FIFO empty condition when the read pointer is faster than the writepointer.

Figure 51. Rate Match FIFO Empty Condition

1E 1F 20 21 22 23 24 25 26 27 28 29 2A 2B 2C

44

tx_parallel_data

rx_parallel_data 45 46 47 48 49 4A 4B 4C 4D 4E 4F 50 00 01

2D

02

rx_std_rmfifo_empty

The rx_std_rmfifo_empty status flag indicatesthat the FIFO is empty at this time

In the case of rate match FIFO full and empty conditions, you must assert therx_digitalreset signal to reset the receiver PCS blocks.

Related Information

Rate Match FIFO on page 491

2.6.1.5. How to Implement GbE, GbE with IEEE 1588v2 in Arria 10 Transceivers

You should be familiar with the Standard PCS and PMA architecture, PLL architecture,and the reset controller before implementing the GbE protocol.

1. Instantiate the Arria 10 Transceiver Native PHY IP from the IP Catalog.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide118

Page 119: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Refer to Select and Instantiate the PHY IP Core on page 33.

2. Select GbE or GbE 1588 from the Transceiver configuration rules list locatedunder Datapath Options, depending on which protocol you are implementing.

3. Use the parameter values in the tables in Native PHY IP Parameter Settings forGbE and GbE with IEEE 1588v2 on page 120 as a starting point. Or, you can usethe protocol presets described in Transceiver Native PHY Presets. Use theGIGE-1.25 Gbps preset for GbE, and the GIGE-1.25 Gbps 1588 preset for GbE1588. You can then modify the setting to meet your specific requirements.

4. Click Generate to generate the Native PHY IP core top-level RTL file.

Figure 52. Signals and Ports for Native PHY IP Configured for GbE or GbE with IEEE1588v2Generating the IP core creates signals and ports based on your parameter settings.

ReconfigurationRegisters

NIOSHard Calibration IP

TX PMA

Arria 10 Transceiver Native PHY

Serializertx_serial_data

tx_serial_clk0(from TX PLL)

rx_cal_busytx_cal_busy

rx_serial_data

rx_is_lockedtodatarx_is_lockedtoref

rx_cdr_refclk0

tx_dataktx_parallel_data[7:0]

tx_coreclkintx_clkout

unused_tx_parallel_data[118:0]

gmii_tx_ctrltx_digitalreset

gmii_tx_d[7:0]

reconfig_clkreconfig_avmm

reconfig_reset

gmii_tx_clktx_clkout

RX PMA

TX Standard PCS

RX Standard PCS

Deserializer

Local ClockGeneration

Block

CDR

rx_datakrx_parallel_data[7:0]

rx_clkoutrx_coreclkinrx_errdetect

rx_disperrrx_runningdisp

rx_patterndetectrx_syncstatus

rx_rmfifostatus

rx_errdetectrx_disperrrx_runningdisprx_patterndetectrx_syncstatusrx_rmfifostatus (1)

unused_rx_parallel_data[111:0]

gmii_rx_ctrlrx_digitalreset

rx_analogreset

tx_analogreset

gmii_rx_d[7:0]gmii_rx_clk

10

10

Note:1. rx_rmfifostatus is not available in the GbE with 1588 configuration.

5. Instantiate and configure your PLL.

6. Instantiate a transceiver reset controller.

You can use your own reset controller or use the Native PHY Reset Controller IPcore.

7. Connect the Native PHY IP to the PLL IP and the reset controller. Use theinformation in the figure below to connect the ports.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide119

Page 120: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 53. Connection Guidelines for a GbE/GbE with IEEE 1588v2 PHY Design

resetPattern

Generator

PatternChecker

PLL ResetController

Arria 10Transceiver

NativePHY

tx_parallel_data

tx_datak

tx_clkoutpll_ref_clk

reset

tx_serial_clk

pll_locked

pll_powerdown (2)

rx_ready

tx_ready

clk

reset

tx_digitalreset

tx_analogreset

rx_digitalreset

rx_analogreset

rx_is_lockedtodata

rx_parallel_data

rx_datak

rx_clkout

tx_serial_datarx_serial_data

tx_cal_busy

rx_cal_busy

Note:1. The pll_cal_busy signal is not available when using the CMU PLL.2. The pll_powerdown signal is not available separately for user control when using the fPLL. The reset controller handles PLL reset for the fPLL.

pll_cal_busy (1)rx_cdr_refclk

8. Simulate your design to verify its functionality.

Related Information

• Arria 10 Standard PCS Architecture on page 479For more information about Standard PCS architecture

• Arria 10 PMA Architecture on page 447For more information about PMA architecture

• Using PLLs and Clock Networks on page 398For more information about implementing PLLs and clocks

• PLLs on page 349PLL architecture and implementation details

• Resetting Transceiver Channels on page 416Reset controller general information and implementation details

• Standard PCS Ports on page 86Port definitions for the Transceiver Native PHY Standard Datapath

2.6.1.6. Native PHY IP Parameter Settings for GbE and GbE with IEEE 1588v2

This section contains the recommended parameter values for this protocol. Refer toUsing the Arria 10 Transceiver Native PHY IP Core for the full range of parametervalues.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide120

Page 121: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 91. General and Datapath OptionsThe first two sections of the Native PHY [IP] parameter editor for the Native PHY IP provide a list of generaland datapath options to customize the transceiver.

Parameter Value

Message level for rule violationserror

warning

Transceiver configuration rulesGbE (for GbE)

GbE 1588 (for GbE with IEEE 1588v2)

Transceiver modeTX/RX Duplex

TX SimplexRX Simplex

Number of data channels 1 to 96

Data rate 1250 Mbps

Enable datapath and interface reconfiguration On/Off

Enable simplified data interface On/Off

Table 92. TX PMA Parameters

Parameter Value

TX channel bonding mode Not bonded

TX local clock division factor 1, 2, 4, 8

Number of TX PLL clock inputs per channel 1, 2, 3, 4

Initial TX PLL clock input selection 0 to 3

Enable tx_pma_clkout port On/Off

Enable tx_pma_div_clkout port On/Off

tx_pma_div_clkout division factor Disabled, 1, 2, 33, 40, 66

Enable tx_pma_elecidle port On/Off

Enable tx_pma_qpipullup port (QPI) On/Off

Enable tx_pma_qpipulldn port (QPI) On/Off

Enable tx_pma_txdetectrx port (QPI) On/Off

Enable tx_pma_rxfound port (QPI) On/Off

Enable rx_seriallpbken port On/Off

Table 93. RX PMA Parameters

Parameter Value

Number of CDR reference Clocks 1 to 5

Selected CDR reference clock 0 to 4

Selected CDR reference clock frequency Select legal range defined by the Quartus Primesoftware

PPM detector threshold 100, 300, 500, 1000

CTLE adaptation mode manualcontinued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide121

Page 122: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Value

DFE adaptation mode disabled

Number of fixed dfe taps N/A

Enable rx_pma_clkout port On/Off

Enable rx_pma_div_clkout port On/Off

rx_pma_div_clkout division factor Disabled, 1, 2, 33, 40, 66

Enable rx_pma_iqtxrx_clkout port On/Off

Enable rx_pma_clkslip port On/Off

Enable rx_pma_qpipulldn port (QPI) Off

Enable rx_is_lockedtodata port On/Off

Enable rx_is_lockedtoref port On/Off

Enable rx_set_locktodata and rx_set_locktoref ports On/Off

Enable rx_seriallpbken port On/Off

Enable PRBS verifier control and status ports On/Off

Table 94. Standard PCS Parameters

Parameters Value

Standard PCS / PMA interface width 10

FPGA fabric / Standard TX PCS interface width 8

FPGA fabric / Standard RX PCS interface width 8

Enable Standard PCS low latency mode Off

TX FIFO modelow latency (for GbE)

register_fifo (for GbE with IEEE 1588v2)

RX FIFO modelow latency (for GbE)

register_fifo (for GbE with IEEE 1588v2)

Enable tx_std_pcfifo_full port On/Off

Enable tx_std_pcfifo_empty port On/Off

Enable rx_std_pcfifo_full port On/Off

Enable rx_std_pcfifo_empty port On/Off

TX byte serializer mode Disabled

RX byte deserializer mode Disabled

Enable TX 8B/10B encoder On

Enable TX 8B/10B disparity control On/Off

Enable RX 8B/10B decoder On

RX rate match FIFO modegige (for GbE)

disabled (for GbE with IEEE 1588v2)

RX rate match insert / delete -ve pattern (hex)0x000ab683 (/K28.5/D2.2/) (for GbE)0x00000000 (disabled for GbE with IEEE

1588v2)

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide122

Page 123: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameters Value

RX rate match insert / delete +ve pattern (hex)0x000a257c (/K28.5/D16.2/) (for GbE)0x00000000 (disabled for GbE with IEEE

1588v2)

Enable rx_std_rmfifo_full portOn/Off

(option disabled for GbE with IEEE 1588v2)

Enable rx_std_rmfifo_empty portOn/Off

(option disabled for GbE with IEEE 1588v2)

PCI Express Gen3 rate match FIFO mode Bypass

Enable TX bit slip Off

Enable tx_std_bitslipboundarysel port On/Off

RX word aligner mode Synchronous state machine

RX word aligner pattern length 7

RX word aligner pattern (hex)0x000000000000007c (Comma) (for 7-bit

aligner pattern length), 0x000000000000017c(/K28.5/) (for 10-bit aligner pattern length)

Number of word alignment patterns to achieve sync 3

Number of invalid data words to lose sync 3

Number of valid data words to decrement error count 3

Enable fast sync status reporting for deterministic latency SM On/Off

Enable rx_std_wa_patternalign port Off

Enable rx_std_wa_a1a2size port Off

Enable rx_std_bitslipboundarysel port Off

Enable rx_bitslip port Off

Enable TX bit reversal Off

Enable TX byte reversal Off

Enable TX polarity inversion On/Off

Enable tx_polinv port On/Off

Enable RX bit reversal Off

Enable rx_std_bitrev_ena port Off

Enable RX byte reversal Off

Enable rx_std_byterev_ena port Off

Enable RX polarity inversion On/Off

Enable rx_polinv port On/Off

Enable rx_std_signaldetect port On/Off

All options under PCIe Ports Off

Related Information

Using the Arria 10 Transceiver Native PHY IP Core on page 45

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide123

Page 124: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.6.2. 10GBASE-R, 10GBASE-R with IEEE 1588v2, and 10GBASE-R withFEC Variants

10GBASE-R PHY is the Ethernet-specific physical layer running at a 10.3125-Gbpsdata rate as defined in Clause 49 of the IEEE 802.3-2008 specification. Arria 10transceivers can implement 10GBASE-R variants like 10GBASE-R with IEEE 1588v2,and with forward error correction (FEC).

The 10GBASE-R parallel data interface is the 10 Gigabit Media Independent Interface(XGMII) that interfaces with the Media Access Control (MAC), which has the optionalReconciliation Sub-layer (RS).

Figure 54. 10GBASE-R PHY as Part of the IEEE802.3-2008 Open System Interconnection(OSI)

Application

Presentation

Session

Transport

Network

Data Link

Physical

OSI ReferenceModel Layers

Higher Layers

LANCSMA/CDLAYERS

Logical Link Control (LLC) or other MAC Client

MAC Control (Optional)

Media Access Control (MAC)

Reconciliation

XGMII

10GBASE-R PCS

MDI

10GBASE-RPHY

Medium

10GBASE-R(PCS, FEC, PMA, PMD)

10GBASE-R FEC (Optional)

PMA

PMD

To 10GBASE-R PHY(Point-to-Point Link)

MDI: Medium Dependent InterfacePCS: Physical Coding SublayerPHY: Physical Layer DevicePMA: Physical Medium Attachment

PMD: Physical Medium DependentFEC: Forwarad Error CorrectionXGMII: 10 GB Media Independent Interface

Legend

10GBASE-R is a single-channel protocol that runs independently. You can configurethe transceivers to implement 10GBASE-R PHY functionality by using the presets ofthe Native PHY IP. The 10GBASE-R PHY IP is compatible with the 10-Gbps EthernetMAC Intel FPGA IP Core Function. The complete PCS and PHY solutions can be used tointerface with a third-party PHY MAC layer as well.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide124

Page 125: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The following 10GBASE-R variants area available from presets:

• 10GBASE-R

• 10GBASE-R Low Latency

• 10GBASE-R Register Mode

• 10GBASE-R w/ KR-FEC

Intel recommends that you use the presets for selecting the suitable 10GBASE-Rvariants directly if you are configuring through the Native PHY IP core.

Figure 55. Transceiver Channel Datapath and Clocking for 10GBASE-RTransmitter Enhanced PCSTransmitter PMA

Receiver PMA Receiver Enhanced PCS

TXGe

arbo

x

tx_s

erial

_dat

a

Seria

lizer

Inte

rlake

n Di

spar

ity G

ener

ator

Scra

mble

r(se

lf syn

c) m

ode

Parallel Clock

PRBSGenerator

PRPGenerator

rx_s

erial

_dat

a

Dese

rializ

er

CDR

Descr

amble

r

Inte

rlake

n Di

spar

ity Ch

ecke

r

Bloc

kSy

nchr

onize

r

Inte

rlake

n Fra

me S

ync

RXGe

arbo

x

PRBSVerifier

Trans

code

Deco

der

KR FE

C RX

Gear

box

KR FE

CDe

code

r

KR FE

CBl

ock S

ync

KR FE

CDe

scram

bler

Parallel Clock

Parallel ClockSerial ClockParallel and Serial Clocks

Clock Divider

Parallel and Serial Clocks

Clock Generation Block (CGB)

Serial Clock

Input Reference Clock

ATX PLLfPLL

CMU PLL

64B/

66B D

ecod

eran

d RX S

M

10GBASE-R BER Checker

PRP

rx_pma_div_clkout

tx_pma_div_clkout

Verifier

rx_c

orec

lkin

rx_clkout

Enha

nced

PCS

TX FI

FO (3)

Enha

nced

PCS

RX FI

FO (4)

Inte

rlake

n Fra

me G

ener

ator

Inte

rlake

n CR

C32 G

ener

ator

Inte

rlake

n CR

C32 C

heck

er

64B/

66B E

ncod

eran

d TX S

M

TX Data & Control

RX Data & Control

FPGAFabric

tx_c

orec

lkin

tx_clkoutKR

FEC

TX G

earb

ox

KR FE

CSc

ram

bler

KR FE

CEn

code

r

Trans

code

Enco

der

10.3125 Gbps

5156

.25 M

Hz (d

ata r

ate/

2) (1

)

Notes:1. Value based on the clock division factor chosen.2. Value calculated as data rate / PCS-PMA interface width.3. This block is in Phase Compensation mode for the 10GBASE-R configuration and register mode for the 10GBASE-R with 1588 configuration.4. This block is in 10GBASE-R mode for the 10GBASE-R configuration and register mode for the 10GBASE-R with 1588 configuration.

40

66

@ 257.8125 MHz (2)

64 + 8

@ 156.25 MHzfrom XGMII

64 + 8

@ 156.25 MHzfrom XGMII

@ 257.8125 MHz (2)

40

6664

64

10GBASE-R with IEEE 1588v2

When choosing the 10GBASE-R PHY with IEEE 1588v2 mode preset, the hard TX andRX FIFO are set to register mode. The output clock frequency of tx_clkout andrx_clkout to the FPGA fabric is based on the PCS-PMA interface width. For example,if the PCS-PMA interface is 40-bit, tx_clkout and rx_clkout run at 10.3125Gbps/40-bit = 257.8125 MHz.

The 10GBASE-R PHY with IEEE 1588v2 creates the soft TX phase compensation FIFOand the RX clock compensation FIFO in the FPGA core so that the effective XGMII datais running at 156.25 MHz interfacing with the MAC layer.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide125

Page 126: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The IEEE 1588 Precision Time Protocol (PTP) is supported by the preset of the Arria 10transceiver Native PHY that configures 10GBASE-R PHY IP in IEEE-1588v2 mode. PTPis used for precise synchronization of clocks in applications such as:

• Distributed systems in telecommunications

• Power generation and distribution

• Industrial automation

• Robotics

• Data acquisition

• Test equipment

• Measurement

The protocol is applicable to systems communicating by local area networks including,but not limited to, Ethernet. The protocol enables heterogeneous systems that includeclocks of various inherent precision, resolution, and stability to synchronize to agrandmaster clock.

Figure 56. Transceiver Channel Datapath and Clocking for 10GBASE-R with IEEE 1588v2Transmitter Enhanced PCSTransmitter PMA

Receiver PMA Receiver Enhanced PCS

TXGe

arbo

x

tx_s

erial

_dat

a

Seria

lizer

Inte

rlake

n Di

spar

ity G

ener

ator

Scra

mble

r(se

lf syn

c) m

ode

Parallel Clock

PRBSGenerator

PRPGenerator

rx_s

erial

_dat

a

Dese

rializ

er

CDR

Descr

amble

r

Inte

rlake

n Di

spar

ity Ch

ecke

r

Bloc

kSy

nchr

onize

r

Inte

rlake

n Fra

me S

ync

RXGe

arbo

x

PRBSVerifier

Trans

code

Deco

der

KR FE

C RX

Gear

box

KR FE

CDe

code

r

KR FE

CBl

ock S

ync

KR FE

CDe

scram

bler

Parallel Clock

Parallel ClockSerial ClockParallel and Serial Clocks

Clock Divider

Parallel and Serial Clocks

Clock Generation Block (CGB)

Serial Clock

Input Reference Clock

ATX PLLfPLL

CMU PLL

64B/

66B D

ecod

eran

d RX S

M

10GBASE-R BER Checker

PRP

rx_pma_div_clkout

tx_pma_div_clkout

Verifier

rx_c

orec

lkin

rx_clkout

Regis

ter M

ode

Inte

rlake

n Fra

me G

ener

ator

Inte

rlake

n CR

C32 G

ener

ator

Inte

rlake

n CR

C32 C

heck

er

64B/

66B E

ncod

eran

d TX S

M

FPGAFabric

tx_c

orec

lkin

tx_clkout

KR FE

CTX

Gea

rbox

KR FE

CSc

ram

bler

KR FE

CEn

code

r

Trans

code

Enco

der

10.3125 Gbps

5156

.25 M

Hz (d

ata r

ate/

2) (1

)

Notes:1. Value based on the clock division factor chosen.2. Value calculated as data rate / PCS-PMA interface width.

40

66

@ 257.8125 MHz (2)

TX XGMII@ 156.25 MHz

RX XGMII@ 156.25 MHz

@ 257.8125 MHz (2)

40

6664

64

Soft PhaseCompensation

FIFO

Soft ClockCompensation

FIFO

64 (data) + 8 (control)

Regis

ter M

ode

64 (data) + 8 (control)

10GBASE-R with FEC

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide126

Page 127: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Arria 10 10GBASE-R has the optional FEC variant that also targets the 10GBASE-KRPHY. This provides a coding gain to increase the link budget and BER performance ona broader set of backplane channels as defined in Clause 69. It provides additionalmargin to account for variations in manufacturing and environment conditions. Theadditional TX FEC sublayer:

• Receives data from the TX PCS

• Transcodes 64b/66b words

• Performs encoding/framing

• Scrambles and sends the FEC data to the PMA

The RX FEC sublayer:

• Receives data from the PMA

• Performs descrambling

• Achieves FEC framing synchronization

• Decodes and corrects data where necessary and possible

• Recodes 64b/66b words and sends the data to the PCS

The 10GBASE-R with KR FEC protocol is a KR FEC sublayer placed between the PCSand PMA sublayers of the 10GBASE-R physical layer.

Figure 57. Transceiver Channel Datapath and Clocking for 10GBASE-R with KR FECTransmitter Enhanced PCSTransmitter PMA

Receiver PMA Receiver Enhanced PCS

TXGe

arbo

x

tx_s

erial

_dat

a

Seria

lizer

Inte

rlake

n Di

spar

ity G

ener

ator

Scra

mble

r

Parallel Clock (161.1 MHz) (3)tx_pma_clk tx_krfec_clk

PRBSGenerator

PRPGenerator

rx_s

erial

_dat

a

Dese

rializ

er

CDR

Descr

amble

r

Inte

rlake

n Di

spar

ity Ch

ecke

r

Bloc

kSy

nchr

onize

r

Inte

rlake

n Fra

me S

ync

RXGe

arbo

x

PRBSVerifier

Trans

code

Deco

der

KR FE

C RX

Gear

box

KR FE

CDe

code

r

KR FE

CBl

ock S

ync

KR FE

CDe

scram

bler

Parallel Clock (161.1 MHz) (3)rx_pma_clk rx_krfec_clk

Parallel ClockSerial ClockParallel and Serial Clocks

Clock Divider

Parallel and Serial Clocks

Clock Generation Block (CGB)

Serial Clock

rx_rcvd_clk

tx_hf_clk

tx_serial_clk0(5156.25 MHz) =Data rate/2

Input Reference Clock

ATX PLLfPLL

CMU PLL

64B/

66B D

ecod

eran

d RX S

M

10GBASE-R

Notes:1. Value is based on the clock division factor chosen2. Value is calculated as data rate/FPGA fabric - PCS interface width3. Value is calculated as data rate/PCS-PMA interface width 4. For 10GBASE-R with KR FEC, TX FIFO is in phase compensation mode5. For 10GBASE-R with KR FEC, RX FIFO is in 10GBASE-R mode

BER Checker

PRP

rx_pma_div_clkout

tx_pma_div_clkout

Verifier

rx_c

orec

lkin

rx_clkout

Enha

nced

PCS

TX FI

FO

(4)

Enha

nced

PCS

RX FI

FO

(5)

Inte

rlake

n Fra

me G

ener

ator

Inte

rlake

n CR

C32 G

ener

ator

Inte

rlake

n CR

C32 C

heck

er

64B/

66B E

ncod

eran

d TX S

M

TX Data & Control

FPGAFabric

tx_c

orec

lkin

tx_clkout

KR FE

CTX

Gea

rbox

KR FE

CSc

ram

bler

KR FE

CEn

code

r

Trans

code

Enco

der

KR FEC

KR FEC

6466

64

64

@ 156.25 MHzfrom XGMII

@ 156.25 MHzfrom XGMII

64 + 8

RX Data & Control

64 + 8

5156

.25 M

Hz (d

ata r

ate/

2) (1

)

The CMU PLL or the ATX PLLs generate the TX high speed serial clock.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide127

Page 128: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 58. Clock Generation and Distribution for 10GBASE-R with FEC SupportExample using a 64-bit PCS-PMA interface width.

TX PLL

64

TX PMATX PCS

TX

64 Bit Data8 Bit Control 10.3125 Gbps

Serial

pll_ref_clk644.53125 MHz

161.13 MHz

64

RX PMARX PCS

RX

64 Bit Data8 Bit Control 10.3125 Gbps

Serial156.25 MHz

fPLLrx_coreclkin

8/33

10GBASE-R Hard IP Transceiver Channel

161.13 MHz

2.6.2.1. The XGMII Clocking Scheme in 10GBASE-R

The XGMII interface, specified by IEEE 802.3-2008, defines the 32-bit data and 4-bitwide control character. These characters are clocked between the MAC/RS and the PCSat both the positive and negative edge (double data rate – DDR) of the 156.25 MHzinterface clock.

The transceivers do not support the XGMII interface to the MAC/RS as defined in theIEEE 802.3-2008 specification. Instead, they support a 64-bit data and 8-bit controlsingle data rate (SDR) interface between the MAC/RS and the PCS.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide128

Page 129: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 59. XGMII Interface (DDR) and Transceiver Interface (SDR) for 10GBASE-RConfigurations

D0TXD/RXD[31:0] D1 D2 D3 D4 D5 D6

C0TXC/RXC[3:0] C1 C2 C3 C4 C5 C6

{D1, D0} {D3, D2} {D5, D4}

{C1, C0}

TXD/RXD[63:0]

Interface Clock (156.25) MHz

Interface Clock (156.25) MHz

Transceiver Interface (SDR)

XGMII Transfer (DDR)

TXC/RXC[7:0] {C3, C2} {C5, C4}

Note: Clause 46 of the IEEE 802.3-2008 specification defines the XGMII interface betweenthe 10GBASE-R PCS and the Ethernet MAC/RS.

The dedicated reference clock input to the variants of the 10GBASE-R PHY can be runat either 322.265625 MHz or 644.53125 MHz.

For 10GBASE-R, you must achieve 0 ppm of the frequency between the read clock ofTX phase compensation FIFO (PCS data) and the write clock of TX phasecompensation FIFO (XGMII data in the FPGA fabric). This can be achieved by using thesame reference clock as the transceiver dedicated reference clock input as well as thereference clock input for a core PLL (fPLL, for example) to produce the XGMII clock.The same core PLL can be used to drive the RX XGMII data. This is because the RXclock compensation FIFO is able to handle the frequency PPM difference of ±100 ppmbetween RX PCS data driven by the RX recovered clock and RX XGMII data.

Note: 10GBASE-R is the single-channel protocol that runs independently. Therefore Intelrecommends that you use the presets for selecting the suitable 10GBASE-R variantsdirectly. If it is being configured through the Native PHY IP, the channel bonding optionshould be disabled. Enabling the channel bonding for multiple channels could degradethe link performance in terms of TX jitter eye and RX jitter tolerance.

2.6.2.1.1. TX FIFO and RX FIFO

In 10GBASE-R configuration, the TX FIFO behaves as a phase compensation FIFO andthe RX FIFO behaves as a clock compensation FIFO.

In 10GBASE-R with 1588 configuration, both the TX FIFO and the RX FIFO are used inregister mode. The TX phase compensation FIFO and the RX clock compensation FIFOare constructed in the FPGA fabric by the PHY IP automatically.

In 10GBASE-R with KR FEC configuration, you use the TX FIFO in phase compensationmode and the RX FIFO behaves as a clock compensation FIFO.

Related Information

Arria 10 Enhanced PCS Architecture on page 461For more information about the Enhanced PCS Architecture

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide129

Page 130: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.6.2.2. How to Implement 10GBASE-R, 10GBASE-R with IEEE 1588v2, and10GBASE-R with FEC in Arria 10 Transceivers

You should be familiar with the 10GBASE-R and PMA architecture, PLL architecture,and the reset controller before implementing the 10GBASE-R, 10GBASE-R with IEEE1588v2, or 10GBASE-R with FEC Transceiver Configuration Rules.

You must design your own MAC and other layers in the FPGA to implement the10GBASE-R, 10GBASE-R with 1588, or 10GBASE-R with KR FEC TransceiverConfiguration Rule using the Native PHY IP.

1. Instantiate the Arria 10 Transceiver Native PHY IP from the IP Catalog.Refer to Select and Instantiate the PHY IP Core on page 33 for more details.

2. Select 10GBASE-R, 10GBASE-R 1588, or 10GBASE-R with KR FEC from theTransceiver configuration rule list located under Datapath Options,depending on which protocol you are implementing.

3. Use the parameter values in the tables in Transceiver Native PHY Parameters forthe 10GBASE-R Protocol as a starting point. Or, you can use the protocol presetsdescribed in Transceiver Native PHY Presets. Select 10GBASE-R Register Modefor 10GBASE-R with IEEE 1588v2. You can then modify the settings to meetyour specific requirements.

4. Click Generate to generate the Native PHY IP core RTL file.

Figure 60. Signals and Ports of Native PHY IP Core for the 10GBASE-R, 10GBASE-R withIEEE 1588v2, and 10GBASE-R with FECGenerating the IP core creates signals and ports based on your parameter settings.

reconfig_resetreconfig_clkreconfig_avmm

tx_digitalresetxgmii_tx_c[7:0] (2)xgmii_tx_d[63:0] (2)xgmii_tx_clk

1’b1 (1)

tx_control[17:0]tx_parallel_data[127:0]

tx_coreclkintx_clkout

tx_enh_data_validtx_fifo_flags

ReconfigurationRegisters

TX Enhanced PCS

rx_clkoutrx_coreclkin

rx_enh_blk_lockrx_enh_highber

rx_fifo_flags

RX Enhanced PCS

Nios HardCalibration IP

TX PMA

Serializer

RX PMA

DeserializerCDR

tx_cal_busyrx_cal_busy

tx_serial_data

rx_serial_data

rx_parallel_data[127:0]rx_control[19:0]

rx_cdr_refclk0rx_is_lockedtodata

rx_is_lockedtoref

ClockGeneration

Blocktx_serial_clk0 (from TX PLL) tx_analogreset

rx_analogreset

rx_digitalreset

xgmii_rx_clk

Arria 10 Transceiver Native PHY

Notes:1. For 10GBASE-R with 1588 configurations, this signal is user-controlled.2. For 10GBASE-R with 1588 configurations, this signal is connected from the output of TX FIFO in the FPGA fabric.

5. Instantiate and configure your PLL.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide130

Page 131: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

6. Create a transceiver reset controller. You can use your own reset controller or usethe Arria 10 Transceiver Native PHY Reset Controller IP.

7. Connect the Arria 10 Transceiver Native PHY to the PLL IP and the reset controller.

Figure 61. Connection Guidelines for a 10GBASE-R or 10GBASE-R with FEC PHY Design

Reset Controller

Arria 10 Transceiver Native PHY

To MAC/RSthrough XGMII

Interface64d + 8c

PLL IP

Medium

Figure 62. Connection Guidelines for a 10GBASE-R with IEEE 1588v2 PHY Design

Reset Controller

To MAC/RSthrough XGMII

Interface

64d + 8c

64d + 8cFIFO in the FPGA core

for TX

FIFO in the FPGA core

for RX

PLL IP

MediumArria 10 Transceiver Native PHY

8. Simulate your design to verify its functionality.

Related Information

• Arria 10 Enhanced PCS Architecture on page 461For more information about Enhanced PCS architecture

• Arria 10 PMA Architecture on page 447For more information about PMA architecture

• Using PLLs and Clock Networks on page 398For more information about implementing PLLs and clocks

• PLLs on page 349PLL architecture and implementation details

• Resetting Transceiver Channels on page 416Reset controller general information and implementation details

• Enhanced PCS Ports on page 76For detailed information about the available ports in the 10GBASE-R 1588protocol.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide131

Page 132: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.6.2.3. Native PHY IP Parameter Settings for 10GBASE-R, 10GBASE-R with IEEE1588v2, and 10GBASE-R with FEC

This section contains the recommended parameter values for this protocol. Refer toUsing the Arria 10 Transceiver Native PHY IP Core for the full range of parametervalues.

Table 95. General and Datapath ParametersThe first two sections of the Transceiver Native PHY parameter editor provide a list of general and datapathoptions to customize the transceiver.

Parameter Range

Message level for rule violations error, warning

Transceiver Configuration Rule 10GBASE-R10GBASE-R 158810GBASE-R with KR FEC

Transceiver mode TX / RX Duplex, TX Simplex, RX Simplex

Number of data channels 1 to 96

Data rate 10312.5 Mbps

Enable datapath and interface reconfiguration Off

Enable simplified data interface OnOff

Table 96. TX PMA Parameters

Parameter Range

TX channel bonding mode Not bonded

TX local clock division factor 1, 2, 4, 8

Number of TX PLL clock inputs per channel 1, 2, 3, 4

Initial TX PLL clock input selection 0

Table 97. RX PMA Parameters

Parameter Range

Number of CDR reference clocks 1 to 5

Selected CDR reference clock 0 to 4

Selected CDR reference clock frequency (35) 156.25 MHz, 322.265625 MHz, and 644.53125 MHz

PPM detector threshold 100, 300, 500, 1000

CTLE adaptation mode manual

DFE adaptation mode adaptation enabled, manual, disabled

Number of fixed DFE taps 3, 7, 11

(35) The CDR reference clock frequency depends on your design settings. Intel recommends thatyou verify the link datarates to ensure the CDR locking capability before production.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide132

Page 133: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 98. Enhanced PCS Parameters

Parameter Range

Enhanced PCS/PMA interface width 32, 40, 64Note: 10GBASE-R with KR-FEC allows 64 only.

FPGA fabric/Enhanced PCS interface width 66

Enable Enhanced PCS low latency mode OnOff

Enable RX/TX FIFO double-width mode Off

TX FIFO mode • Phase Compensation (10GBASE-R and 10GBASE-Rwith KR FEC)

• Register or Fast register (10GBASE-R with 1588)

TX FIFO partially full threshold 11

TX FIFO partially empty threshold 2

RX FIFO mode • 10GBASE-R (10GBASE-R and 10GBASE-R with KR FEC)• Register (10GBASE-R with 1588)

RX FIFO partially full threshold 23

RX FIFO partially empty threshold 2

Table 99. 64B/66B Encoder and Decoder Parameters

Parameter Range

Enable TX 64B/66B encoder On

Enable RX 64B/66B decoder On

Enable TX sync header error insertion OnOff

Table 100. Scrambler and Descrambler Parameters

Parameter Range

Enable TX scrambler (10GBASE-R / Interlaken) On

TX scrambler seed (10GBASE-R / Interlaken) 0x03ffffffffffffff

Enable RX descrambler (10GBASE-R / Interlaken) On

Table 101. Block Sync Parameters

Parameter Range

Enable RX block synchronizer On

Enable rx_enh_blk_lock port OnOff

Table 102. Gearbox Parameters

Parameter Range

Enable TX data polarity inversion OnOff

Enable RX data polarity inversion OnOff

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide133

Page 134: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 103. Dynamic Reconfiguration Parameters

Parameter Range

Enable dynamic reconfiguration OnOff

Share reconfiguration interface OnOff

Enable Altera Debug Master Endpoint OnOff

De-couple reconfig_waitrequest from calibration OnOff

Table 104. Configuration Files Parameters

Parameter Range

Configuration file prefix —

Generate SystemVerilog package file OnOff

Generate C header file OnOff

Generate MIF (Memory Initialization File) OnOff

Table 105. Generation Options Parameters

Parameter Range

Generate parameter documentation file OnOff

Related Information

Using the Arria 10 Transceiver Native PHY IP Core on page 45

2.6.2.4. Native PHY IP Ports for 10GBASE-R and 10GBASE-R with IEEE 1588v2Transceiver Configurations

Figure 63. High BERThis figure shows the rx_enh_highber status signal going high when there are errors on therx_parallel_data output.

1122334455667788h 1122324455667788h 112233405566F788h 1122334455667788h

00h1122334455667788h

00h0h 1h

tx_parallel_datatx_control

rx_parallel_datarx_control

rx_enh_highber

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide134

Page 135: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 64. Block Lock AssertionThis figure shows the assertion on rx_enh_blk_lock signal when the Receiver detects the block delineation.

0707070707070707h

FFh0h 1h

0100009C0100009Ch 0707070707070707h

11h FFh

rx_ready0h 1h

tx_parallel_datatx_control

rx_parallel_datarx_control

rx_enh_highber

rx_enh_block_lock

The following figures show Idle insertion and deletion.

Figure 65. IDLE Word InsertionThis figure shows the insertion of IDLE words in the receiver data stream.

Idle Inserted

Before Insertion

After InsertionFD000000000004AEh BBBBBB9CDDDDDD9Ch 0707070707070707h 00000000000000FBh

FD000000000004AEh BBBBBB9CDDDDDD9Ch 00000000000000FBh AAAAAAAAAAAAAAAAhrx_parallel_data[79:0]

rx_parallel_data[79:0]

Figure 66. IDLE Word DeletionThis figure shows the deletion of IDLE words from the receiver data stream.

00000000000004ADh 00000000000004AEh 0707070707FD0000h 000000FB07070707h

00000000000004ADh 00000000000004AEh 0707070707FD0000h AAAAAAAA000000FBh

Idle Deleted

Before Deletion

After Deletion

rx_parallel_data[79:0]

rx_parallel_data[79:0]

Figure 67. OS Word DeletionThis figure shows the deletion of Ordered set word in the receiver data stream.

OS Deleted

Before Deletion

After DeletionFD000000000004AEh 000000FBDDDDDD9Ch AAAAAAAA00000000h 00000000AAAAAAAAh

FD000000000004AEh DDDDDD9CDDDDDD9Ch 00000000000000FBh AAAAAAAAAAAAAAAAhrx_parallel_data[79:0]

rx_parallel_data[79:0]

2.6.3. 10GBASE-KR PHY IP Core

The 10GBASE-KR Ethernet PHY IP core supports the following features of Ethernetstandards:

• Auto negotiation for backplane Ethernet as defined in Clause 73 of the IEEE 802.32008 Standard. The 10GBASE-KR Ethernet PHY IP Function can auto negotiatebetween 1000BASE-X, 1000BASE-KR , and 1000BASE-KR with FEC.

• 10GBASE-KR Ethernet protocol with link training as defined in Clause 72 of theIEEE 802.3 2008 Standard. In addition to the link-partner TX tuning as defined inClause 72, this PHY also automatically configures the local device RX interface toachieve less than 10-12 bit error rate (BER) target.

• Gigabit Media Independent Interface (GMII) to connect PHY with media accesscontrol (MAC) as defined in Clause 35 of the IEEE 802.3 2008 Standard

• Forward Error Correction (FEC) as defined in Clause 74 of the IEEE 802.3 2008Standard

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide135

Page 136: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The Backplane Ethernet 10GBASE-KR PHY IP core includes the following new modulesto enable operation over a backplane:

• Link Training (LT)— The LT mechanism allows the 10GBASE-KR PHY toautomatically configure the link-partner TX PMDs for the lowest Bit Error Rate(BER). LT is defined in Clause 72 of IEEE Std 802.3ap-2007.

• Auto negotiation (AN)—The 10GBASE-KR PHY IP core can auto-negotiate between1000BASE-KX (1GbE) and 10GBASE-KR (10GbE) PHY types. The AN function ismandatory for Backplane Ethernet. It is defined in Clause 73 of the IEEE Std802.3ap-2007.

• Forward Error Correction (FEC)—FEC function is an optional feature defined inClause 74 of IEEE 802.3ap-2007. It provides an error detection and correctionmechanism.

Related Information

• IEEE Std 802.3ap-2008 Standard

• Standard for a Precision Clock Synchronization Protocol for NetworkedMeasurement and Control Systems

2.6.3.1. 10GBASE-KR PHY Release Information

Table 106. 10GBASE-KR PHY Release Information

Item Description

Version 16.0

Release Date May 2016

Ordering Codes IP-10GBASEKRPHY (IP)IPR-10GBASEKRPHY (Renewal)

Product ID 0106

Vendor ID 6AF7

2.6.3.2. 10GBASE-KR PHY Performance and Resource Utilization

This topic provides performance and resource utilization for the IP.

The following table shows the typical expected resource utilization for selectedconfigurations using the Quartus Prime software v15.1 for Arria 10 devices. Thenumbers of ALMs and logic registers are rounded up to the nearest 100.

Table 107. 10GBASE-KR PHY Performance and Resource Utilization

Variant ALMs ALUTs Registers M20K

10GBASE-KR PHY 2400 3750 3100 1

10GBASE-KR PHY with FEC 2400 3750 3100 1

2.6.3.3. 10GBASE-KR Functional Description

The following figure shows the supporting components inside the 10GBASE-KR PHY IPcore.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide136

Page 137: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 68. 10GBASE-KR PHY IP Core Block Diagram

Registers

GbEPCS

1588FIFO

Auto-NegotiationClause 73

Link TrainingClause 72

HSSI ReconfigurationRequests

1588FIFO

GbEPCS

Native PHY

Standard RX PCS

TX PMA

RX PMA

40/32

40/32

rx_pld_clk rx_pma_clk

Standard TX PCS

tx_pld_clk tx_pma_clk

Enhanced TX PCS

tx_pld_clk tx_pma_clk

Enhanced RX PCS

rx_pld_clk rx_pma_clk

Divide by 33/1/2

Avalon-MM

8 + 2

64 + 8

TX_GMII_DATA

XGMII_TX_CLK

TX_XGMII_DATA

TX_PMA_CLKOUT

RX_XGMII_DATA64 + 8

8 + 2

XGMII_RX_CLK

RX_GMII_DATA

32

64 + 8

Soft Logic Hard Logic

RegisterAccess

Nios II LT Interface64 + 8

Nios II SequencerInterface

10GBASE-KR PHY IP

Unused

Note: The 10GBASE-KR PHY IP core does not support backplane applications with IEEE 1588Precision Time Protocol.

The 10GBASE-KR PHY IP core includes the following components:

Standard and Enhanced PCS Datapaths

The Enhanced PCS and PMA inside the Native PHY are configured to be the 10GBASE-R PHY. Refer to the Standard PCS and Enhanced PCS architecture chapters for moredetails on how these blocks support 1G, 10G protocols and FEC.

Auto Negotiation, IEEE 802.3 Clause 73

The auto negotiation (AN) is needed to synchronize the start time of the link trainingon both sides of the link partners. This ensures that the link training can be doneeffectively within the 500 ms of the specified time frame as required.

Link Training (LT), IEEE 802.3 Clause 72

Arria 10 devices have soft link training IP that complies with the IEEE 802.3 Clause 72standard training procedure. This IP includes:

• training frame lock that is different from the regular 64b/66b frame_lock

• training frame generation

• the control channel codec

• Local Device (LD) coefficient update

• Link Partner (LP) coefficient generation

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide137

Page 138: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Reconfiguration Block

The Reconfiguration Block performs Avalon-MM writes to the PHY for both PCS andPMA reconfiguration. The Avalon-MM master accepts requests from the PMA or PCScontroller. It performs the Read-Modify-Write or Write commands on the Avalon-MMinterface. The PCS controller receives rate change requests from the Sequencer andtranslates them to a series of Read-Modify-Write or Write commands to the PMA andPCS.

Eight compile-time configuration modes are supported. The configuration modesinclude one set of four with reference clock at 322 MHz and one set of four withreference clock at 644 MHz. Each set of four consists of all combinations of FECsublayer on/off.

Figure 69. Reconfiguration Block Details

PCSController

TX EQ Controller

DFE Controller

CTLE Controller

PMA Controller

rcfg_data

rcfg_data

rcfg_data (1)

rcfg_data

Avalon-MMDecoder

Avalon-MM Bus

Avalon-MM Bus

Avalon-MM Bus

Avalon-MM reconfig_busy Signal

HSSIReconfigurationRequests

MGMT_CLK (2)

PCSReconfiguration

Interface

PMAReconfiguration

Interface

Notes:1. rcfg = Reconfiguration2. MGMT_CLK = Management Clock

Related Information

• Arria 10 Enhanced PCS Architecture on page 461

• Arria 10 Standard PCS Architecture on page 479

2.6.3.4. Parameterizing the 10GBASE-KR PHY

This section contains the recommended parameter values for this protocol. Refer toUsing the Arria 10 Transceiver Native PHY IP Core for the full range of parametervalues.

The Arria 10 1G/10GbE and 10GBASE-KR PHY IP core allows you to select either theBackplane-KR or 1Gb/10Gb Ethernet variant. When you select the Backplane-KRvariant, the Link Training (LT) and Auto Negotiation (AN) tabs appear. The 1Gb/10Gb Ethernet variant (1G/10GbE) does not implement the LT and AN functions.

Complete the following steps to parameterize the 10GBASE-KR PHY IP core in theparameter editor:

1. Instantiate the Arria 10 1G/10GbE and 10GBASE-KR PHY from the IP Catalog.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide138

Page 139: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Refer to Select and Instantiate the PHY IP Core on page 33.

2. Select Backplane-KR from the IP variant list located under Ethernet IntelFPGA IP Core Type.

3. Use the parameter values in the tables in 10GBASE-R Parameters on page 140, 10GBASE-KR Auto-Negotiation and Link Training Parameters on page 140, and 10GBASE-KR Optional Parameters on page 141 as a starting point. You can thenmodify the setting to meet your specific requirements.

4. Click Generate HDL to generate the 10GBASE-KR PHY IP core top-level HDLfile.

Note: You might observe timing violations. If the timing path is within the IP, you can ignorethese violations. This will be fixed in a future release of the Intel Quartus Primesoftware.

Related Information

• Using the Arria 10 Transceiver Native PHY IP Core on page 45

• 10GBASE-KR Auto-Negotiation and Link Training Parameters on page 140

2.6.3.4.1. General Options

The General Options allow you to specify options common to 10GBASE-KR mode.

Table 108. General Options Parameters

Parameter Name Options Description

Enable internal PCSreconfiguration logic

OnOff

This parameter is only an option when SYNTH_SEQ = 0. Whenset to 0, it does not include the reconfiguration module orexpose the start_pcs_reconfig or rc_busy ports. When setto 1, it provides a simple interface to initiate reconfigurationbetween 1G and 10G modes.

Enable IEEE 1588 PrecisionTime Protocol

OnOff

When you turn on this parameter, you enable the IEEE 1588Precision Time Protocol logic for both 1G and 10G modes.

Enable M20K block ECCprotection

OnOff

When you turn on this parameter, you enable error correctioncode (ECC) support on the embedded Nios CPU system. Thisparameter is only valid for the backplane variant.

Enable tx_pma_clkout port OnOff

When you turn on this parameter, the tx_pma_clkout port isenabled. Refer to the Clock and Reset Interfaces section formore information about this port.

Enable rx_pma_clkout port OnOff

When you turn on this parameter, the rx_pma_clkout port isenabled. Refer to the Clock and Reset Interfaces section formore information about this port.

Enable tx_divclk port OnOff

When you turn on this parameter, the tx_divclk port isenabled. Refer to the Clock and Reset Interfaces section formore information about this port.

Enable rx_divclk port OnOff

When you turn on this parameter, the rx_divclk port isenabled. Refer to the Clock and Reset Interfaces section formore information about this port.

Enable tx_clkout port OnOff

When you turn on this parameter, the tx_clkout port isenabled. Refer to the Clock and Reset Interfaces section formore information about this port.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide139

Page 140: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Name Options Description

Enable rx_clkout port OnOff

When you turn on this parameter, the rx_clkout port isenabled. Refer to the Clock and Reset Interfaces section formore information about this port.

Enable Hard PRBS supportand ADME support

OnOff

When you turn on this parameter, you enable the ADME andHard PRBS data generation and checking logic in the Native PHY.The transceiver toolkit (TTK) requires ADME to be enabled in theNative PHY IP core.

Reference clock frequency 644.53125 MHz322.265625 MHz

Specifies the input reference clock frequency. The default is322.265625 MHz.

Enable additional controland status ports

OnOff

When you turn this option on, the core includes therx_block_lock and rx_hi_ber output.

Include FEC sublayer OnOff

When you turn on this parameter, the core includes logic toimplement FEC and a soft 10GBASE-R PCS. This is applicableonly for the 10G mode.

Set FEC_ability bit onpower up and reset

OnOff

When you turn on this parameter, the core sets the Assert KRFEC Ability bit (0xB0[16]) FEC ability bit during power upand reset, causing the core to assert the FEC ability. This optionis required for FEC functionality.

Set FEC_Enable bit onpower up and reset

OnOff

When you turn on this parameter, the core sets the KR FECRequest bit (0xB0[18]) during power up and reset, causingthe core to request the FEC ability during Auto Negotiation. Thisoption is required for FEC functionality.

Related Information

Clock and Reset Interfaces on page 143

2.6.3.4.2. 10GBASE-R Parameters

The 10GBASE-R parameters specify basic features of the 10GBASE-R PCS. The FECoptions also allow you to specify the FEC ability.

Table 109. 10GBASE-R Parameters

Parameter Name Options Description

10GbE Reference clockfrequency

644.53125 MHz322.265625 MHz

Specifies the input reference clock frequency. The default is322.265625 MHz.

Enable additional controland status ports

OnOff

When you turn on this parameter, the core includes therx_block_lock and rx_hi_ber ports.

Table 110. FEC Options

Parameter Name Options Description

Include FEC sublayer OnOff

When you turn on this parameter, the core includes logic toimplement FEC and a soft 10GBASE-R PCS.

2.6.3.4.3. 10GBASE-KR Auto-Negotiation and Link Training Parameters

Table 111. Auto Negotiation and Link Training Settings

Name Range Description

Enable Auto-Negotiation On Enables or disables the Auto-Negotiation feature.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide140

Page 141: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Name Range Description

Off

Pause ability-C0 OnOff

Depends upon MAC. Local device pause capability C2:0 = D12:10 ofAN word.C0 is the same as PAUSE.

Pause ability-C1 OnOff

Depends upon MAC. Local device pause capability C2:0 = D12:10 ofAN word.C1 is the same as ASM_DIR.

Enable Link Training OnOff

Enables or disables the Link Training feature.

Maximum bit error count 15, 31, 63,127, 255,511, 1023

Specifies the number of bit errors for the error counter expectedduring each step of the link training. If the number of errors exceedsthis number for each step, the core returns an error. The number oferrors depends upon the amount of time for each step and thequality of the physical link media.The default value is 511.

Number of frames to sendbefore sending actual data

127, 255 This timer is started when the local receiver is trained and detectsthat the remote receiver is ready to receive data. The local physicalmedium dependent (PMD) layer delivers wait_timer additionaltraining frames to ensure that the link partner correctly detects thelocal receiver state.The default value is 127.

2.6.3.4.4. 10GBASE-KR Optional Parameters

Table 112. Optional ParametersIn the following table, the exact correspondence between numerical values and voltages is pendingcharacterization of the Native PHY.

Name Value Description

PHY Management clock(MGMT_CLK) frequency inMHz

100-162 Determines the value of the Link Fail Inhibit timer in IEEE 802.3clause 73.10.2.• 500 - 510 ms for BASE-R• 40 – 50 ms for GbE, XAUIThe default value is 125.

VMAXRULE VOD tap MAXRule

0-31 Specifies the maximum VOD. The default value is 30.

VMINRULE Device VMINRule

0-31 Specifies the minimum VOD. The default value is 6.

VODMINRULE VOD tapMIN Rule

0-31 Specifies the minimum VOD for the first tap. The default value is 14.

VPOSTRULE 0-38 Specifies the maximum value that the internal algorithm for pre-emphasis tests in determining the optimum post-tap setting. Thedefault value is 25.

VPRERULE 0-31 Specifies the maximum value that the internal algorithm for pre-emphasis will ever test in determining the optimum pre-tap setting.The default value is 16.

PREMAINVAL Preset VODtap Value

0-31 Specifies the Preset VOD value. This value is set by the Presetcommand of the link training protocol, defined in Clause72.6.10.2.3.1 of the Link Training protocol. This is the value fromwhich the algorithm starts. The default value is 30.

PREPOSTVAL 0-31 Specifies the preset Post-tap value. The default value is 0.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide141

Page 142: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Name Value Description

PREPREVAL 0-15 Specifies the preset Pre-tap value. The default value is 0.

INITMAINVAL Init VODtap Value

0-31 Specifies the initial VOD value. This value is set by the Initializecommand of the link training protocol, defined in Clause72.6.10.2.3.2 of IEEE Std 802.3ap–2007. The default value is 25.

INITPOSTVAL Init Posttap Value

0-38 Specifies the initial Post-tap value. The default value is 13.

INITPREVAL Init Pre tapValue

0-15 Specifies the initial Pre-tap value. The default value is 3.

2.6.3.4.5. Speed Detection Parameters

Selecting the speed detection option gives the PHY the ability to detect to link partnersthat support 1G/10GbE but have disabled Auto-Negotiation. During Auto-Negotiation,if AN cannot detect Differential Manchester Encoding (DME) pages from a link partner,the Sequencer reconfigures to 1GbE and 10GbE modes (Speed/Parallel detection) untilit detects a valid 1G or 10GbE pattern.

Table 113. Speed Detection

Parameter Name Options Description

Enable automatic speeddetection

OnOff

When you turn this option On, the core includes the Sequencerblock that sends reconfiguration requests to detect 1G or 10GbEwhen the Auto Negotiation block is not able to detect AN data.

Avalon-MM clock frequency 100-162 MHz Specifies the clock frequency for phy_mgmt_clk.

Link fail inhibit time for10Gb Ethernet

504 ms Specifies the time before link_status is set to FAIL or OK. Alink fails if the link_fail_inhibit_time has expired beforelink_status is set to OK. The legal range is 500-510 ms. Formore information, refer to "Clause 73 Auto Negotiation forBackplane Ethernet" in IEEE Std 802.3ap-2007.

Link fail inhibit time for1Gb Ethernet

40-50 ms Specifies the time before link_status is set to FAIL or OK . Alink fails if the link_fail_inhibit_time has expired beforelink_status is set to OK. The legal range is 40-50 ms.

Enable PCS-Mode port OnOff

Enables or disables the PCS-Mode port.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide142

Page 143: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.6.3.5. 10GBASE-KR PHY Interfaces

Figure 70. 10GBASE-KR Top-Level Signals

xgmii_tx_dc[71:0]xgmii_tx_clkxgmii_rx_dc[71:0]xgmii_rx_clk

mgmt_clkmgmt_clk_resetmgmt_address[10:0]mgmt_writedata[31:0]mgmt_readdata[31:0]mgmt_writemgmt_readmgmt_waitrequest

tx_serial_clk_10grx_cdr_ref_clk_10gtx_pma_clkoutrx_pma_clkouttx_clkoutrx_clkouttx_pma_div_clkoutrx_pma_div_clkouttx_analogresettx_digitalresetrx_analogresetrx_digitalresetusr_seq_reset

10GBASE-KR Top-Level Portsrx_serial_datatx_serial_data

rx_block_lockrx_hi_ber

rx_is_lockedtodatatx_cal_busyrx_cal_busy

rx_syncstatuslcl_rf

rx_clksliprx_latency_adj_10g[11:0]tx_latency_adj_10g[11:0]

rx_data_ready

TransceiverSerial DataXGMII

Interfaces

Avalon-MM PHYManagement

Interface

Clocks andReset

Interface

Status

The block diagram shown in the GUI labels the external pins with the interface typeand places the interface name inside the box. The interface type and name are used inthe _hw.tcl file. If you turn on Show signals, the block diagram displays all top-level signal names.

Related Information

Component Interface Tcl ReferenceFor more information about _hw.tcl files

2.6.3.5.1. Clock and Reset Interfaces

Table 114. Clock and Reset Signals

Signal Name Direction Description

tx_serial_clk_10g Input High speed clock from the 10G PLL to drive 10G PHY TX PMA. Thefrequency of this clock is 5.15625 GHz.

tx_serial_clk_1g Input High speed clock from 1G PLL to drive the 1G PHY TX PMA. This clockis not required if GbE is not used. The frequency of this clock is 625MHz.

rx_cdr_ref_clk_10g Input 10G PHY RX PLL reference clock. This clock frequency can be644.53125 MHz or 322.2656 MHz.

rx_cdr_ref_clk_1g Input 1G PHY RX PLL reference clock. The frequency is 125 MHz. This clockis only required if 1G is enabled.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide143

Page 144: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Signal Name Direction Description

tx_pma_clkout Output Clock used to drive the 10G TX PCS and 1G TX PCS parallel data. Forexample, when the hard PCS is reconfigured to the 10G modewithout FEC enabled, the frequency is 257.81 MHz. The frequency is161.13 MHz for 10G with FEC enabled.

rx_pma_clkout Output Clock used to drive the 10G RX PCS and 1G RX PCS parallel data. Forexample, when the hard PCS is reconfigured to the 10G modewithout FEC enabled, the frequency is 257.81 MHz. The frequency is161.13 MHz for 10G with FEC enabled.

tx_clkout Output XGMII/GMII TX clock for the TX parallel data source interface. Thisclock frequency is 257.81 MHz in 10G mode, and 161.13 MHz withFEC enabled.

rx_clkout Output XGMII RX clock for the RX parallel data source interface. This clockfrequency is 257.81 in 10G mode, and 161.13 MHz with FECenabled.

tx_pma_div_clkout Output The divided 33 clock from the TX serializer. You can use this clock forthe for xgmii_tx_clk or xgmii_rx_clk. The frequency is 156.25MHz for 10G. The frequencies are the same whether or not youenable FEC.

rx_pma_div_clkout Output The divided 33 clock from CDR recovered clock. The frequency is156.25 MHz for 10G. The frequencies are the same whether or notyou enable FEC. This clock is not used for clocking the 10G RXdatapath.

tx_analogreset Input Resets the analog TX portion of the transceiver PHY. Synchronous tomgmt_clk.

tx_digitalreset Input Resets the digital TX portion of the transceiver PHY. Synchronous tomgmt_clk.

rx_analogreset Input Resets the analog RX portion of the transceiver PHY. Synchronous tomgmt_clk.

rx_digitalreset Input Resets the digital RX portion of the transceiver PHY. Synchronous tomgmt_clk.

usr_seq_reset Input Resets the sequencer. Initiates a PCS reconfiguration, and mayrestart AN, LT or both if these modes are enabled. Synchronous tomgmt_clk.

Related Information

• Input Reference Clock Sources on page 372

• PLLs on page 349

2.6.3.5.2. Data Interfaces

Table 115. XGMII SignalsThe MAC drives the TX XGMII signals to the 10GbE PHY. The 10GbE PHY drives the RX XGMII signals to theMAC.

Signal Name Direction Clock Domain Description

10GbE XGMII Data Interface

xgmii_tx_dc[71:0]

Input Synchronous toxgmii_tx_clk

XGMII data and control for 8 lanes. Each lane consists of 8bits of data and 1 bit of control.

xgmii_tx_clk Input Clock signal Clock for single data rate (SDR) XGMII TX interface to theMAC. It should connect to xgmii_rx_clk. This clock can beconnected to the tx_div_clkout; however, Intel

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide144

Page 145: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Signal Name Direction Clock Domain Description

recommends that you connect it to a PLL for use with theTriple Speed Ethernet IP function. The frequency is 125 MHzfor 1G and 156.25 MHz for 10G. This clock is driven from theMAC.The frequencies are the same whether or not you enable FEC.

xgmii_rx_dc[71:0]

Output Synchronous toxgmii_rx_clk

RX XGMII data and control for 8 lanes. Each lane consists of 8bits of data and 1 bit of control.

xgmii_rx_clk Input Clock signal Clock for SDR XGMII RX interface to the MAC. This clock canbe connected to the tx_div_clkout ; however, Intelrecommends that you connect it to a PLL for use with theTriple Speed Ethernet IP function. The frequency is 125 MHzfor 1G and 156.25 MHz for 10G. This clock is driven from theMAC.The frequencies are the same whether or not you enable FEC.

2.6.3.5.3. XGMII Mapping to Standard SDR XGMII Data

Table 116. TX XGMII Mapping to Standard SDR XGMII InterfaceThe 72-bit TX XGMII data bus format is different than the standard SDR XGMII interface. This table shows themapping of this non-standard format to the standard SDR XGMII interface.

Signal Name SDR XGMII Signal Name Description

xgmii_tx_dc[7:0] xgmii_sdr_data[7:0] Lane 0 data

xgmii_tx_dc[8] xgmii_sdr_ctrl[0] Lane 0 control

xgmii_tx_dc[16:9] xgmii_sdr_data[15:8] Lane 1 data

xgmii_tx_dc[17] xgmii_sdr_ctrl[1] Lane 1 control

xgmii_tx_dc[25:18] xgmii_sdr_data[23:16] Lane 2 data

xgmii_tx_dc[26] xgmii_sdr_ctrl[2] Lane 2 control

xgmii_tx_dc[34:27] xgmii_sdr_data[31:24] Lane 3 data

xgmii_tx_dc[35] xgmii_sdr_ctrl[3] Lane 3 control

xgmii_tx_dc[43:36] xgmii_sdr_data[39:32] Lane 4 data

xgmii_tx_dc[44] xgmii_sdr_ctrl[4] Lane 4 control

xgmii_tx_dc[52:45] xgmii_sdr_data[47:40] Lane 5 data

xgmii_tx_dc[53] xgmii_sdr_ctrl[5] Lane 5 control

xgmii_tx_dc[61:54] xgmii_sdr_data[55:48] Lane 6 data

xgmii_tx_dc[62] xgmii_sdr_ctrl[6] Lane 6 control

xgmii_tx_dc[70:63] xgmii_sdr_data[63:56] Lane 7 data

xgmii_tx_dc[71] xgmii_sdr_ctrl[7] Lane 7 control

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide145

Page 146: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 117. RX XGMII Mapping to Standard SDR XGMII InterfaceThe 72-bit RX XGMII data bus format is different from the standard SDR XGMII interface. This table shows themapping of this non-standard format to the standard SDR XGMII interface.

Signal Name XGMII Signal Name Description

xgmii_rx_dc[7:0] xgmii_sdr_data[7:0] Lane 0 data

xgmii_rx_dc[8] xgmii_sdr_ctrl[0] Lane 0 control

xgmii_rx_dc[16:9] xgmii_sdr_data[15:8] Lane 1 data

xgmii_rx_dc[17] xgmii_sdr_ctrl[1] Lane 1 control

xgmii_rx_dc[25:18] xgmii_sdr_data[23:16] Lane 2 data

xgmii_rx_dc[26] xgmii_sdr_ctrl[2] Lane 2 control

xgmii_rx_dc[34:27] xgmii_sdr_data[31:24] Lane 3 data

xgmii_rx_dc[35] xgmii_sdr_ctrl[3] Lane 3 control

xgmii_rx_dc[43:36] xgmii_sdr_data[39:32] Lane 4 data

xgmii_rx_dc[44] xgmii_sdr_ctrl[4] Lane 4 control

xgmii_rx_dc[52:45] xgmii_sdr_data[47:40] Lane 5 data

xgmii_rx_dc[53] xgmii_sdr_ctrl[5] Lane 5 control

xgmii_rx_dc[61:54] xgmii_sdr_data[55:48] Lane 6 data

xgmii_rx_dc[62] xgmii_sdr_ctrl[6] Lane 6 control

xgmii_rx_dc[70:63] xgmii_sdr_data[63:56] Lane 7 data

xgmii_rx_dc[71] xgmii_sdr_ctrl[7] Lane 7 control

2.6.3.5.4. Serial Data Interface

Table 118. Serial Data Signals

Signal Name Direction Description

rx_serial_data Input RX serial input data

tx_serial_data Output TX serial output data

2.6.3.5.5. Control and Status Interfaces

Table 119. Control and Status Signals

Signal Name Direction Clock Domain Description

led_link Output Synchronous totx_clkout

When asserted, indicates successful link synchronization.

led_disp_err Output Synchronous torx_clkout

Disparity error signal indicating a 10-bit running disparityerror. Asserted for one rx_clkout_1g cycle when adisparity error is detected. A running disparity errorindicates that more than the previous and perhaps thecurrent received group had an error.

led_an Output Synchronous torx_clkout

Clause 37 Auto-negotiation status. The PCS functionasserts this signal when auto-negotiation completes.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide146

Page 147: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Signal Name Direction Clock Domain Description

led_panel_link Output Synchronous tomgmt_clk

When asserted, this signal indicates the followingbehavior:

Mode Behavior

1000 Base-X without Auto-negotiation

When asserted, indicatessuccessful linksynchronization.

SGMII mode without Auto-negotiation

When asserted, indicatessuccessful linksynchronization.

1000 Base-X with Auto-negotiation

Clause 37 Auto-negotiationstatus. The PCS functionasserts this signal whenauto-negotiation completes.

SGMII mode with MACmode Auto-negotiation

Clause 37 Auto-negotiationstatus. The PCS functionasserts this signal whenauto-negotiation completes.

rx_block_lock Output Synchronous torx_clkout

Asserted to indicate that the block synchronizer hasestablished synchronization.

rx_hi_ber Output Synchronous torx_clkout

Asserted by the BER monitor block to indicate a SyncHeader high bit error rate greater than 10-4.

rx_is_lockedtodata

Output Asynchronous signal When asserted, indicates the RX channel is locked to inputdata.

tx_cal_busy Output Synchronous tomgmt_clk

When asserted, indicates that the TX channel is beingcalibrated.

rx_cal_busy Output Synchronous tomgmt_clk

When asserted, indicates that the RX channel is beingcalibrated.

tx_pcfifo_error_1g

Output N/A When asserted, indicates that the standard PCS TX phasecompensation FIFO is either full or empty.

rx_pcfifo_error_1g

Output N/A When asserted, indicates that the Standard PCS RX phasecompensation FIFO is either full or empty.

lcl_rf Input Synchronous toxgmii_tx_clk

When asserted, indicates a Remote Fault (RF).The MACsends this fault signal to its link partner. Bit D13 of theAuto Negotiation Advanced Remote Fault register(0xC2) records this error.

rx_clkslip Input Asynchronous signal When asserted, the deserializer either skips one serial bitor pauses the serial clock for one cycle to achieve wordalignment. As a result, the period of the parallel clockcould be extended by 1 unit interval (UI) during the clockslip operation.This is an optional control input signal.

rx_data_ready Output Synchronous toxgmii_rx_clk

When asserted, indicates that the MAC can begin sendingdata to the PHY.

rx_latency_adj_10g[15:0]

Output Synchronous toxgmii_rx_clk

When you enable 1588, this signal outputs the real timelatency in XGMII clock cycles (156.25 MHz) for the RXPCS and PMA datapath for 10G mode. Bits 0 to 9represent the fractional number of clock cycles. Bits 10 to15 represent the number of clock cycles.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide147

Page 148: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Signal Name Direction Clock Domain Description

tx_latency_adj_10g[15:0]

Output Synchronous toxgmii_tx_clk

When you enable 1588, this signal outputs the real timelatency in XGMII clock cycles (156.25 MHz) for the TXPCS and PMA datapath for 10G mode. Bits 0 to 9represent the fractional number of clock cycles. Bits 10 to15 represent the number of clock cycles.

rx_latency_adj_1g[21:0]

Output Synchronous togmii_rx_clk

When you enable 1588, this signal outputs the real timelatency in GMII clock cycles (125 MHz) for the RX PCS andPMA datapath for 1G mode. Bits 0 to 9 represent thefractional number of clock cycles. Bits 10 to 21 representthe number of clock cycles.

tx_latency_adj_1g[21:0]

Output Synchronous togmii_tx_clk

When you enable 1588, this signal outputs the real timelatency in GMII clock cycles (125 MHz) for the TX PCS andPMA datapath for 1G mode. Bits 0 to 9 represent thefractional number of clock cycles. Bits 10 to 21 representthe number of clock cycles.

2.6.3.5.6. Dynamic Reconfiguration Interface

You can use the dynamic reconfiguration interface signals to dynamically changebetween 1G and 10G data rates.

Table 120. Dynamic Reconfiguration Interface Signals

Signal Name Direction Clock Domain Description

rc_busy Output Synchronous tomgmt_clk

When asserted, indicates that reconfiguration is inprogress. Synchronous to the mgmt_clk. This signal isonly exposed under the following condition:• Turn on Enable internal PCS reconfiguration logic

start_pcs_reconfig

Input Synchronous tomgmt_clk

When asserted, initiates reconfiguration of the PCS.Sampled with the mgmt_clk. This signal is only exposedunder the following condition:• Turn on Enable internal PCS reconfiguration logic

mode_1g_10gbar Input Synchronous tomgmt_clk

This signal selects either the 1G or 10G tx-parallel-datagoing to the PCS. It is only used for the 1G/10Gapplication (variant) under the following circumstances:• the Sequencer (auto-rate detect) is not enabled• 1G mode is enabled

2.6.3.6. Avalon-MM Register Interface

The Avalon-MM slave interface signals provide access to all registers.

Table 121. Avalon-MM Interface Signals

Signal Name Direction Clock Domain Description

mgmt_clk Input Clock The clock signal that controls the Avalon-MM PHY managementinterface. If you plan to use the same clock for the PHYmanagement interface and transceiver reconfiguration, you mustrestrict the frequency to 100-125 MHz to meet the specificationfor the transceiver reconfiguration clock.

mgmt_clk_reset

Input Asynchronous reset Resets the PHY management interface. This signal is active highand level sensitive.

mgmt_addr[10:0]

Input Synchronous tomgmt_clk

11-bit Avalon-MM address.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide148

Page 149: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Signal Name Direction Clock Domain Description

mgmt_writedata[31:0]

Input Synchronous tomgmt_clk

Input data.

mgmt_readdata[31:0]

Output Synchronous tomgmt_clk

Output data.

mgmt_write Input Synchronous tomgmt_clk

Write signal. Active high.

mgmt_read Input Synchronous tomgmt_clk

Read signal. Active high.

mgmt_waitrequest

Output Synchronous tomgmt_clk

When asserted, indicates that the Avalon-MM slave interface isunable to respond to a read or write request. When asserted,control signals to the Avalon-MM slave interface must remainconstant.

Related Information

Avalon Interface Specifications

2.6.3.6.1. 10GBASE-KR PHY Register Definitions

The Avalon-MM slave interface signals provide access to the control and statusregisters.

The following table specifies the control and status registers that you can access overthe Avalon-MM PHY management interface. A single address space provides access toall registers.

Note: Unless otherwise indicated, the default value of all registers is 0.

Note: Writing to reserved or undefined register addresses may have undefined side effects.

Table 122. 10GBASE-KR Register Definitions

WordAddr

Bit R/W Name Description

0x4B0 0 RW Reset SEQ When set to 1, resets the 10GBASE-KR sequencer (auto ratedetect logic), initiates a PCS reconfiguration, and may restartAuto-Negotiation, Link Training or both if AN and LT areenabled (10GBASE-KR mode). SEQ Force Mode[2:0] forcesthese modes. This reset self clears.

1 RW Disable AN Timer Auto-Negotiation disable timer. If disabled ( Disable ANTimer = 1) , AN may get stuck and require software supportto remove the ABILITY_DETECT capability if the link partnerdoes not include this feature. In addition, software may haveto take the link out of loopback mode if the link is stuck in theACKNOWLEDGE_DETECT state. To enable this timer setDisable AN Timer = 0.

2 RW Disable LF Timer When set to 1, disables the Link Fail timer. When set to 0, theLink Fault timer is enabled.

3 RW fail_lt_if_ber When set to 1, the last LT measurement is a non-zeronumber. Treat this as a failed run. 0 = normal.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide149

Page 150: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

WordAddr

Bit R/W Name Description

7:4 RW SEQ Force Mode[3:0] Forces the sequencer to a specific protocol. Must write theReset SEQ bit to 1 for the Force to take effect. The followingencodings are defined:• 0000: No force• 0001: GigE• 0010: XAUI• 0100: 10GBASE-R• 0101: 10GBASE-KR• 1100: 10GBASE-KR FEC

8 RW Enable Arria 10Calibration

When set to 1, it enables the Arria 10 HSSI reconfigurationcalibration as part of the PCS dynamic reconfiguration. 0 skipsthe calibration when the PCS is reconfigured.

11:9 RW Reserved —

12 RW LT failure response When set to 1, LT failure causes the PHY to go into datamode. When set to 0, LT failure restarts auto-negotiation (ifenabled). If auto-negotiation is not enabled, the PHY restartsLT.

16 RW KR FEC enable 171.0 When set to 1, FEC is enabled. When set to 0, FEC isdisabled. Resets to the CAPABLE_FEC parameter value.

17 RW KR FEC enable errind 171.1

When set to 1, KR PHY FEC decoding errors are signaled tothe PCS. When set to 0, FEC errors are not signaled to thePCS. See Clause 74.8.3 of IEEE 802.3ap-2007 for details.

18 RW KR FEC request When set to 1, enables the FEC request. When this bitchanges, you must assert the Reset SEQ bit (0x4B0[0]) torenegotiate with the new value. When set to 0, disables theFEC request.

0x4B1 0 R SEQ Link Ready When asserted, the sequencer is indicating that the link isready.

1 R SEQ AN timeout When asserted, the sequencer has had an Auto Negotiationtimeout. This bit is latched and is reset when the sequencerrestarts Auto Negotiation.

2 R SEQ LT timeout When set, indicates that the Sequencer has had a timeout.

13:8 R SEQ ReconfigMode[5:0]

Specifies the Sequencer mode for PCS reconfiguration. Thefollowing modes are defined:• Bit 8, mode[0]: AN mode• Bit 9, mode[1]: LT Mode• Bit 10, mode[2]: 10G data mode• Bit 11, mode[3]: GigE data mode• Bit 12, mode[4]: Reserved for XAUI• Bit 13, mode[5]: 10G FEC mode

16 R KR FEC ability 170.0 When set to 1, indicates that the 10GBASE-KR PHY supportsFEC. Set as parameter SYNTH_FEC. For more information,refer to Clause 45.2.1.84 of IEEE 802.3ap-2007.

17 R KR FEC err indability 170.0

When set to 1, indicates that the 10GBASE-KR PHY is capableof reporting FEC decoding errors to the PCS. For moreinformation, refer to Clause 74.8.3 of IEEE 802.3ap-2007.

0x4B2 0:10 — Reserved —

11 RW KR FEC TX ErrorInsert

Writing a 1 inserts one error pulse into the TX FEC dependingon the Transcoder and Burst error settings. This bit selfclears.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide150

Page 151: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

WordAddr

Bit R/W Name Description

31:12 — Reserved —

0x4B5 to0x4BF

Reserved for 40G KR Intentionally left empty for address compatibility with 40GMAC + PHY KR solutions.

0x4C0 0 RW AN enable When set to 1, enables Auto Negotiation function. The defaultvalue is 1. For additional information, refer to 7.0.12 inClause 73.8 Management Register Requirements, of IEEE802.3ap-2007.

1 RW AN base pages ctrl When set to 1, the user base pages are enabled. You cansend any arbitrary data via the user base page low/high bits.When set to 0, the user base pages are disabled and the statemachine generates the base pages to send.

2 RW AN next pages ctrl When set to 1, the user next pages are enabled. You can sendany arbitrary data via the user next page low/high bits. Whenset to 0, the user next pages are disabled. The state machinegenerates the null message to send as next pages.

3 RW Local device remotefault

When set to 1, the local device signals Remote Faults in theAuto Negotiation pages. When set to 0, a fault has notoccurred.

4 RW Force TX nonce value When set to 1, forces the TX nonce value to support someUNH testing modes. When set to 0, this is normal operation.

5 RW Override ANParameters Enable

When set to 1, overrides the AN_TECH, AN_FEC, andAN_PAUSE parameters and uses the bits in 0x4C3 instead.You must reset the Sequencer to reconfigure and restart intoAuto Negotiation mode. When set to 0, this is normaloperation and is used with 0x4B0 bit 0 and 0x4C3bits[30:16].

0x4C1 0 RW Reset AN When set to 1, resets all the 10GBASE-KR Auto Negotiationstate machines. This bit is self-clearing.

4 RW Restart AN TX SM When set to 1, restarts the 10GBASE-KR TX state machine.This bit self clears. This bit is active only when the TX statemachine is in the Auto Negotiation state. For moreinformation, refer to 7.0.9 in Clause 73.8 ManagementRegister Requirements of IEEE 802.3ap-2007.

8 RW AN Next Page When asserted, new next page info is ready to send. The datais in the XNP TX registers. When 0, the TX interface sendsnull pages. This bit self clears. Next Page (NP) is encoded inbit D15 of Link Codeword. For more information, refer toClause 73.6.9 and 7.16.15 of Clause 45.2.7.6 of IEEE802.3ap-2007.

0x4C2 1 RO AN page received When set to 1, a page has been received. When 0, a page hasnot been received. The current value clears when the registeris read. For more information, refer to 7.1.6 in Clause 73.8 ofIEEE 802.3ap-2007.

2 RO AN Complete When asserted, Auto-Negotiation has completed. When 0,Auto Negotiation is in progress. For more information, refer to7.1.5 in Clause 73.8 of IEEE 802.3ap-2007.

3 RO AN ADV Remote Fault When set to 1, fault information has been sent to the linkpartner. When 0, a fault has not occurred. The current valueclears when the register is read. Remote Fault (RF) is encodedin bit D13 of the base Link Codeword. For more information,refer to Clause 73.6.7 of and 7.16.13 of IEEE 802.3ap-2007.

4 RO AN RX SM Idle When set to 1, the Auto-Negotiation state machine is in theidle state. Incoming data is not Clause 73 compatible. When0, the Auto-Negotiation is in progress.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide151

Page 152: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

WordAddr

Bit R/W Name Description

5 RO AN Ability When set to 1, the transceiver PHY is able to perform AutoNegotiation. When set to 0, the transceiver PHY i s not able toperform Auto Negotiation. If your variant includes AutoNegotiation, this bit is tied to 1. For more information, referto 7.1.3 and 7.48.0 of Clause 45 of IEEE 802.3ap-2007.

6 RO AN Status When set to 1, link is up. When 0, the link is down. Thecurrent value clears when the register is read. For moreinformation, refer to 7.1.2 of Clause 45 of IEEE802.3ap-2007.

7 RO LP AN Ability When set to 1, the link partner is able to perform AutoNegotiation. When 0, the link partner is not able to performAuto-Negotiation. For more information, refer to 7.1.0 ofClause 45 of IEEE 802.3ap-2007.

0x4C2 8 RO FEC negotiated –enable FEC from SEQ

When set to 1, PHY is negotiated to perform FEC. When set to0, PHY is not negotiated to perform FEC.

9 RO Seq AN Failure When set to 1, a sequencer Auto Negotiation failure has beendetected. When set to 0, an Auto Negotiation failure has notbeen detected.

17:12 RO KR AN LinkReady[5:0]

Provides a one-hot encoding of an_receive_idle = trueand link status for the supported link as described in Clause73.10.1. The following encodings are defined:• 6'b000000: 1000BASE-KX• 6'b000001: 10GBASE-KX4• 6'b000100: 10GBASE-KR• 6'b001000: 40GBASE-KR4• 6'b010000: 40GBASE-CR4• 6'b100000: 100GBASE-CR10

0x4C3 15:0 RW User base page low The Auto Negotiation TX state machine uses these bits if theAuto Negotiation base pages ctrl bit is set. The following bitsare defined:• [15]: Next page bit• [14]: ACK which is controlled by the SM• [13]: Remote Fault bit• [12:10]: Pause bits• [9:5]: Echoed nonce which are set by the state machine• [4:0]: SelectorBit 49, the PRBS bit, is generated by the Auto Negotiation TXstate machine.

21:16 RW OverrideAN_TECH[5:0]

AN_TECH value with which to override the current value. Thefollowing bits are defined:• Bit-16 = AN_TECH[0]= 1000BASE-KX• Bit-17 = AN_TECH[1] = XAUI• Bit-18 = AN_TECH[2] = 10GBASE-KR• Bit-19 = AN_TECH[3] = 40G• Bit-20 = AN_TECH[4] = CR-4• Bit-21 = AN_TECH[5] = 100GYou must set 0x4C0 bit-5 for this to take effect .

25:24 RW Override AN_FEC[1:0] AN_FEC value with which to override the current value. Thefollowing bits are defined:• Bit-24 = AN_ FEC [0] = Capability• Bit-25 = AN_ FEC [1] = RequestYou must set 0x4C0 bit-5 for this to take effect.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide152

Page 153: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

WordAddr

Bit R/W Name Description

30:28 RW OverrideAN_PAUSE[2:0]

AN_PAUSE value with which to override the current value. Thefollowing bits are defined:• Bit-28 = AN_PAUSE [0] = Pause Ability• Bit-29 = AN_PAUSE [1] = Asymmetric Direction• Bit-30 = AN_PAUSE [2] = ReservedYou must set 0x4C0 bit-5 for this to take effect.

0x4C4 31:0 RW User base page high The Auto Negotiation TX state machine uses these bits if theAuto Negotiation base pages ctrl bit is set. The following bitsare defined:• [29:5]: Correspond to page bits 45:21 which are the

technology ability.• [4:0]: Correspond to bits 20:16 which are TX nonce bits.Bit 49, the PRBS bit, is generated by the Auto Negotiation TXstate machine.

0x4C5 15:0 RW User Next page low The Auto Negotiation TX state machine uses these bits if theAN Next Page control bit is set. The following bits aredefined:• [15]: next page bit• [14]: ACK controlled by the state machine• [13]: Message Page (MP) bit• [12]: ACK2 bit• [11]: Toggle bitFor more information, refer to Clause 73.7.7.1 Next Pageencodings of IEEE 802.3ap-2007. Bit 49, the PRBS bit, isgenerated by the Auto-Negotiation TX state machine.

0x4C6 31:0 RW User Next page high The Auto Negotiation TX state machine uses these bits if theAuto Negotiation next pages ctrl bit is set. Bits [31:0]correspond to page bits [47:16]. Bit 49, the PRBS bit, isgenerated by the Auto Negotiation TX state machine.

0x4C7 15:0 RO LP base page low The AN RX state machine receives these bits from the linkpartner. The following bits are defined:• [15] Next page bit• [14] ACK which is controlled by the state machine• [13] RF bit• [12:10] Pause bits• [9:5] Echoed Nonce which are set by the state machine• [4:0] Selector

0x4C8 31:0 RO LP base page high The AN RX state machine receives these bits from the linkpartner. The following bits are defined:• [31:30]: Reserved• [29:5]: Correspond to page bits [45:21] which are the

technology ability• [4:0]: Correspond to bits [20:16] which are TX Nonce bits

0x4C9 15:0 RO LP Next page low The AN RX state machine receives these bits from the linkpartner. The following bits are defined:• [15]: Next page bit• [14]: ACK which is controlled by the state machine• [13]: MP bit• [12] ACK2 bit• [11] Toggle bitFor more information, refer to Clause 73.7.7.1 Next Pageencodings of IEEE 802.3ap-2007.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide153

Page 154: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

WordAddr

Bit R/W Name Description

0x4CA 31:0 RO LP Next page high The AN RX state machine receives these bits from the linkpartner. Bits [31:0] correspond to page bits [47:16]

0x4CB 24:0 RO AN LP ADVTech_A[24:0]

Received technology ability field bits of Clause 73 AutoNegotiation. The 10GBASE-KR PHY supports A0 and A2. Thefollowing protocols are defined:• A0 1000BASE-KX• A1 10GBASE-KX4• A2 10GBASE-KR• A3 40GBASE-KR4• A4 40GBASE-CR4• A5 100GBASE-CR10• A24:6 are reservedFor more information, refer to Clause 73.6.4 and AN LP basepage ability registers (7.19-7.21) of Clause 45 of IEEE802.3ap-2007.

26:25 RO AN LP ADV FEC_F[1:0] Received FEC ability bits FEC (F0:F1) is encoded in bitsD46:D47 of the base Link Codeword. F0 is FEC ability. F1 isFEC requested. See Clause 73.6.5 of IEEE 802.3ap-2007 fordetails.

27 RO AN LP ADV RemoteFault

Received Remote Fault (RF) ability bits. RF is encoded in bitD13 of the base link codeword in Clause 73 AN. For moreinformation, refer to Clause 73.6.7 of IEEE 802.3ap-2007.

30:28 RO AN LP ADV PauseAbility_C[2:0]

Received pause ability bits. Pause (C0:C1) is encoded in bitsD11:D10 of the base link codeword in Clause 73 AN asfollows:• C0 is the same as PAUSE as defined in Annex 28B• C1 is the same as ASM_DIR as defined in Annex 28B• C2 is reserved

0x4D0 0 RW Link Training enable When 1, enables the 10GBASE-KR start-up protocol. When 0,disables the 10GBASE-KR start-up protocol. The default valueis 1. For more information, refer to Clause 72.6.10.3.1 and10GBASE-KR PMD control register bit (1.150.1) of IEEE802.3ap-2007.

1 RW dis_max_wait_tmr When set to 1, disables the LT max_wait_timer. Used forcharacterization mode when setting much longer BER timervalues. The default value is 0.

2 RW Reserved Reserved

3 RW Reserved Reserved

7:4 RW main_step_cnt [3:0] Specifies the number of equalization steps for each main tapupdate. There are about 20 settings for the internal algorithmto test. The valid range is 1-15. The default value is 4'b0001.

11:8 RW prepost_step_cnt[3:0]

Specifies the number of equalization steps for each pre- andpost-tap update. From 16-31 steps are possible. The defaultvalue is 4'b0001.

0x4D0 14:12 RW equal_cnt [2:0] Adds hysteresis to the error count to avoid local minimums.The following values are defined:• 000 = 0• 001 = 2• 010 = 4• 011 = 8• 100 = 16

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide154

Page 155: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

WordAddr

Bit R/W Name Description

• 101 = 32• 110 = 64• 111 = 128The default value is 101.

15 RW disable InitializePMA onmax_wait_timeout

When set to 1, PMA values (VOD, Pre-tap, Post-tap) are notinitialized upon entry into the Training_Failure state. Thishappens when max_wait_timer_done, which setstraining_failure = true (reg 0xD2 bit 3). Used for UNHtesting. When set to 0, PMA values are initialized upon entryinto Training_Failure state. Refer to Figure 72-5 of IEEE802.3ap-2007 for more details. The default value is 0.

16 RW Ovride LP Coefenable

When set to 1, overrides the link partner's equalizationcoefficients; software changes the update commands sent tothe link partner TX equalizer coefficients. When set to 0, usesthe Link Training logic to determine the link partnercoefficients. Used with 0x4D1 bit-4 and 0x4D4 bits[7:0]. Thedefault value is 0.

17 RW Ovride Local RX Coefenable

When set to 1, overrides the local device equalizationcoefficients generation protocol. When set, the softwarechanges the local TX equalizer coefficients. When set to 0,uses the update command received from the link partner todetermine local device coefficients. Used with 0x4D1 bit-8 and0x4D4 bits[23:16]. The default value is 0.

0x4D0 18 RW VOD Training Enable Defines whether or not to skip adjustment of the linkpartner’s VOD (main tap) during link training. The followingvalues are defined:• 1 = Exercise VOD (main tap) adjustment during link

training• 0 = Skip VOD (main tap) adjustment during link trainingThe default value is 0.

19 RW Bypass DFE Defines whether or not Decision Feedback Equalization (DFE)is enabled at the end of link training. The following values aredefined:• 1 = Bypass continuous adaptive DFE at the end of link

training• 0 = Enable continuous adaptive DFE at the end of link

trainingThe default value for simulation is 1. The default value forhardware is 0.

21:20 RW dfe_freeze_mode Defines the behavior of DFE taps at the end of link training• 00 = do not freeze any DFE taps• 01 = Freeze all DFE taps• 10 = reserved• 11 = reservedThe default value is 01.Note: These bits are only effective when bit [19] is set to 0.

0x4D0 22 RW adp_ctle_vga_mode Defines whether or not CTLE/VGA adaptation is in adaptive ormanual mode. The following values are defined:• 0 = CTLE sweep before start of TX-EQ during link training.• 1 = manual CTLE mode. Link training algorithm sets fixed

CTLE value, as specified in bits [28:24]. The default valueis 1 for simulation. .

The default value is 0 for hardware.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide155

Page 156: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

WordAddr

Bit R/W Name Description

28:24 RW Manual CTLE Defines the CTLE value used by the link training algorithmwhen in manual CTLE mode. These bits are only effectivewhen 0x4D0[22] is set to 1.The default value is 1.

31:29 RW Manual VGA Defines the VGA value used by the link training algorithmwhen in manual VGA mode. These bits are only effectivewhen 0x4D0[22] is set to 1.The default value is 4 for simulation. The default value is 7 forhardware.

0x4D1 0 RW Restart Linktraining

When set to 1, resets the 10GBASE-KR start-up protocol.When set to 0, continues normal operation. This bit selfclears. For more information, refer to the state variablemr_restart_training as defined in Clause 72.6.10.3.1and 10GBASE-KR PMD control register bit (1.150.0) IEEE802.3ap-2007.

4 RW Updated TX Coef new When set to 1, there are new link partner coefficientsavailable to send. The LT logic starts sending the new valuesset in 0x4D4 bits[7:0] to the remote device. When set to 0,continues normal operation. This bit self clears. Must enablethis override in 0x4D0 bit16.

8 RW Updated RX coef new When set to 1, new local device coefficients are available. TheLT logic changes the local TX equalizer coefficients asspecified in 0x4D4 bits[23:16]. When set to 0, continuesnormal operation. This bit self clears. Must enable theoverride in 0x4D0 bit17.

21:20 RW Reserved Reserved

0x4D2 0 RO Link Trained -Receiver status

When set to 1, the receiver is trained and is ready to receivedata. When set to 0, receiver training is in progress. For moreinformation, refer to the state variable rx_trained as definedin Clause 72.6.10.3.1 of IEEE 802.3ap-2007.

1 RO Link Training Framelock

When set to 1, the training frame delineation has beendetected. When set to 0, the training frame delineation hasnot been detected. For more information, refer to the statevariable frame_lock as defined in Clause 72.6.10.3.1 of IEEE802.3ap-2007.

2 RO Link Training Start-up protocol status

When set to 1, the start-up protocol is in progress. When setto 0, start-up protocol has completed. For more information,refer to the state training as defined in Clause 72.6.10.3.1 ofIEEE 802.3ap-2007.

3 RO Link Trainingfailure

When set to 1, a training failure has been detected. When setto 0, a training failure has not been detected For moreinformation, refer to the state variable training_failure asdefined in Clause 72.6.10.3.1 of IEEE 802.3ap-2007.

4 RO Link Training Error When set to 1, excessive errors occurred during Link Training.When set to 0, the BER is acceptable.

5 RO Link Training Framelock Error

When set to 1, indicates a frame lock was lost during LinkTraining. If the tap settings specified by the fields of 0x4D5are the same as the initial parameter value, the frame lockerror was unrecoverable.

6 RO RXEQ Frame Lock Loss Frame lock not detected at some point during RXEQ, possiblytriggering conditional RXEQ mode.

7 RO CTLE Fine-grainedTuning Error

Could not determine the best CTLE due to maximum BER limitat each step in the Fine-grained Tuning mode.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide156

Page 157: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

WordAddr

Bit R/W Name Description

0x4D3 9:0 RW ber_time_frames Specifies the number of training frames to examine for biterrors on the link for each step of the equalization settings.Used only when ber_time_k_frames is 0.The following valuesare defined:• A value of 2 is about 103 bytes• A value of 20 is about 104 bytes• A value of 200 is about 105 bytesThe default value for simulation is 2'b11. The default value forhardware is 0.

19:10 RW ber_time_k_frames Specifies the number of thousands of training frames toexamine for bit errors on the link for each step of theequalization settings. Set ber_time_m_frames = 0 for time/bits to match the following values:• A value of 3 is about 107 bits = about 1.3 ms• A value of 25 is about 108 bits = about 11ms• A value of 250 is about 109 bits = about 11 0msThe default value for simulation is 0. The default value forhardware is 0xF.

29:20 RW ber_time_m_frames Specifies the number of millions of training frames to examinefor bit errors on the link for each step of the equalizationsettings. Set ber_time_k_frames = 4'd1000 = 0x43E8 fortime/bits to match the following values:• A value of 3 is about 1010 bits = about 1.3 seconds• A value of 25 is about 10 11 bits = about 11 seconds• A value of 250 is about 1012 bits = about 110 seconds

0x4D4 5:0 RO orRW

LD coefficientupdate[5:0]

Reflects the contents of the first 16-bit word of the trainingframe sent from the local device control channel. Normally,the bits in this register are read-only; however, when youoverride training by setting the Ovride Coef enablecontrol bit, these bits become writable. The following fieldsare defined:• [5: 4]: Coefficient (+1) update

— 2'b11: Reserved— 2'b01: Increment— 2'b10: Decrement— 2'b00: Hold

• [3:2]: Coefficient (0) update (same encoding as [5:4])• [1:0]: Coefficient (-1) update (same encoding as [5:4])For more information, refer to 10G BASE-KR LD coefficientupdate register bits (1.154.5:0) in Clause 45.2.1.80.3 of IEEE802.3ap-2007.

6 RO orRW

LD InitializeCoefficients

When set to 1, requests the link partner coefficients be set toconfigure the TX equalizer to its INITIALIZE state. When setto 0, continues normal operation. For more information, referto 10G BASE-KR LD coefficient update register bits (1.154.12)in Clause 45.2.1.80.3 and Clause 72.6.10.2.3.2 of IEEE802.3ap-2007.

7 RO orRW

LD PresetCoefficients

When set to 1, requests the link partner coefficients be set toa state where equalization is turned off. When set to 0 thelink operates normally. For more information, refer to 10GBASE-KR LD coefficient update register bit (1.154.13) inClause 45.2.1.80.3 and Clause 72.6.10.2.3.2 of IEEE802.3ap-2007.

0x4D4 13:8 RO LD coefficientstatus[5:0]

Status report register for the contents of the second, 16-bitword of the training frame most recently sent from the localdevice control channel. The following fields are defined:

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide157

Page 158: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

WordAddr

Bit R/W Name Description

• [5:4]: Coefficient (post-tap)— 2'b11: Maximum— 2'b01: Minimum— 2'b10: Updated— 2'b00: Not updated

• [3:2]: Coefficient (0) (same encoding as [5:4])• [1:0]: Coefficient (pre-tap) (same encoding as [5:4])For more information, refer to 10G BASE-KR LD status reportregister bit (1.155.5:0) in Clause 45.2.1.81 of IEEE802.3ap-2007.

14 RO Link Training ready- LD Receiver ready

When set to 1, the local device receiver has determined thattraining is complete and is prepared to receive data. When setto 0, the local device receiver is requesting that trainingcontinue. Values for the receiver ready bit are defined inClause 72.6.10.2.4.4. For more information, refer to 10GBASE-KR LD status report register bit (1.155.15) in Clause45.2.1.81 of IEEE 802.3ap-2007.

0x4D4 21:16 RO orRW

LP coefficientupdate[5:0]

Reflects the contents of the first 16-bit word of the trainingframe most recently received from the control channel.Normally the bits in this register are read only; however,when training is disabled by setting low the KR Trainingenable control bit, these bits become writable. The followingfields are defined:• [5: 4]: Coefficient (+1) update

— 2'b11: Reserved— 2'b01: Increment— 2'b10: Decrement— 2'b00: Hold

• [3:2]: Coefficient (0) update (same encoding as [5:4])• [1:0]: Coefficient (-1) update (same encoding as [5:4])For more information, refer to 10G BASE-KR LP coefficientupdate register bits (1.152.5:0) in Clause 45.2.1.78.3 of IEEE802.3ap-2007.

22 RO orRW

LP InitializeCoefficients

When set to 1, the local device transmit equalizer coefficientsare set to the INITIALIZE state. When set to 0, normaloperation continues. The function and values of the initializebit are defined in Clause 72.6.10.2.3.2. For more information,refer to 10G BASE-KR LP coefficient update register bits(1.152.12) in Clause 45.2.1.78.3 of IEEE 802.3ap-2007.

23 RO orRW

LP PresetCoefficients

When set to 1, the local device TX coefficients are set to astate where equalization is turned off. Preset coefficients areused. When set to 0, the local device operates normally. Thefunction and values of the preset bit are defined in72.6.10.2.3.1. The function and values of the initialize bit aredefined in Clause 72.6.10.2.3.2. For more information, referto 10G BASE-KR LP coefficient update register bits (1.152.13)in Clause 45.2.1.78.3 of IEEE 802.3ap-2007.

0x4D4 29:24 RO LP coefficientstatus[5:0]

Status report register reflects the contents of the second, 16-bit word of the training frame most recently received from thecontrol channel: The following fields are defined:

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide158

Page 159: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

WordAddr

Bit R/W Name Description

• [5:4]: Coefficient (+1)— 2'b11: Maximum— 2'b01: Minimum— 2'b10: Updated— 2'b00: Not updated

• [3:2]: Coefficient (0) (same encoding as [5:4])• n [1:0]: Coefficient (-1) (same encoding as [5:4])For more information, refer to 10G BASE-KR LP status reportregister bits (1.153.5:0) in Clause 45.2.1.79 of IEEE802.3ap-2007.

30 RO LP Receiver ready When set to 1, the link partner receiver has determined thattraining is complete and is prepared to receive data. When setto 0, the link partner receiver is requesting that trainingcontinue.Values for the receiver ready bit are defined in Clause72.6.10.2.4.4. For more information, refer to 10G BASE-KRLP status report register bits (1.153.15) in Clause 45.2.1.79of IEEE 802.3ap-2007.

0x4D5 4:0 R LT VOD setting Stores the most recent TX VOD setting trained by the linkpartner's RX based on the LT coefficient update logic drivenby Clause 72. It reflects Link Partner commands to fine-tunethe TX preemphasis taps.

13:8 R LT Post-tap setting Stores the most recent TX post-tap setting trained by the linkpartner’s RX based on the LT coefficient update logic drivenby Clause 72. It reflects Link Partner commands to fine-tunethe TX pre-emphasis taps.

20:16 R LT Pre-tap setting Store the most recent TX pre-tap setting trained by the linkpartner’s RX based on the LT coefficient update logic drivenby Clause 72. It reflects Link Partner commands to fine-tunethe TX pre-emphasis taps.

0x4D5 27:24 R RXEQ CTLE Setting Most recent ctle_rc setting sent to the reconfig bundleduring RX equalization.

29:28 R RXEQ CTLE Mode Most recent ctle_mode setting sent to the reconfig bundleduring RX equalization.

31:30 R RXEQ DFE Mode Most recent dfe_mode setting sent to the reconfig bundleduring RX equalization.

0x4D6 4:0 RW LT VODMAX ovrd Override value for the VMAXRULE parameter. When enabled,this value substitutes for the VMAXRULE to allow channel-by-channel override of the device settings. This only affectsthe local device TX output for the channel specified.This value must be greater than the INITMAINVALparameter for proper operation. Note this also overrides thePREMAINVAL parameter value.

5 RW LT VODMAX ovrdEnable

When set to 1, enables the override value for the VMAXRULEparameter stored in the LT VODMAX ovrd register field.

12:8 RW LT VODMin ovrd Override value for the VODMINRULE parameter. Whenenabled, this value substitutes for the VMINRULE to allowchannel-by-channel override of the device settings. Thisoverride only effects the local device TX output for thischannel.The value to be substituted must be less than theINITMAINVAL parameter and greater than the VMINRULEparameter for proper operation.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide159

Page 160: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

WordAddr

Bit R/W Name Description

13 RW LT VODMin ovrdEnable

When set to 1, enables the override value for theVODMINRULE parameter stored in the LT VODMin ovrdregister field.

21:16 RW LT VPOST ovrd Override value for the VPOSTRULE parameter. Whenenabled, this value substitutes for the VPOSTRULE to allowchannel-by-channel override of the device settings. Thisoverride only effects the local device TX output for thischannel.The value to be substituted must be greater than theINITPOSTVAL parameter for proper operation.

22 RW LT VPOST ovrd Enable When set to 1, enables the override value for theVPOSTRULE parameter stored in the LT VPOST ovrdregister field.

28:24 RW LT VPre ovrd Override value for the VPRERULE parameter. When enabled,this value substitutes for the VPOSTRULE to allow channel-by-channel override of the device settings. This override onlyeffects the local device TX output for this channel.The value to be substituted must be greater than theINITPREVAL parameter for proper operation.

29 RW LT VPre ovrd Enable When set to 1, enables the override value for the VPRERULEparameter stored in the LT VPre ovrd register field.

0x4D7to 0x4FF

Reserved for 40G KR Left empty for address compatibility with 40G MAC+PHY KRsolution.

2.6.3.6.2. Hard Transceiver PHY Registers

Table 123. Hard Transceiver PHY Registers

Addr Bit Access Name Description

0x000-0x3FF

[9:0] RW Access to HSSIregisters

All registers in the physical coding sub-layer (PCS) and physicalmedia attachment (PMA) that you can dynamically reconfigureare in this address space. Refer to the Arria 10 DynamicTransceiver Reconfiguration chapter for further information.

Related Information

Reconfiguration Interface and Dynamic Reconfiguration on page 502

2.6.3.6.3. Enhanced PCS Registers

Table 124. Enhanced PCS Registers

Addr Bit Access Name Description

0x480 31:0 RW Indirect_addr Because the PHY implements a single channel, thisregister must remain at the default value of 0 to specifylogical channel 0.

0x481 2 RW RCLR_ERRBLK_CNT Error block counter clear register. When set to 1, clearsthe RCLR_ERRBLK_CNT register. When set to 0, normaloperation continues.

3 RW RCLR_BER_COUNT BER counter clear register. When set to 1, clears theRCLR_BER_COUNT register. When set to 0, normaloperation continues.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide160

Page 161: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Addr Bit Access Name Description

0x482 1 RO HI_BER High BER status. When set to 1, the PCS reports a highBER. When set to 0, the PCS does not report a highBER.

2 RO BLOCK_LOCK Block lock status. When set to 1, the PCS is locked toreceived blocks. When set to 0, the PCS is not locked toreceived blocks.

3 RO TX_FIFO_FULL When set to 1, the TX_FIFO is full.

4 RO RX_FIFO_FULL When set to 1, the RX_FIFO is full.

7 RO Rx_DATA_READY When set to 1, indicates the PHY is ready to receivedata.

2.6.3.6.4. PMA Registers

The PMA registers allow you to reset the PMA, customize the TX and RX serial datainterface, and provide status information.

Table 125. 1G Data Mode

Addr Bit R/W Name Description

0x4A8 0 RW tx_invpolarity When set, the TX interface inverts the polarity ofthe TX data to the 8B/10B encoder.

1 RW rx_invpolarity When set, the RX channels inverts the polarity ofthe received data to the 8B/10B decoder.

2 RW rx_bitreversal_enable When set, enables bit reversal on the RX interfaceto the word aligner.

3 RW rx_bytereversal_enable When set, enables byte reversal on the RX interfaceto the byte deserializer.

4 RW force_electrical_idle When set, forces the TX outputs to electrical idle.

0x4A9 0 R rx_syncstatus When set, the word aligner is synchronized.

1 R rx_patterndetect GbE word aligner detected comma.

2 R rx_rlv Run length violation.

3 R rx_rmfifodatainserted Rate match FIFO inserted code group.

4 R rx_rmfifodatadeleted Rate match FIFO deleted code group.

5 R rx_disperr RX 8B10B disparity error.

6 R rx_errdetect RX 8B10B error detected.

Table 126. PMA Registers

Address Bit R/W Name Description

0x444 1 RW reset_tx_digital Writing a 1 asserts the internal TX digital reset signal. Youmust write a 0 to clear the reset condition.

2 RW reset_rx_analog Writing a 1 causes the internal RX analog reset signal to beasserted. You must write a 0 to clear the reset condition.

3 RW reset_rx_digital Writing a 1 causes the internal RX digital reset signal to beasserted. You must write a 0 to clear the reset condition.

0x461 0 RW phy_serial_loopback Writing a 1 puts the channel in serial loopback mode.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide161

Page 162: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Address Bit R/W Name Description

0x464 0 RW pma_rx_set_locktodata

When set, programs the RX clock data recovery (CDR) PLLto lock to the incoming data.

0x465 0 RW pma_rx_set_locktoref

When set, programs the RX CDR PLL to lock to the referenceclock.

0x466 0 RO pma_rx_is_lockedtodata

When asserted, indicates that the RX CDR PLL is locked tothe RX data, and that the RX CDR has changed from LTR toLTD mode.

0x467 0 RO pma_rx_is_lockedtoref

When asserted, indicates that the RX CDR PLL is locked tothe reference clock.

2.6.3.7. Creating a 10GBASE-KR Design

Follow these steps to create a 10GBASE-KR design.

1. Generate the 10GBASE-KR PHY with the required parameterization.

The 10GBASE-KR PHY IP core includes a reconfiguration block. The reconfigurationblock provides the Avalon-MM interface to access the PHY registers.

2. Instantiate a reset controller. You can generate a Transceiver Reset Controller IPcore from the IP Catalog. You must connect the Transceiver Reset Controller IPcore and 10GBASE-KR PHY IP core power and reset signals.

3. Instantiate one TX PLL for the 1G data rate and one TX PLL for the 10G data rate.Connect the high speed serial clock and PLL lock signals between 10GBASE-KRPHY and TX PLLs. For the 1G data rate you can use either fPLL, ATX PLL, or CMUPLL. For the 10G data rate you can use ATX PLL or CMU PLL.

4. Generate a fPLL to create the 156.25 MHz XGMII clock from the 10G referenceclock.

5. Use the tx_pma_divclk from the 10GBASE-KR PHY or generate a fPLL to createthe 156.25 MHz XGMII clock from the 10G reference clock.

Unlike in the 10GBASE-KR PHY IP core for Stratix V devices, no MemoryInitialization Files (.mif) are required for the 10GBASE-KR design in Arria 10devices.

6. Complete the design by creating a top level module to connect all the IP(10GBASE-KR PHY IP core, PLL IP core, and Reset Controller) blocks.

Related Information

• fPLL on page 359

• CMU PLL on page 368

• ATX PLL on page 350

• Using the Transceiver PHY Reset Controller on page 433

• 10GBASE-KR Functional Description on page 136

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide162

Page 163: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.6.3.8. Design Example

Figure 71. PHY-Only Design Example with Two Backplane Ethernet and Two Line-Side(1G/10G) Ethernet Channels

Native Hard PHY

STDRX PCS

TX PMA

RX PMA

STDTX PCS

10-GBTX PCS

10-GBRX PCS

Divide

1588 SoftFIFOs

GMIIRS

Auto Negcls 73

Link Trainingcls 72

KR PHY IP

Sequencer

NFReconfiguration

Registers CSRAvalon-MM Slave

Native Hard PHY

STDRX PCS

TX PMA

RX PMA

STDTX PCS

10-GBTX PCS

10-GBRX PCS

Divide

1588 SoftFIFOs

GMIIRS

Auto Negcls 73

Link Trainingcls 72

KR PHY IP

Sequencer

NFReconfiguration

Registers CSRAvalon-MM Slave

Native Hard PHY

STDRX PCS

TX PMA

RX PMA

STDTX PCS

10-GBTX PCS

10-GBRX PCS

Divide

1588 SoftFIFOs

GMIIRS

Auto Negcls 73

Link Trainingcls 72

KR PHY IP

Sequencer

NFReconfiguration

Registers CSRAvalon-MM Slave

Native Hard PHY

STDRX PCS

TX PMA

RX PMA

STDTX PCS

10-GBTX PCS

10-GBRX PCS

Divide

GMIIRS

Auto Negcls 73

Link Trainingcls 72

KR PHY IP

Sequencer

A10Reconfiguration

Registers CSRAvalon-MM Slave

XGMIICLK FPLL

1G Ref CLKCMU PLL

10G Ref CLKATX PLL

ResetControl

ResetControl

ResetControl

ResetControl

CH0: PHY_ADDR = 0x0CH1: PHY_ADDR = 0x1CH2: PHY_ADDR = 0x2CH3: PHY_ADDR = 0x3

A10_IP_WRAPPER

XGMIISource

XGMIISink

XGMIIGEN

XGMIICHK ...

Test Harness

XGMIISource

XGMIISink

XGMIIGEN

XGMIICHK ...

Test Harness

TH0_ADDR = 0xF nnn

TH1_ADDR = 0xE nnnManagement

MasterJTAG-to-

Avalon-MMMaster

ISSP

Clock andReset

A10_DE_WRAPPER

Related Information

• Arria 10 Transceiver PHY Design Examples

• 10-Gbps Ethernet MAC IP Function User Guide.For more information about latency in the MAC as part of the Precision TimeProtocol implementation.

2.6.3.9. Simulation Support

The 1G/10GbE and 10GBASE-KR PHY IP core supports the following Intel-supportedsimulators for this Quartus Prime software release:

• ModelSim Verilog

• ModelSim VHDL

• VCS Verilog

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide163

Page 164: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

• VCS VHDL

• NCSIM Verilog

• NCSIM VHDL simulation

When you generate a 1G/10GbE or 10GBASE-KR PHY IP core, the Quartus Primesoftware optionally generates an IP functional simulation model.

2.6.4. 1-Gigabit/10-Gigabit Ethernet (GbE) PHY IP Core

The Ethernet standard comprises many different PHY standards with variations insignal transmission medium and data rates.

The 1G/10Gbps Ethernet PHY IP core targets the reconfigurable 10-Mbps/100-Mbps/1-Gbps/10-Gbps data rates with one core dynamically. This Ethernet PHY interfaces to1G/10GbE dual speed SFP+ pluggable modules, 10MB–10GbE 10GBASE-T, and 10MB/100MB/1000MB 1000BASE-T copper external PHY devices to drive CAT-6/7 shieldedtwisted pair cables, and chip-to-chip interfaces.

The 1G/10 Gbps Ethernet PHY (1G/10GbE ) IP function allows you to support thefollowing features of Ethernet standards:

• 1 GbE protocol as defined in Clause 36 of the IEEE 802.3-2008 Standard

• GMII to connect the PHY with a media access control (MAC) as defined in Clause35 of the IEEE 802.3-2008 Standard

• Gigabit Ethernet Auto-negotiation as defined in Clause 37 of the IEEE 802.3-2008Standard

• 10GBASE-R Ethernet protocol as defined in Clause 49 of the IEEE 802.3-2008Standard

• Single data rate (64 data bits and 8 control bits) XGMII to provide simple andinexpensive interconnection between the MAC and the PHY as defined in Clause 46of the IEEE 802.3-2008 Standard

• SGMII 10-Mbps/100-Mbps/1-Gbps data rate where 10-Mbps/100-Mbps MII toconnect physical media with the MAC as defined in Clause 22 of the IEEE802.3-2008 Standard

• Forward Error correction(FEC) as defined in Clause 74 of the IEEE 802.3-2008Standard

• Precision time protocol (PTP) as defined in the IEEE 1588 Standard

The 1G/10Gbps Ethernet PHY IP Core allows you to implement the 1GbE protocolusing the Standard PCS and to implement the 10GbE protocol using Enhanced PCSand PMA. You can switch dynamically between the 1G and 10G data rates usingdynamic reconfiguration to reprogram the core. Or, you can use the speed detectionoption to automatically switch data rates based on received data.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide164

Page 165: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 72. Top Level Modules of the 1G/10GbE PHY IP FunctionThe Enhanced PCS receives and transmits XGMII data. The Standard PCS receives and transmits GMII data.

Intel Device with 10.3125-Gbps Transceivers

1G/10Gb Ethernet PHY Intel FPGA IP Core Function

Native PHY Hard IP

257.8 MHz161.1 MHz

TXSerialData

RXSerialData

1 Gb SFP /10 Gb SFP+

or XFP /1G/10 Gb SFP+

Module/Standard PHY

Product

1G/ 10 GbEthernetNetworkInterface

322.265625 MHzor 644.53125 MHz

Reference Clock

125 MHzReference Clock

Legend

Hard IP Soft IP

ATX/CMUTX PLL

For10 GbE

CMUor fPLLTX PLL

For 1 GbE

1.25 Gb/10.3125 GbHard PMA

LinkStatus

Sequencer(Optional)

10 GbEthernet

Enhanced PCS w FEC

1 GbEthernetStandard

PCS

To/From Modules in the PHY MegaCore

Control and StatusRegisters

Avalon-MMPHY Management

Interface

PCS ReconfigRequest

Optional1588 TX andRX LatencyAdjust 1Gand 10G

To/From1G/10GbEthernet

MAC

RX GMII Data

TX GMII/MII Data@ 125 MHz

RX XGMII Data

TX XGMII [email protected] MHz

1 GigEPCS

ReconfigurationBlock

40 64

40 64

Red = With FEC Option

Core Core

For more information about clock and reset signal descriptions, refer to Clock andReset Interfaces.

An Avalon-MM slave interface provides access to the 1G/10GbE PHY IP Core registers.These registers control many of the functions of the other blocks. Many of these bitsare defined in Clause 45 of IEEE 802.3ap-2008 Standard.

Related Information

• Clock and Reset Interfaces on page 168

• IEEE Std 802.3ap-2008 Standard

• Standard for a Precision Clock Synchronization Protocol for NetworkedMeasurement and Control Systems

2.6.4.1. 1G/10GbE PHY Release Information

This topic provides information about this release of the 1G/10GbE PHY IP Core.

Table 127. 1G/10GbE Release Information

Item Description

Version 16.0

Release Date May 2016

Ordering Codes IP-1G10GBASER (primary)IPR-1G10GBASER (renewal code)

Product ID 0107

Vendor ID 6AF7

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide165

Page 166: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.6.4.2. 1G/10GbE PHY Performance and Resource Utilization

This topic provides performance and resource utilization for the 1G/10GbE PHY IP corein Arria 10 devices.

The following table shows the typical expected resource utilization for selectedconfigurations using the Quartus Prime software version 15.1. The numbers of ALMsand logic registers are rounded up to the nearest 50.

Table 128. 1GbE/10GbE PHY Performance and Resource Utilization

Variant ALMs ALUTs Registers M20K

1G/10GbE PHY with IEEE 1588 v2 2650 3950 5100 6

1G/10GbE PHY 1500 2350 2850 2

1G/10GbE PHY with FEC 1500 2350 2850 2

2.6.4.3. 1G/10GbE PHY Functional Description

Figure 73. 1G/10GbE PHY Block Diagram

Sequencer(Auto-Speed

Detect)

Registers

BlockReconfiguration

GigEPCS

1588FIFO

Auto-NegotiationClause 73

Link TrainingClause 72

HSSI Reconfiguration Requests

1588FIFO

GigEPCS

Native PHY

TX PMA

RX PMA

40/32

40/32

rx_pld_clk rx_pma_clk

tx_pld_clk tx_pma_clk

tx_pld_clk tx_pma_clk

rx_pld_clk rx_pma_clk

Divide by 33/1/2

Avalon-MMUser PCS Reconfiguration

MGMT_CLK

8 + 2

64 + 8

TX_GMII_DATA

XGMII_TX_CLK

TX_XGMII_DATA

TX_PMA_CLKOUT

RX_XGMII_DATA64 + 8

8 + 2

XGMII_RX_CLK

RX_GMII_DATA

RX_PMA_CLKOUTRX_DIV_CLKOUT

40

40

66

PMA Reconfiguration I/F

PCS Reconfiguration I/F

Soft Logic Hard Logic Not Available

Standard RX PCS

Standard TX PCS

Enhanced TX PCS

Enhanced RX PCS

Standard and Enhanced PCS Datapaths

The Standard PCS and PMA inside the Native PHY are configured as the GigabitEthernet PHY. The Enhanced PCS and PMA inside the Native PHY are configured as the10GBASE-R PHY. Refer to the Standard PCS and Enhanced PCS architecture chaptersfor more details.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide166

Page 167: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Sequencer

The Sequencer controls the start-up sequence of the PHY IP, including reset andpower-on. It selects which PCS (1G or 10G) and PMA interface is active. TheSequencer interfaces to the reconfiguration block to request a change from one datarate to the other data rate.

GigE PCS

The GigE PCS includes the GMII interface and Clause 37 auto negotiation and SGMIIfunctionality.

Soft Enhanced PCS FIFO for IEEE 1588v2

In IEEE 1588v2 mode, the enhanced PCS FIFOs for both TX and RX are constructed insoft IP to include the latency information via the latency adjustment ports. For moreinformation about the required latency information in the MAC as part of the PrecisionTime Protocol implementation, refer to the 10-Gbps Ethernet MAC IP Function UserGuide.

Reconfiguration Block

The reconfiguration logic performs the Avalon-MM writes to the PHY for both PCS andPMA reconfiguration. The following figure shows the details of the reconfigurationblocks. The Avalon-MM master accepts requests from the PMA or PCS controller. Itperforms the Read-Modify-Write or Write commands using the Avalon-MM interface.The PCS controller receives data rate change requests from the Sequencer andtranslates them to a series of Read-Modify-Write or Write commands to the PMA andPCS.

Figure 74. Reconfiguration Block DetailsThe 1G/10GbE PHY IP core is very flexible. For example, you can configure it with or without IEEE 1588v2, andwith or without FEC in the enhanced PCS datapath.

PCSController

TX EQ Controller

DFE Controller

CTLE Controller

PMA Controller

rcfg_data

rcfg_data

rcfg_data (1)

rcfg_data

Avalon-MMDecoder

Avalon-MM Bus

Avalon-MM Bus

Avalon-MM Bus

Avalon-MM reconfig_busy Signal

HSSIReconfigurationRequests

MGMT_CLK (2)

PCSReconfiguration

Interface

PMAReconfiguration

Interface

Notes:1. rcfg = Reconfiguration2. MGMT_CLK = Management Clock

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide167

Page 168: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Related Information

• Arria 10 Enhanced PCS Architecture on page 461

• Arria 10 Standard PCS Architecture on page 479

• Arria 10 PMA Architecture on page 447

• 10-Gbps Ethernet MAC IP Function User Guide.For more information about latency in the MAC as part of the Precision TimeProtocol implementation.

2.6.4.4. Clock and Reset Interfaces

You can use a fPLL or a CMU PLL to generate the clock for the TX PMA for the 1G datarate. For the 10G data rate, you can use the ATX PLL or the CMU PLL. For the 1G datarate, the frequency of the TX and RX clocks is 125 MHz, which is 1/8 of the MAC datarate. For the 10G data rate, the frequency of TX and RX clocks is 156.25 MHz, 1/64 ofthe MAC data rate. You can generate the 156.25 MHz clock directly by using a fPLL, oryou can divide the clock from TX PLL by 33. The 1G/10GbE PHY does not supportbonded clocks.

The following figure provides an overview of the clocking for this core.

Figure 75. Clocks for Standard and 10G PCS and TX PLLs

xgmii_rx_clk156.25 MHz

xgmii_tx_clk156.25 MHz

1GbE/10GbE PHY

Standard RX PCS

TX PMAtx_coreclkin_1g

125 MHz

RX PMA

TX PLL

TX PLL

10

rx_pld_clk rx_pma_clk

TX serial data8+1

GMII TX Data & Control

XGMII TX Data & Control

RX data

161.1 MHz (2)

red = datapath includes FEC

10 TX data

40

64TX data

serial data

pll_ref_clk_10g644.53125 MHz or 322.265625 MHz

pll_ref_clk_1g125 MHz

Standard TX PCS

tx_pld_clk tx_pma_clk

GMII RXData & Control

64 + 8

64 + 8

XGMII RX Data & Control recovered clk

257.8125 MHz (1)

rx_coreclkin_1g125 MHz

Enhanced RX PCS

rx_pld_clk rx_pma_clk

Enhanced TX PCS

tx_pld_clk tx_pma_clkfractional

PLL

(instantiateseparately)

SGMIIPCS

SGMIIPCS

tx_pld_clk

8+1

6040

64 + 8

64 + 8125 MHz

Notes:1. 257.8125 MHz is for 10GbE.2. 161.1 MHz is the FEC clock for 10GbE.

The following table describes the clock and reset signals.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide168

Page 169: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 129. Clock and Reset Signals

Signal Name Direction Description

tx_serial_clk_10g Input High speed clock from the 10G PLL to drive 10G PHY TX PMA. Thefrequency of this clock is 5.15625 GHz.

tx_serial_clk_1g Input The clock from the external 1G PLL to drive the TX high speed serialinterface (HSSI) circuits. Connected to the tx_serial_clk input ofthe native PHY.

rx_cdr_ref_clk_10g Input 10G PHY RX PLL reference clock. This clock frequency can be644.53125 MHz or 322.2656 MHz.

rx_cdr_refclk_1g Input The RX 1G PLL reference clock to drive the RX HSSI circuits.Connected to the rx_cdr_refclk input of the native PHY.

mgmt_clk Input Avalon-MM clock and control system clock. Its frequency range is100 MHz to 125 MHz.

mgmt_clk_reset Input When asserted, it resets the whole PHY.

xgmii_tx_clk Input Clock for XGMII TX interface with MAC. Can be connected totx_div_clkout. This drives the tx_coreclkin port of the NativePHY.

xgmii_rx_clk Input The clock for the XGMII RX interface with the MAC. Intelrecommends connecting it directly to a PLL for use with TSE. Thisdrives rx_coreclkin of the native PHY. Its frequency is 156.25 or312.5 MHz.

tx_clkout Output Transmit parallel clock. It is sourced fromout_pld_pcs_tx_clk_out on the HSSI. This could be used toprovide the XGMII clocks or the GMII clocks, though if the PHY isreconfigured, the frequency changes. Its frequency is 125, 156.25,161, 258, or 312.5 MHz.

rx_clkout Output Receive parallel clock. It is sourced fromout_pld_pcs_rx_clk_out on the HSSI. If the PHY is reconfigured,the frequency changes. Its frequency is 125, 156.25, 161, 258, or312.5 MHz.

tx_pma_clkout Output Transmit PMA clock. This is the clock for the 1588 mode TX FIFO andthe 1G TX and RX PCS parallel data interface. Note: Usetx_div_clkout or xgmii_tx_clk for 10G TX datapath clocking.This clock is provided for the 1G mode GMII/MII data and SyncEmode where the clock can be used as a reference to lock an externalclock source. Its frequency is 125, 161, or 258 MHz.

rx_pma_clkout Output Receive PMA clock. This is the clock for the 1588 mode RX FIFO andthe 1G RX FIFO. Note: Use tx_div_clkout or xgmii_rx_clk for10G RX datapath clocking. This clock is provided for the SyncE modewhere the clock can be used as a reference to lock an external clocksource. Its frequency is 125, 161, or 258 MHz.

tx_div_clk Output This is the transmit div33 clock, which is sourced from the NativePHY tx_pma_div_clkout. It could be connected to thexgmii_tx_clk and xgmii_rx_clk clock inputs to drive the MACinterface, though if the PHY is reconfigured to 1G mode, thefrequency changes. Its frequency is 125, 156.25, or 312.5 MHz.

rx_div_clk Output This is the receive div33 clock, which is recovered from the receiveddata. It drives the Auto Negotiation (AN) and Link Training (LT) logicand is sourced from the Native PHY rx_pma_div_clkout port.Note: Use tx_clkout or xgmii_rx_clk for 10G TX datapathclocking. If the PHY is reconfigured to 1G mode, the frequencychanges. Its frequency is 125, 156.25, or 312.5 MHz.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide169

Page 170: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Signal Name Direction Description

calc_clk_1g Input This is the clock for the GIGE PCS 1588 mode. To achieve highaccuracy for all speed modes, the recommended frequency forcalc_clk_1g is 80 MHz. In addition, the 80 MHz clock should havethe same parts per million (ppm) as the 125 MHz pll_ref_clk_1ginput. The random error without a rate match FIFO mode is:• ±1 ns at 1000 Mbps• ± 5 ns at 100 Mbps• ± 25 ns at 10 Mbps

tx_analogreset Input Resets the analog TX portion of the transceiver PHY. Synchronous tomgmt_clk.

tx_digitalreset Input Resets the digital TX portion of the transceiver PHY. Synchronous tomgmt_clk.

rx_analogreset Input Resets the analog RX portion of the transceiver PHY. Synchronous tomgmt_clk.

rx_digitalreset Input Resets the digital RX portion of the transceiver PHY. Synchronous tomgmt_clk.

usr_seq_reset Input Resets the sequencer. Initiates a PCS reconfiguration, and mayrestart AN, LT or both if these modes are enabled. Synchronous tomgmt_clk.

rx_data_ready Output When asserted, indicates that you can start to send the 10G data.Synchronous to xgmii_rx_clk.

Related Information

• Input Reference Clock Sources on page 372

• PLLs on page 349

2.6.4.5. Parameterizing the 1G/10GbE PHY

This section contains the recommended parameter values for this protocol. Refer toUsing the Arria 10 Transceiver Native PHY IP Core for the full range of parametervalues.

The Arria 10 1G/10GbE and 10GBASE-KR PHY IP core allows you to select either theBackplane-KR or 1Gb/10Gb Ethernet variant. The 1Gb/10Gb Ethernet variant(1G/10GbE) does not implement the link training and auto-negotiation functions.

Complete the following steps to parameterize the 1Gb/10Gb Ethernet PHY IP core inthe parameter editor:

1. Instantiate the Arria 10 1G/10GbE and 10GBASE-KR PHY from the IP Catalog.Refer to Select and Instantiate the PHY IP Core on page 33.

2. Select 1Gb/10Gb Ethernet from the IP variant list located under EthernetIntel FPGA IP Core Type.

3. Use the parameter values in the tables in 10GBASE-R Parameters on page 140, 10M/100M/1Gb Ethernet Parameters on page 172 , Speed Detection Parameterson page 142, and PHY Analog Parameters on page 173 as a starting point. Or, youcan select the BackPlane_wo_1588 option in the Presets tab on the right sideof the IP Parameter Editor. You can then modify the setting to meet your specificrequirements.

4. Click Generate HDL to generate the 1Gb/10Gb Ethernet IP core top-level HDLfile.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide170

Page 171: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Note: You might observe timing violations. If the timing path is within the IP, you can ignorethese violations. This will be fixed in a future release of the Intel Quartus Primesoftware.

Related Information

• Using the Arria 10 Transceiver Native PHY IP Core on page 45

• General Options on page 139

• 10GBASE-R Parameters on page 140

• 10M/100M/1Gb Ethernet Parameters on page 172

• Speed Detection Parameters on page 142

• PHY Analog Parameters on page 173

2.6.4.5.1. General Options

The General Options allow you to specify options common to 10GBASE-KR mode.

Table 130. General Options Parameters

Parameter Name Options Description

Enable internal PCSreconfiguration logic

OnOff

This parameter is only an option when SYNTH_SEQ = 0. Whenset to 0, it does not include the reconfiguration module orexpose the start_pcs_reconfig or rc_busy ports. When setto 1, it provides a simple interface to initiate reconfigurationbetween 1G and 10G modes.

Enable IEEE 1588 PrecisionTime Protocol

OnOff

When you turn on this parameter, you enable the IEEE 1588Precision Time Protocol logic for both 1G and 10G modes.

Enable M20K block ECCprotection

OnOff

When you turn on this parameter, you enable error correctioncode (ECC) support on the embedded Nios CPU system. Thisparameter is only valid for the backplane variant.

Enable tx_pma_clkout port OnOff

When you turn on this parameter, the tx_pma_clkout port isenabled. Refer to the Clock and Reset Interfaces section formore information about this port.

Enable rx_pma_clkout port OnOff

When you turn on this parameter, the rx_pma_clkout port isenabled. Refer to the Clock and Reset Interfaces section formore information about this port.

Enable tx_divclk port OnOff

When you turn on this parameter, the tx_divclk port isenabled. Refer to the Clock and Reset Interfaces section formore information about this port.

Enable rx_divclk port OnOff

When you turn on this parameter, the rx_divclk port isenabled. Refer to the Clock and Reset Interfaces section formore information about this port.

Enable tx_clkout port OnOff

When you turn on this parameter, the tx_clkout port isenabled. Refer to the Clock and Reset Interfaces section formore information about this port.

Enable rx_clkout port OnOff

When you turn on this parameter, the rx_clkout port isenabled. Refer to the Clock and Reset Interfaces section formore information about this port.

Enable Hard PRBS supportand ADME support

OnOff

When you turn on this parameter, you enable the ADME andHard PRBS data generation and checking logic in the Native PHY.The transceiver toolkit (TTK) requires ADME to be enabled in theNative PHY IP core.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide171

Page 172: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Name Options Description

Reference clock frequency 644.53125 MHz322.265625 MHz

Specifies the input reference clock frequency. The default is322.265625 MHz.

Enable additional controland status ports

OnOff

When you turn this option on, the core includes therx_block_lock and rx_hi_ber output.

Include FEC sublayer OnOff

When you turn on this parameter, the core includes logic toimplement FEC and a soft 10GBASE-R PCS. This is applicableonly for the 10G mode.

Set FEC_ability bit onpower up and reset

OnOff

When you turn on this parameter, the core sets the Assert KRFEC Ability bit (0xB0[16]) FEC ability bit during power upand reset, causing the core to assert the FEC ability. This optionis required for FEC functionality.

Set FEC_Enable bit onpower up and reset

OnOff

When you turn on this parameter, the core sets the KR FECRequest bit (0xB0[18]) during power up and reset, causingthe core to request the FEC ability during Auto Negotiation. Thisoption is required for FEC functionality.

Related Information

Clock and Reset Interfaces on page 143

2.6.4.5.2. 10GBASE-R Parameters

The 10GBASE-R parameters specify basic features of the 10GBASE-R PCS. The FECoptions also allow you to specify the FEC ability.

Table 131. 10GBASE-R Parameters

Parameter Name Options Description

10GbE Reference clockfrequency

644.53125 MHz322.265625 MHz

Specifies the input reference clock frequency. The default is322.265625 MHz.

Enable additional controland status ports

OnOff

When you turn on this parameter, the core includes therx_block_lock and rx_hi_ber ports.

Table 132. FEC Options

Parameter Name Options Description

Include FEC sublayer OnOff

When you turn on this parameter, the core includes logic toimplement FEC and a soft 10GBASE-R PCS.

2.6.4.5.3. 10M/100M/1Gb Ethernet Parameters

The 10M/100M/1GbE parameters allow you to specify options for the MII interface andthe 1GbE data rate.

Table 133. 10M/100M/1Gb Ethernet

Parameter Name Options Description

Enable 1Gb Ethernetprotocol

On When you turn this option on, the core includes the GMIIinterface and related logic.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide172

Page 173: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Name Options Description

Off

Enable 10Mb/100MbEthernet functionality

OnOff

When you turn this option on, the core includes the MII PCS. Italso supports 4-speed mode to implement a 10M/100M interfaceto the MAC for the GbE line rate.

PHY ID (32 bits) 32-bit value An optional 32-bit value that serves as a unique identifier for aparticular type of PCS. The identifier includes the followingcomponents:• Bits 3-24 of the Organizationally Unique Identifier (OUI)

assigned by the IEEE• 6-bit model number• 4-bit revision numberIf unused, do not change the default value which is0x00000000.

PHY core version (16 bits) 16-bit value This is an optional 16-bit value that identifies the PHY coreversion.

2.6.4.5.4. Speed Detection Parameters

Selecting the speed detection option gives the PHY the ability to detect to link partnersthat support 1G/10GbE but have disabled Auto-Negotiation. During Auto-Negotiation,if AN cannot detect Differential Manchester Encoding (DME) pages from a link partner,the Sequencer reconfigures to 1GbE and 10GbE modes (Speed/Parallel detection) untilit detects a valid 1G or 10GbE pattern.

Table 134. Speed Detection

Parameter Name Options Description

Enable automatic speeddetection

OnOff

When you turn this option On, the core includes the Sequencerblock that sends reconfiguration requests to detect 1G or 10GbEwhen the Auto Negotiation block is not able to detect AN data.

Avalon-MM clock frequency 100-162 MHz Specifies the clock frequency for phy_mgmt_clk.

Link fail inhibit time for10Gb Ethernet

504 ms Specifies the time before link_status is set to FAIL or OK. Alink fails if the link_fail_inhibit_time has expired beforelink_status is set to OK. The legal range is 500-510 ms. Formore information, refer to "Clause 73 Auto Negotiation forBackplane Ethernet" in IEEE Std 802.3ap-2007.

Link fail inhibit time for1Gb Ethernet

40-50 ms Specifies the time before link_status is set to FAIL or OK . Alink fails if the link_fail_inhibit_time has expired beforelink_status is set to OK. The legal range is 40-50 ms.

Enable PCS-Mode port OnOff

Enables or disables the PCS-Mode port.

2.6.4.5.5. PHY Analog Parameters

You can specify analog parameters using the Intel Quartus Prime Assignment Editor,the Pin Planner, or the Intel Quartus Prime Settings File (.qsf).

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide173

Page 174: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.6.4.6. 1G/10GbE PHY Interfaces

Figure 76. 1G/10GbE PHY Top-Level Signals

xgmii_tx_dc[71:0]xgmii_tx_clkxgmii_rx_dc[71:0]xgmii_rx_clkgmii_tx_d[7:0]gmii_rx_d[7:0]gmii_tx_engmii_tx_errgmii_rx_errgmii_rx_dv

led_char_errled_link

led_disp_errled_an

mgmt_clkmgmt_clk_resetmgmt_address[10:0]mgmt_writedata[31:0]mgmt_readdata[31:0]mgmt_writemgmt_readmgmt_waitrequest

tx_serial_clk_10grx_cdr_ref_clk_10grx_cdr_ref_clk_1gtx_pma_clkoutrx_pma_clkouttx_clkoutrx_clkouttx_pma_div_clkoutrx_pma_div_clkouttx_analogresettx_digitalresetrx_analogresetrx_digitalresetusr_seq_reset

1G/10GbE Top-Level Signalsrx_serial_datatx_serial_data

rx_block_lockrx_hi_ber

rx_is_lockedtodatatx_cal_busyrx_cal_busy

rx_syncstatustx_pcfifo_error_1grx_pcfifo_error_1g

rx_clksliprx_data_ready

TransceiverSerial Data

XGMIIGMII

Interfaces

Avalon-MM PHYManagement

Interface

Clocks andReset

Interface

Status

tx_serial_clk_1g

rx_latency_adj_1g[21:0]tx_latency_adj_1g[21:0]

led_panel_link

mii_tx_d[3:0]mii_tx_enmii_tx_err

mii_rx_d[3:0]mii_rx_dvmii_rx_err

mii_colmii_crs

MII Interfaces

The block diagram shown in the parameter editor labels the external pins with theinterface type and places the interface name inside the box. The interface type andname are provided in the _hw.tcl file. If you turn on Show signals, the blockdiagram displays all top-level signal names. For more information about _hw.tclfiles, refer to the Component Interface Tcl Reference chapter in volume 1 of the IntelQuartus Prime Handbook.

Note: Intel is deprecating some of the signals shown in this figure. The descriptions of thesesignals identifies them as not functional.

Related Information

Component Interface Tcl Reference

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide174

Page 175: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.6.4.6.1. Clock and Reset Interfaces

Table 135. Clock and Reset Signals

Signal Name Direction Description

tx_serial_clk_10g Input High speed clock from the 10G PLL to drive 10G PHY TX PMA. Thefrequency of this clock is 5.15625 GHz.

tx_serial_clk_1g Input High speed clock from 1G PLL to drive the 1G PHY TX PMA. This clockis not required if GbE is not used. The frequency of this clock is 625MHz.

rx_cdr_ref_clk_10g Input 10G PHY RX PLL reference clock. This clock frequency can be644.53125 MHz or 322.2656 MHz.

rx_cdr_ref_clk_1g Input 1G PHY RX PLL reference clock. The frequency is 125 MHz. This clockis only required if 1G is enabled.

tx_pma_clkout Output Clock used to drive the 10G TX PCS and 1G TX PCS parallel data. Forexample, when the hard PCS is reconfigured to the 10G modewithout FEC enabled, the frequency is 257.81 MHz. The frequency is161.13 MHz for 10G with FEC enabled.

rx_pma_clkout Output Clock used to drive the 10G RX PCS and 1G RX PCS parallel data. Forexample, when the hard PCS is reconfigured to the 10G modewithout FEC enabled, the frequency is 257.81 MHz. The frequency is161.13 MHz for 10G with FEC enabled.

tx_clkout Output XGMII/GMII TX clock for the TX parallel data source interface. Thisclock frequency is 257.81 MHz in 10G mode, and 161.13 MHz withFEC enabled.

rx_clkout Output XGMII RX clock for the RX parallel data source interface. This clockfrequency is 257.81 in 10G mode, and 161.13 MHz with FECenabled.

tx_pma_div_clkout Output The divided 33 clock from the TX serializer. You can use this clock forthe for xgmii_tx_clk or xgmii_rx_clk. The frequency is 156.25MHz for 10G. The frequencies are the same whether or not youenable FEC.

rx_pma_div_clkout Output The divided 33 clock from CDR recovered clock. The frequency is156.25 MHz for 10G. The frequencies are the same whether or notyou enable FEC. This clock is not used for clocking the 10G RXdatapath.

tx_analogreset Input Resets the analog TX portion of the transceiver PHY. Synchronous tomgmt_clk.

tx_digitalreset Input Resets the digital TX portion of the transceiver PHY. Synchronous tomgmt_clk.

rx_analogreset Input Resets the analog RX portion of the transceiver PHY. Synchronous tomgmt_clk.

rx_digitalreset Input Resets the digital RX portion of the transceiver PHY. Synchronous tomgmt_clk.

usr_seq_reset Input Resets the sequencer. Initiates a PCS reconfiguration, and mayrestart AN, LT or both if these modes are enabled. Synchronous tomgmt_clk.

Related Information

• Input Reference Clock Sources on page 372

• PLLs on page 349

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide175

Page 176: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.6.4.6.2. Data Interfaces

Table 136. XGMII SignalsThe MAC drives the TX XGMII signals to the 10GbE PHY. The 10GbE PHY drives the RX XGMII signals to theMAC.

Signal Name Direction Clock Domain Description

10GbE XGMII Data Interface

xgmii_tx_dc[71:0]

Input Synchronous toxgmii_tx_clk

XGMII data and control for 8 lanes. Each lane consists of 8bits of data and 1 bit of control.

xgmii_tx_clk Input Clock signal Clock for single data rate (SDR) XGMII TX interface to theMAC. It should connect to xgmii_rx_clk. This clock can beconnected to the tx_div_clkout; however, Intelrecommends that you connect it to a PLL for use with theTriple Speed Ethernet IP function. The frequency is 125 MHzfor 1G and 156.25 MHz for 10G. This clock is driven from theMAC.The frequencies are the same whether or not you enable FEC.

xgmii_rx_dc[71:0]

Output Synchronous toxgmii_rx_clk

RX XGMII data and control for 8 lanes. Each lane consists of 8bits of data and 1 bit of control.

xgmii_rx_clk Input Clock signal Clock for SDR XGMII RX interface to the MAC. This clock canbe connected to the tx_div_clkout ; however, Intelrecommends that you connect it to a PLL for use with theTriple Speed Ethernet IP function. The frequency is 125 MHzfor 1G and 156.25 MHz for 10G. This clock is driven from theMAC.The frequencies are the same whether or not you enable FEC.

2.6.4.6.3. XGMII Mapping to Standard SDR XGMII Data

Table 137. TX XGMII Mapping to Standard SDR XGMII InterfaceThe 72-bit TX XGMII data bus format is different than the standard SDR XGMII interface. This table shows themapping of this non-standard format to the standard SDR XGMII interface.

Signal Name SDR XGMII Signal Name Description

xgmii_tx_dc[7:0] xgmii_sdr_data[7:0] Lane 0 data

xgmii_tx_dc[8] xgmii_sdr_ctrl[0] Lane 0 control

xgmii_tx_dc[16:9] xgmii_sdr_data[15:8] Lane 1 data

xgmii_tx_dc[17] xgmii_sdr_ctrl[1] Lane 1 control

xgmii_tx_dc[25:18] xgmii_sdr_data[23:16] Lane 2 data

xgmii_tx_dc[26] xgmii_sdr_ctrl[2] Lane 2 control

xgmii_tx_dc[34:27] xgmii_sdr_data[31:24] Lane 3 data

xgmii_tx_dc[35] xgmii_sdr_ctrl[3] Lane 3 control

xgmii_tx_dc[43:36] xgmii_sdr_data[39:32] Lane 4 data

xgmii_tx_dc[44] xgmii_sdr_ctrl[4] Lane 4 control

xgmii_tx_dc[52:45] xgmii_sdr_data[47:40] Lane 5 data

xgmii_tx_dc[53] xgmii_sdr_ctrl[5] Lane 5 control

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide176

Page 177: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Signal Name SDR XGMII Signal Name Description

xgmii_tx_dc[61:54] xgmii_sdr_data[55:48] Lane 6 data

xgmii_tx_dc[62] xgmii_sdr_ctrl[6] Lane 6 control

xgmii_tx_dc[70:63] xgmii_sdr_data[63:56] Lane 7 data

xgmii_tx_dc[71] xgmii_sdr_ctrl[7] Lane 7 control

Table 138. RX XGMII Mapping to Standard SDR XGMII InterfaceThe 72-bit RX XGMII data bus format is different from the standard SDR XGMII interface. This table shows themapping of this non-standard format to the standard SDR XGMII interface.

Signal Name XGMII Signal Name Description

xgmii_rx_dc[7:0] xgmii_sdr_data[7:0] Lane 0 data

xgmii_rx_dc[8] xgmii_sdr_ctrl[0] Lane 0 control

xgmii_rx_dc[16:9] xgmii_sdr_data[15:8] Lane 1 data

xgmii_rx_dc[17] xgmii_sdr_ctrl[1] Lane 1 control

xgmii_rx_dc[25:18] xgmii_sdr_data[23:16] Lane 2 data

xgmii_rx_dc[26] xgmii_sdr_ctrl[2] Lane 2 control

xgmii_rx_dc[34:27] xgmii_sdr_data[31:24] Lane 3 data

xgmii_rx_dc[35] xgmii_sdr_ctrl[3] Lane 3 control

xgmii_rx_dc[43:36] xgmii_sdr_data[39:32] Lane 4 data

xgmii_rx_dc[44] xgmii_sdr_ctrl[4] Lane 4 control

xgmii_rx_dc[52:45] xgmii_sdr_data[47:40] Lane 5 data

xgmii_rx_dc[53] xgmii_sdr_ctrl[5] Lane 5 control

xgmii_rx_dc[61:54] xgmii_sdr_data[55:48] Lane 6 data

xgmii_rx_dc[62] xgmii_sdr_ctrl[6] Lane 6 control

xgmii_rx_dc[70:63] xgmii_sdr_data[63:56] Lane 7 data

xgmii_rx_dc[71] xgmii_sdr_ctrl[7] Lane 7 control

2.6.4.6.4. GMII Interface

The GMII interface signals drive data to and from the PHY.

Table 139. GMII Interface Ports

Signal Name Direction Description

gmii_tx_d[7:0] Input Data to be encoded and sent to the link partner. This signalis clocked with tx_clkout.

gmii_tx_en Input The GMII TX control signal. Synchronous to tx_clkout.

gmii_tx_err Input The GMII TX error signal. Synchronous to tx_clkout.

gmii_rx_d[7:0] Output Data to be encoded and sent to the link partner. This signalis clocked with tx_clkout.

gmii_rx_dv Output The GMII RX control signal. Synchronous to tx_clkout.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide177

Page 178: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Signal Name Direction Description

gmii_rx_err Output The GMII RX error signal. Synchronous to tx_clkout.

led_char_err Output 10-bit character error. Asserted for one rx_clkout_1gcycle when an erroneous 10-bit character is detected.

led_link Output When asserted, this signal indicates successful linksynchronization.

led_disp_err Output When asserted, this signal indicates a 10-bit runningdisparity error. Asserted for one rx_clkout_1g cycle whena disparity error is detected. A running disparity errorindicates that errors were detected on more receivedgroups than the previous and possibly current groups.

led_an Output This signal indicates the auto-negotiation status. The PCSfunction asserts this signal when an auto-negotiationcompletes.

2.6.4.6.5. Serial Data Interface

Table 140. Serial Data Signals

Signal Name Direction Description

rx_serial_data Input RX serial input data

tx_serial_data Output TX serial output data

2.6.4.6.6. Control and Status Interfaces

Table 141. Control and Status Signals

Signal Name Direction Clock Domain Description

led_link Output Synchronous totx_clkout

When asserted, indicates successful link synchronization.

led_disp_err Output Synchronous torx_clkout

Disparity error signal indicating a 10-bit running disparityerror. Asserted for one rx_clkout_1g cycle when adisparity error is detected. A running disparity errorindicates that more than the previous and perhaps thecurrent received group had an error.

led_an Output Synchronous torx_clkout

Clause 37 Auto-negotiation status. The PCS functionasserts this signal when auto-negotiation completes.

led_panel_link Output Synchronous tomgmt_clk

When asserted, this signal indicates the followingbehavior:

Mode Behavior

1000 Base-X without Auto-negotiation

When asserted, indicatessuccessful linksynchronization.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide178

Page 179: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Signal Name Direction Clock Domain Description

Mode Behavior

SGMII mode without Auto-negotiation

When asserted, indicatessuccessful linksynchronization.

1000 Base-X with Auto-negotiation

Clause 37 Auto-negotiationstatus. The PCS functionasserts this signal whenauto-negotiation completes.

SGMII mode with MACmode Auto-negotiation

Clause 37 Auto-negotiationstatus. The PCS functionasserts this signal whenauto-negotiation completes.

rx_block_lock Output Synchronous torx_clkout

Asserted to indicate that the block synchronizer hasestablished synchronization.

rx_hi_ber Output Synchronous torx_clkout

Asserted by the BER monitor block to indicate a SyncHeader high bit error rate greater than 10-4.

rx_is_lockedtodata

Output Asynchronous signal When asserted, indicates the RX channel is locked to inputdata.

tx_cal_busy Output Synchronous tomgmt_clk

When asserted, indicates that the TX channel is beingcalibrated.

rx_cal_busy Output Synchronous tomgmt_clk

When asserted, indicates that the RX channel is beingcalibrated.

tx_pcfifo_error_1g

Output N/A When asserted, indicates that the standard PCS TX phasecompensation FIFO is either full or empty.

rx_pcfifo_error_1g

Output N/A When asserted, indicates that the Standard PCS RX phasecompensation FIFO is either full or empty.

lcl_rf Input Synchronous toxgmii_tx_clk

When asserted, indicates a Remote Fault (RF).The MACsends this fault signal to its link partner. Bit D13 of theAuto Negotiation Advanced Remote Fault register(0xC2) records this error.

rx_clkslip Input Asynchronous signal When asserted, the deserializer either skips one serial bitor pauses the serial clock for one cycle to achieve wordalignment. As a result, the period of the parallel clockcould be extended by 1 unit interval (UI) during the clockslip operation.This is an optional control input signal.

rx_data_ready Output Synchronous toxgmii_rx_clk

When asserted, indicates that the MAC can begin sendingdata to the PHY.

rx_latency_adj_10g[15:0]

Output Synchronous toxgmii_rx_clk

When you enable 1588, this signal outputs the real timelatency in XGMII clock cycles (156.25 MHz) for the RXPCS and PMA datapath for 10G mode. Bits 0 to 9represent the fractional number of clock cycles. Bits 10 to15 represent the number of clock cycles.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide179

Page 180: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Signal Name Direction Clock Domain Description

tx_latency_adj_10g[15:0]

Output Synchronous toxgmii_tx_clk

When you enable 1588, this signal outputs the real timelatency in XGMII clock cycles (156.25 MHz) for the TXPCS and PMA datapath for 10G mode. Bits 0 to 9represent the fractional number of clock cycles. Bits 10 to15 represent the number of clock cycles.

rx_latency_adj_1g[21:0]

Output Synchronous togmii_rx_clk

When you enable 1588, this signal outputs the real timelatency in GMII clock cycles (125 MHz) for the RX PCS andPMA datapath for 1G mode. Bits 0 to 9 represent thefractional number of clock cycles. Bits 10 to 21 representthe number of clock cycles.

tx_latency_adj_1g[21:0]

Output Synchronous togmii_tx_clk

When you enable 1588, this signal outputs the real timelatency in GMII clock cycles (125 MHz) for the TX PCS andPMA datapath for 1G mode. Bits 0 to 9 represent thefractional number of clock cycles. Bits 10 to 21 representthe number of clock cycles.

2.6.4.6.7. MII

Table 142. MII Signals

Name Direction

Description

MII Transmit Interface

mii_tx_d[3:0] Input MII transmit data bus.

mii_tx_en Input Assert this signal to indicate that the data on mii_tx_d[3:0]is valid.

mii_tx_err Input Assert this signal to indicate to the PHY device that the frame sent is invalid.

MII Receive Interface

mii_rx_d[3:0] Output MII receive data bus.

mii_rx_dv Output Asserted to indicate that the data on mii_rx_d[3:0]is valid. The signal staysasserted during frame reception, from the first preamble byte until the last byte ofthe CRC field is received.

mii_rx_err Output Asserted by the PHY to indicate that the current frame contains errors.

mii_col Output Collision detection. Asserted by the PCS function to indicate that a collision wasdetected during frame transmission.

mii_crs Output Carrier sense detection. Asserted by the PCS function to indicate that a transmit orreceive activity is detected on the Ethernet line.

2.6.4.6.8. Dynamic Reconfiguration Interface

You can use the dynamic reconfiguration interface signals to dynamically changebetween 1G and 10G data rates.

Table 143. Dynamic Reconfiguration Interface Signals

Signal Name Direction Clock Domain Description

rc_busy Output Synchronous tomgmt_clk

When asserted, indicates that reconfiguration is inprogress. Synchronous to the mgmt_clk. This signal isonly exposed under the following condition:

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide180

Page 181: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Signal Name Direction Clock Domain Description

• Turn on Enable internal PCS reconfiguration logic

start_pcs_reconfig

Input Synchronous tomgmt_clk

When asserted, initiates reconfiguration of the PCS.Sampled with the mgmt_clk. This signal is only exposedunder the following condition:• Turn on Enable internal PCS reconfiguration logic

mode_1g_10gbar Input Synchronous tomgmt_clk

This signal selects either the 1G or 10G tx-parallel-datagoing to the PCS. It is only used for the 1G/10Gapplication (variant) under the following circumstances:• the Sequencer (auto-rate detect) is not enabled• 1G mode is enabled

2.6.4.7. Avalon-MM Register Interface

The Avalon-MM slave interface signals provide access to all registers.

Table 144. Avalon-MM Interface Signals

Signal Name Direction Clock Domain Description

mgmt_clk Input Clock The clock signal that controls the Avalon-MM PHY managementinterface. If you plan to use the same clock for the PHYmanagement interface and transceiver reconfiguration, you mustrestrict the frequency to 100-125 MHz to meet the specificationfor the transceiver reconfiguration clock.

mgmt_clk_reset

Input Asynchronous reset Resets the PHY management interface. This signal is active highand level sensitive.

mgmt_addr[10:0]

Input Synchronous tomgmt_clk

11-bit Avalon-MM address.

mgmt_writedata[31:0]

Input Synchronous tomgmt_clk

Input data.

mgmt_readdata[31:0]

Output Synchronous tomgmt_clk

Output data.

mgmt_write Input Synchronous tomgmt_clk

Write signal. Active high.

mgmt_read Input Synchronous tomgmt_clk

Read signal. Active high.

mgmt_waitrequest

Output Synchronous tomgmt_clk

When asserted, indicates that the Avalon-MM slave interface isunable to respond to a read or write request. When asserted,control signals to the Avalon-MM slave interface must remainconstant.

Related Information

Avalon Interface Specifications

2.6.4.7.1. 1G/10GbE Register Definitions

The Avalon-MM master interface signals provide access to the control and statusregisters.

The following table specifies the control and status registers that you can access overthe Avalon-MM interface. A single address space provides access to all registers.

Note: Unless otherwise indicated, the default value of all registers is 0.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide181

Page 182: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Note: Do not write to any register that is not specified.

Table 145. 1G/10GbE Register Definitions

WordAddr

Bit R/W Name Description

0x4B0 0 RW Reset SEQ When set to 1, resets the 10GBASE-KR sequencer (auto ratedetect logic), initiates a PCS reconfiguration, and may restartAuto-Negotiation (AN), Link Training (LT), or both if AN and LT areenabled (10GBASE-KR mode). SEQ Force Mode[2:0] forces thesemodes. This reset self clears.

1 RW Disable AN Timer AN disable timer. If disabled ( Disable AN Timer = 1) , ANmay get stuck and require software support to remove theABILITY_DETECT capability if the link partner does not includethis feature. In addition, software may have to take the link out ofloopback mode if the link is stuck in the ACKNOWLEDGE_DETECTstate. To enable this timer set Disable AN Timer = 0.

2 RW Disable LF Timer When set to 1, disables the Link Fail timer. When set to 0, theLink Fault timer is enabled.

3 RW fail_lt_if_ber When set to 1, the last LT measurement is a non-zero number.Treat this as a failed run. 0 = Normal.

7:4 RW SEQ Force Mode[2:0] Other than the "No force" mode (0x4B0[7:4] = 4'b0000), youmust write the Reset SEQ (0x4B0[0]) to 1 when switching to therequired data mode by changing (forcing) the 0x4B0[7:4] bits.The following encodings are defined:• 0000: No force• 0001: GbE• 0010: XAUI• 0100: 10GBASE-R• 0101: 10GBASE-KR• 1100: 10GBASE-KR FEC

8 RW Enable Arria 10Calibration

When set to 1, it enables the Arria 10 HSSI reconfigurationcalibration as part of the PCS dynamic reconfiguration. 0 skips thecalibration when the PCS is reconfigured.

16 RW KR FEC enable 171.0 When set to 1, FEC is enabled. When set to 0, FEC is disabled.Resets to the CAPABLE_FEC parameter value.

17 RW KR FEC enable errind 171.1

When set to 1, KR PHY FEC decoding errors are signaled to thePCS. When set to 0, FEC errors are not signaled to the PCS. SeeClause 74.8.3 of IEEE 802.3ap-2007 for details.

18 RW KR FEC request When set to 1, enables the FEC request. When this bit changes,you must assert the Reset SEQ bit (0x4B0[0]) to renegotiatewith the new value. When set to 0, disables the FEC request.

0x4B1 0 R SEQ Link Ready When asserted, the sequencer indicates the link is ready.

1 R SEQ AN timeout When asserted, the sequencer has had an AN timeout. This bit islatched and is reset when the sequencer restarts AN.

2 R SEQ LT timeout When set, indicates that the sequencer has had a timeout.

13:8 R SEQ ReconfigMode[5:0]

Specifies the sequencer mode for PCS reconfiguration. Thefollowing modes are defined:• Bit 8, mode[0]: AN mode• Bit 9, mode[1]: LT Mode• Bit 10, mode[2]: 10G data mode• Bit 11, mode[3]: GbE data mode• Bit 12, mode[4]: Reserved for XAUI• Bit13, mode[5]: 10G FEC mode

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide182

Page 183: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

WordAddr

Bit R/W Name Description

16 R KR FEC ability170.0

When set to 1, indicates that the 10GBASE-KR PHY supports FEC.Set as parameter SYNTH_FEC. For more information, refer toClause 45.2.1.84 of IEEE 802.3ap-2007.

17 R KR FEC err indability 170.0

When set to 1, indicates that the 10GBASE-KR PHY is capable ofreporting FEC decoding errors to the PCS. For more information,refer to Clause 74.8.3 of IEEE 802.3ap-2007.

0x4B2 0:10 RW Reserved —

11 RWSC KR FEC TX ErrorInsert

Writing a 1 inserts one error pulse into the TX FEC depending onthe transcoder and burst error settings.

31:15 RWSC Reserved —

0x4B5to0x4BF

Reserved for 40G KR Intentionally left empty for address compatibility with 40G MAC +PHY KR solutions.

0x4C0 (36)

0 RW AN enable When set to 1, enables the AN function. The default value is 1.For additional information, refer to bit 7.0.12 in Clause 73.8Management Register Requirements of IEEE 802.3ap-2007.

1 RW AN base pages ctrl When set to 1, the user base pages are enabled. You can sendany arbitrary data via the user base page low/high bits. When setto 0, the user base pages are disabled and the state machinegenerates the base pages to send.

2 RW AN next pages ctrl When set to 1, the user next pages are enabled. You can sendany arbitrary data via the user next page low/high bits. When setto 0, the user next pages are disabled. The state machinegenerates the null message to send as next pages.

3 RW Local device remotefault

When set to 1, the local device signals Remote Faults in the ANpages. When set to 0, a fault has not occurred.

4 RW Force TX noncevalue

When set to 1, forces the TX nonce value to support some UNHtesting modes. When set to 0, this is normal operation.

5 RW Override ANParameters Enable

When set to 1, overrides the AN_TECH, AN_FEC, and AN_PAUSEparameters and uses the bits in 0x4C3 instead. You must resetthe Sequencer to reconfigure and restart into AN mode. When setto 0, this is normal operation and is used with 0x4B0 bit 0 and0x4C3 bits[30:16].

0x4C1 0 RW Reset AN When set to 1, resets all the 10GBASE-KR AN state machines.This bit is self clearing.

4 RW Restart AN TX SM When set to 1, restarts the 10GBASE-KR TX state machine. Thisbit self clears and is active only when the TX state machine is inthe AN state. For more information, refer to bit 7.0.9 in Clause73.8 Management Register Requirements of IEEE 802.3ap-2007.

8 RW AN Next Page When asserted, new next page (NP) info is ready to send. Thedata is in the XNP TX registers. When 0, the TX interface sendsnull pages. This bit self clears. NP is encoded in bit D15 of LinkCodeword. For more information, refer to Clause 73.6.9 and bit7.16.15 of Clause 45.2.7.6 of IEEE 802.3ap-2007.

0x4C2 1 RO AN page received When set to 1, a page has been received. When 0, a page has notbeen received. The current value clears when the register is read.For more information, refer to bit 7.1.6 in Clause 73.8 of IEEE802.3ap-2007.

continued...

(36) These register bits are only applicable to the 10GBASE-KR mode.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide183

Page 184: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

WordAddr

Bit R/W Name Description

2 RO AN Complete When asserted, AN has completed. When 0, AN is in progress. Formore information, refer to bit 7.1.5 in Clause 73.8 of IEEE802.3ap-2007.

3 RO AN ADV Remote Fault When set to 1, fault information has been sent to the link partner.When 0, a fault has not occurred. The current value clears whenthe register is read. Remote Fault (RF) is encoded in bit D13 ofthe base Link Codeword. For more information, refer to Clause73.6.7 of and bit 7.16.13 of IEEE 802.3ap-2007.

4 RO AN RX SM Idle When set to 1, the AN state machine is in the idle state. Incomingdata is not Clause 73 compatible. When 0, the AN is in progress.

5 RO AN Ability When set to 1, the transceiver PHY is able to perform AN. Whenset to 0, the transceiver PHY is not able to perform AN. If yourvariant includes AN, this bit is tied to 1. For more information,refer to bits 7.1.3 and 7.48.0 of Clause 45 of IEEE 802.3ap-2007.

6 RO AN Status When set to 1, link is up. When 0, the link is down. The currentvalue clears when the register is read. For more information, referto bit 7.1.2 of Clause 45 of IEEE 802.3ap-2007.

7 RO LP AN Ability When set to 1, the link partner is able to perform AN. When 0,the link partner is not able to perform AN. For more information,refer to bit 7.1.0 of Clause 45 of IEEE 802.3ap-2007.

8 RO FEC negotiated –enable FEC from SEQ

When set to 1, the PHY is negotiated to perform FEC. When set to0, the PHY is not negotiated to perform FEC.

0x4C2 9 RO Seq AN Failure When set to 1, a sequencer AN failure has been detected. Whenset to 0, an AN failure has not been detected.

17:12 RO KR AN LinkReady[5:0]

Provides a one-hot encoding of an_receive_idle = true andlink status for the supported link as described in Clause 73.10.1.The following encodings are defined:• 6'b000000: 1000BASE-KX• 6'b000001: 10GBASE-KX4• 6'b000100: 10GBASE-KR• 6'b001000: 40GBASE-KR4• 6'b010000: 40GBASE-CR4• 6'b100000: 100GBASE-CR10

0x4C3 15:0 RW User base page low The AN TX state machine uses these bits if the AN base pages ctrlbit is set. The following bits are defined:• [15]: Next page bit• [14]: ACK, controlled by the SM• [13]: Remote Fault bit• [12:10]: Pause bits• [9:5]: Echoed nonce, set by the state machine• [4:0]: SelectorThe auto generation TX state machine generates the PRBS bit 49.

21:16 RW OverrideAN_TECH[5:0]

AN_TECH value to override. The following bits are defined:• Bit-16 = AN_TECH[0]= 1000BASE-KX• Bit-18 = AN_TECH[2] = 10GBASE-KRYou must set 0xC0 bit-5 for this to take effect .

25:24 RW OverrideAN_FEC[1:0]

AN_FEC value to override. The following bits are defined:• Bit-24 = AN_FEC [0] = Capability• Bit-25 = AN_FEC [1] = RequestYou must set 0xC0 bit-5 for this to take effect.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide184

Page 185: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

WordAddr

Bit R/W Name Description

30:28 RW OverrideAN_PAUSE[2:0]

AN_PAUSE value to override. The following bits are defined:• Bit-28 = AN_PAUSE [0] = Pause Ability• Bit-29 = AN_PAUSE [1] = Asymmetric Direction• Bit-30 = AN_PAUSE [2] = ReservedYou must set 0xC0 bit-5 for this to take effect.

0x4C4 31:0 RW User base page high The AN TX state machine uses these bits if the AN base pages ctrlbit is set. The following bits are defined:• [29:5]: Correspond to page bits 45:21, the technology ability.• [4:0]: Correspond to bits 20:16, the TX nonce bits.The AN TX state machine generates the PRBS bit 49.

0x4C5 15:0 RW User Next page low The AN TX state machine uses these bits if the AN next pages ctrlbit is set. The following bits are defined:• [15]: Next page bit• [14]: ACK, controlled by the state machine• [13]: Message Page (MP) bit• [12]: ACK2 bit• [11]: Toggle bitFor more information, refer to Clause 73.7.7.1 Next Pageencodings of IEEE 802.3ap-2007. Bit 49, the PRBS bit, isgenerated by the AN TX state machine.

0x4C6 31:0 RW User Next page high The AN TX state machine uses these bits if the AN next pages ctrlbit is set. Bits [31:0] correspond to page bits [47:16]. Bit 49, thePRBS bit, is generated by the AN TX state machine.

0x4C7 15:0 RO LP base page low The AN RX state machine receives these bits from the linkpartner. The following bits are defined:• [15] Next page bit• [14] ACK, which is controlled by the state machine• [13] RF bit• [12:10] Pause bits• [9:5] Echoed nonce which are set by the state machine• [4:0] Selector

0x4C8 31:0 RO LP base page high The AN RX state machine received these bits from the linkpartner. The following bits are defined:• [31:30]: Reserved• [29:5]: Correspond to page bits [45:21], the technology

ability• [4:0]: Correspond to bits [20:16], the TX Nonce bits

0x4C9 15:0 RO LP Next page low The AN RX state machine receives these bits from the linkpartner. The following bits are defined:• [15]: Next page bit• [14]: ACK which is controlled by the state machine• [13]: MP bit• [12] ACK2 bit• [11] Toggle bitFor more information, refer to Clause 73.7.7.1 Next Pageencodings of IEEE 802.3ap-2007.

0x4CA 31:0 RO LP Next page high The AN RX state machine receives these bits from the linkpartner. Bits [31:0] correspond to page bits [47:16].

0x4CB 24:0 RO AN LP ADVTech_A[24:0]

Received technology ability field bits of Clause 73 Auto-Negotiation. The 10GBASE-KR PHY supports A0 and A2. Thefollowing protocols are defined:

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide185

Page 186: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

WordAddr

Bit R/W Name Description

• A0 1000BASE-KX• A1 10GBASE-KX4• A2 10GBASE-KR• A3 40GBASE-KR4• A4 40GBASE-CR4• A5 100GBASE-CR10• A24:6 are reservedFor more information, refer to Clause 73.6.4 and AN LP base pageability registers (7.19-7.21) of Clause 45 of IEEE 802.3ap-2007.

26:25 RO AN LP ADVFEC_F[1:0]

Received FEC ability bits (F0:F1) is encoded in bits D46:D47 ofthe base Link Codeword. F0 is FEC ability. F1 is FEC requested.See Clause 73.6.5 of IEEE 802.3ap-2007 for details.

27 RO AN LP ADV RemoteFault

Received Remote Fault (RF) ability bits. RF is encoded in bit D13of the base link codeword in Clause 73 AN. For more information,refer to Clause 73.6.7 and bits AN LP base page ability registerAN LP base page ability registers (7.19-7.21) of Clause 45 of IEEE802.3ap-2007.

30:28 RO AN LP ADV PauseAbility_C[2:0]

Received pause ability bits. Pause (C0:C1) is encoded in bitsD11:D10 of the base link codeword in Clause 73 AN as follows:• C0 is the same as PAUSE as defined in Annex 28B• C1 is the same as ASM_DIR as defined in Annex 28B• C2 is reservedFor more information, refer to bits AN LP base page abilityregisters (7.19-7.21) of Clause 45 of IEEE 802.3ap-2007.

0x4D0 0 RW Link Trainingenable

When 1, enables the 10GBASE-KR start-up protocol. When 0,disables the 10GBASE-KR start-up protocol. The default value is1. For more information, refer to Clause 72.6.10.3.1 and10GBASE-KR PMD control register bit (1.150.1) of IEEE802.3ap-2007.

1 RW dis_max_wait_tmr When set to 1, disables the LT max_wait_timer . Used forcharacterization mode when setting much longer bit error rate(BER) timer values.

2 RW quick_mode When set to 1, only the init and preset values calculate the bestBER.

3 RW pass_one When set to 1, the BER algorithm considers more than the firstlocal minimum when searching for the lowest BER. The defaultvalue is 1.

7:4 RW main_step_cnt [3:0] Specifies the number of equalization steps for each main tapupdate. There are about 20 settings for the internal algorithm totest. The valid range is 1-15. The default value is 4'b0010.

11:8 RW prpo_step_cnt [3:0] Specifies the number of equalization steps for each pre- and post-tap update. From 16-31 steps are possible. The default value is4'b0001.

0x4D0 14:12 RW equal_cnt [2:0] Adds hysteresis to the error count to avoid local minimums. Thefollowing values are defined:• 000 = 0• 001 = 1• 010 = 2• 011 = 3• 100 = 4• 101 = 8• 110 = 16• 111 = Reserved

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide186

Page 187: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

WordAddr

Bit R/W Name Description

The default value is 010.

15 RW disable InitializePMA onmax_wait_timeout

When set to 1, PMA values (VOD, pre-tap, post-tap) are notinitialized upon entry into the Training_Failure state. Thishappens when max_wait_timer_done, which setstraining_failure = true (reg 0x4D2 bit 3). Used forUniversity of New Hampshire (UNH) testing. When set to 0, PMAvalues are initialized upon entry into Training_Failure state.Refer to Figure 72-5 of IEEE 802.3ap-2007 for more details.

16 RW Ovride LP Coefenable

When set to 1, overrides the link partner's equalizationcoefficients; software changes the update commands sent to thelink partner TX equalizer coefficients. When set to 0, uses the LinkTraining logic to determine the link partner coefficients. Used with0x4D1 bit-4 and 0x4D4 bits[7:0].

17 RW Ovride Local RXCoef enable

When set to 1, overrides the local device equalization coefficientsgeneration protocol. When set, the software changes the local TXequalizer coefficients. When set to 0, uses the update commandreceived from the link partner to determine local devicecoefficients. Used with 0x4D1 bit-8 and 0x4D4 bits[23:16]. Thedefault value is 1.

0x4D0 22 RW adp_ctle_mode Reserved. Default = 000

28:24 RW Manual ctle Reserved

31:29 RW max_post_step[2:0] Reserved

0x4D1 0 RW Restart Linktraining

When set to 1, resets the 10GBASE-KR start-up protocol. Whenset to 0, continues normal operation. This bit self clears. For moreinformation, refer to the state variable mr_restart_training asdefined in Clause 72.6.10.3.1 and 10GBASE-KR PMD controlregister bit (1.150.0) IEEE 802.3ap-2007.

4 RW Updated TX Coef new When set to 1, there are new link partner coefficients available tosend. The LT logic starts sending the new values set in 0x4D4bits[7:0] to the remote device. When set to 0, continues normaloperation. This bit self clears. Must enable this override in 0x4D0bit 16.

8 RW Updated RX coef new When set to 1, new local device coefficients are available. The LTlogic changes the local TX equalizer coefficients as specified in0x4D4 bits[23:16]. When set to 0, continues normal operation.This bit self clears. Must enable the override in 0x4D0 bit17.

0x4D2 0 RO Link Trained -Receiver status

When set to 1, the receiver is trained and is ready to receivedata. When set to 0, receiver training is in progress. For moreinformation, refer to the state variable rx_trained as defined inClause 72.6.10.3.1 and bit 10GBASE-KR PMD control register bit10GBASE_KR PMD status register bit (1.151.0) of IEEE802.3ap-2007.

1 RO Link Training Framelock

When set to 1, the training frame delineation has been detected.When set to 0, the training frame delineation has not beendetected. For more information, refer to the state variableframe_lock as defined in Clause 72.6.10.3.1 and 10GBASE_KRPMD status register bit 10GBASE_KR PMD status register bit(1.151.1) of IEEE 802.3ap-2007.

2 RO Link TrainingStart-up protocolstatus

When set to 1, the start-up protocol is in progress. When set to 0,start-up protocol has completed. For more information, refer tothe state training as defined in Clause 72.6.10.3.1 and10GBASE_KR PMD status register bit (1.151.2) of IEEE802.3ap-2007.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide187

Page 188: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

WordAddr

Bit R/W Name Description

3 RO Link Trainingfailure

When set to 1, a training failure has been detected. When set to0, a training failure has not been detected. For more information,refer to the state variable training_failure as defined in Clause72.6.10.3.1 and bit 10GBASE_KR PMD status register bit(1.151.3) of IEEE 802.3ap-2007.

4 RO Link Training Error When set to 1, excessive errors occurred during Link Training.When set to 0, the BER is acceptable.

5 RO Link Training Framelock Error

When set to 1, indicates a frame lock was lost during LinkTraining. If the tap settings specified by the fields of 0x4D5 arethe same as the initial parameter value, the frame lock error wasunrecoverable.

6 RO RXEQ Frame LockLoss

Frame lock not detected at some point during RXEQ, possiblytriggering conditional RXEQ mode.

7 RO CTLE Fine-grainedTuning Error

Could not determine the best CTLE due to maximum BER limit ateach step in the fine-grained tuning mode.

0x4D3 9:0 RW ber_time_frames Specifies the number of training frames to examine for bit errorson the link for each step of the equalization settings. Used onlywhen ber_time_k_frames is 0. The following values aredefined:• A value of 2 is about 103 bytes• A value of 20 is about 104 bytes• A value of 200 is about 105 bytesThe default value for simulation is 2'b11. The default value forhardware is 0.

19:10 RW ber_time_k_frames Specifies the number of thousands of training frames to examinefor bit errors on the link for each step of the equalization settings.Set ber_time_m_frames = 0 for time/bits to match thefollowing values:• A value of 3 is about 107 bits = About 1.3 ms• A value of 25 is about 108 bits = About 11 ms• A value of 250 is about 109 bits = About 110 msThe default value for simulation is 0. The default value forhardware is 0x415.

29:20 RW ber_time_m_frames Specifies the number of millions of training frames to examine forbit errors on the link for each step of the equalization settings.Set ber_time_k_frames = 4'd1000 = 0x43E8 for time/bits tomatch the following values:• A value of 3 is about 1010 bits = About 1.3 seconds• A value of 25 is about 10 11 bits = About 11 seconds• A value of 250 is about 1012 bits = About 110 seconds

0x4D4 5:0 RO orRW

LD coefficientupdate[5:0]

Reflects the contents of the first 16-bit word of the training framesent from the local device control channel. Normally, the bits inthis register are read-only; however, when you override trainingby setting the Ovride Coef enable control bit, these bitsbecome writable. The following fields are defined:• [5: 4]: Coefficient (+1) update

— 2'b11: Reserved— 2'b01: Increment— 2'b10: Decrement— 2'b00: Hold

• [3:2]: Coefficient (0) update (same encoding as [5:4])• [1:0]: Coefficient (-1) update (same encoding as [5:4])

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide188

Page 189: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

WordAddr

Bit R/W Name Description

For more information, refer to bit 10G BASE-KR LD coefficientupdate register bits (1.154.5:0) in Clause 45.2.1.80.3 of IEEE802.3ap-2007.

6 RO orRW

LD InitializeCoefficients

When set to 1, requests the link partner coefficients be set toconfigure the TX equalizer to its INITIALIZE state. When set to 0,continues normal operation. For more information, refer to 10GBASE-KR LD coefficient update register bits (1.154.12) in Clause45.2.1.80.3 and Clause 72.6.10.2.3.2 of IEEE 802.3ap-2007.

7 RO orRW

LD PresetCoefficients

When set to 1, requests the link partner coefficients be set to astate where equalization is turned off. When set to 0 the linkoperates normally. For more information, refer to bit 10GBASE-KRLD coefficient update register bit (1.154.13) in Clause 45.2.1.80.3and Clause 72.6.10.2.3.2 of IEEE 802.3ap-2007.

13:8 RO LD coefficientstatus[5:0]

Status report register for the contents of the second, 16-bit wordof the training frame most recently sent from the local devicecontrol channel. The following fields are defined:• [5:4]: Coefficient (post-tap)

— 2'b11: Maximum— 2'b01: Minimum— 2'b10: Updated— 2'b00: Not updated

• [3:2]: Coefficient (0) (same encoding as [5:4])• [1:0]: Coefficient (pre-tap) (same encoding as [5:4])For more information, refer to bit 10GBASE-KR LD status reportregister bit (1.155.5:0) in Clause 45.2.1.81 of IEEE802.3ap-2007.

0x4D4 14 RO Link Training ready- LD Receiver ready

When set to 1, the local device receiver has determined thattraining is complete and it is prepared to receive data. When setto 0, the local device receiver requests that training continue.Values for the receiver ready bit are defined in Clause72.6.10.2.4.4. For more information, refer to bit 10GBASE-KR LDstatus report register bit (1.155.15) in Clause 45.2.1.81 of IEEE802.3ap-2007.

21:16 RO orRW

LP coefficientupdate[5:0]

Reflects the contents of the first 16-bit word of the training framemost recently received from the control channel.Normally the bits in this register are read only; however, whentraining is disabled by setting low the KR training enable controlbit, these bits become writable. The following fields are defined:• [5: 4]: Coefficient (+1) update

— 2'b11: Reserved— 2'b01: Increment— 2'b10: Decrement— 2'b00: Hold

• [3:2]: Coefficient (0) update (same encoding as [5:4])• [1:0]: Coefficient (-1) update (same encoding as [5:4])For more information, refer to bit 10GBASE-KR LP coefficientupdate register bits (1.152.5:0) in Clause 45.2.1.78.3 of IEEE802.3ap-2007.

22 RO orRW

LP InitializeCoefficients

When set to 1, the local device transmit equalizer coefficients areset to the INITIALIZE state. When set to 0, normal operationcontinues. The function and values of the initialize bit are definedin Clause 72.6.10.2.3.2. For more information, refer to bit10GBASE-KR LP coefficient update register bits (1.152.12) inClause 45.2.1.78.3 of IEEE 802.3ap-2007.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide189

Page 190: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

WordAddr

Bit R/W Name Description

0x4D4 23 RO orRW

LP PresetCoefficients

When set to 1, the local device TX coefficients are set to a statewhere equalization is turned off. Preset coefficients are used.When set to 0, the local device operates normally. The functionand values of the preset bit are defined in 72.6.10.2.3.1. Thefunction and values of the initialize bit are defined in Clause72.6.10.2.3.2. For more information, refer to bit 10GBASE-KR LPcoefficient update register bits (1.152.13) in Clause 45.2.1.78.3of IEEE 802.3ap-2007.

29:24 RO LP coefficientstatus[5:0]

Status report register reflecting the contents of the second, 16-bitword of the training frame most recently received from thecontrol channel. The following fields are defined:• [5:4]: Coefficient (+1)

— 2'b11: Maximum— 2'b01: Minimum— 2'b10: Updated— 2'b00: Not updated

• [3:2]: Coefficient (0) (same encoding as [5:4])• n [1:0]: Coefficient (-1) (same encoding as [5:4])For more information, refer to bit 10GBASE-KR LP status reportregister bits (1.153.5:0) in Clause 45.2.1.79 of IEEE802.3ap-2007.

30 RO LP Receiver ready When set to 1, the link partner receiver has determined thattraining is complete and is prepared to receive data. When set to0, the link partner receiver is requesting that training continue.Values for the receiver ready bit are defined in Clause72.6.10.2.4.4. For more information, refer to bit 10GBASE-KR LPstatus report register bits (1.153.15) in Clause 45.2.1.79 of IEEE802.3ap-2007.

0x4D5 4:0 R LT VOD setting Stores the most recent VOD setting that LT specified. It reflectsLink Partner commands to fine-tune the VOD.

13:8 R LT Post-tap setting Stores the most recent post-tap setting that LT specified. Itreflects Link Partner commands to fine-tune the TX pre-emphasistaps.

20:16 R LT Pre-tap setting Stores the most recent pre-tap setting that LT specified. Itreflects Link Partner commands to fine-tune the TX pre-emphasistaps.

0x4D5 27:24 R RXEQ CTLE Setting Most recent ctle_rc setting sent to the reconfig bundle duringRX equalization.

29:28 R RXEQ CTLE Mode Most recent ctle_mode setting sent to the reconfig bundleduring RX equalization.

31:30 R RXEQ DFE Mode Most recent dfe_mode setting sent to the reconfig bundle duringRX equalization.

0x4D6 4:0 RW LT VODMAX ovrd Override value for the VMAXRULE parameter. When enabled, thisvalue substitutes for the VMAXRULE to allow channel-by-channeloverride of the device settings. This only effects the local deviceTX output for the channel specified.This value must be greater than the INITMAINVAL parameter forproper operation. Note this also overrides the PREMAINVALparameter value.

5 RW LT VODMAX ovrdEnable

When set to 1, enables the override value for the VMAXRULEparameter stored in the LT VODMAX ovrd register field.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide190

Page 191: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

WordAddr

Bit R/W Name Description

12:8 RW LT VODMin ovrd Override value for the VODMINRULE parameter. When enabled,this value substitutes for the VMINRULE to allow channel-by-channel override of the device settings. This override only effectsthe local device TX output for this channel.The value to be substituted must be less than the INITMAINVALparameter and greater than the VMINRULE parameter for properoperation.

13 RW LT VODMin ovrdEnable

When set to 1, enables the override value for the VODMINRULEparameter stored in the LT VODMin ovrd register field.

21:16 RW LT VPOST ovrd Override value for the VPOSTRULE parameter. When enabled, thisvalue substitutes for the VPOSTRULE to allow channel-by-channeloverride of the device settings. This override only effects the localdevice TX output for this channel.The value to be substituted must be greater than theINITPOSTVAL parameter for proper operation.

22 RW LT VPOST ovrdEnable

When set to 1, enables the override value for the VPOSTRULEparameter stored in the LT VPOST ovrd register field.

28:24 RW LT VPre ovrd Override value for the VPRERULE parameter. When enabled, thisvalue substitutes for the VPOSTRULE to allow channel-by-channeloverride of the device settings. This override only effects the localdevice TX output for this channel.The value greater than the INITPREVAL parameter for properoperation.

29 RW LT VPre ovrd Enable When set to 1, enables the override value for the VPRERULEparameter stored in the LT VPre ovrd register field.

0x4D7to0x4FF

Reserved for 40G KR Left empty for address compatibility with 40G MAC+PHY KRsolution.

Related Information

Reconfiguration Interface and Dynamic Reconfiguration on page 502

2.6.4.7.2. Hard Transceiver PHY Registers

Table 146. Hard Transceiver PHY Registers

Addr Bit Access Name Description

0x000-0x3FF

[9:0] RW Access to HSSIregisters

All registers in the physical coding sub-layer (PCS) and physicalmedia attachment (PMA) that you can dynamically reconfigureare in this address space. Refer to the Arria 10 DynamicTransceiver Reconfiguration chapter for further information.

Related Information

Reconfiguration Interface and Dynamic Reconfiguration on page 502

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide191

Page 192: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.6.4.7.3. Enhanced PCS Registers

Table 147. Enhanced PCS Registers

Addr Bit Access Name Description

0x480 31:0 RW Indirect_addr Because the PHY implements a single channel, thisregister must remain at the default value of 0 to specifylogical channel 0.

0x481 2 RW RCLR_ERRBLK_CNT Error block counter clear register. When set to 1, clearsthe RCLR_ERRBLK_CNT register. When set to 0, normaloperation continues.

3 RW RCLR_BER_COUNT BER counter clear register. When set to 1, clears theRCLR_BER_COUNT register. When set to 0, normaloperation continues.

0x482 1 RO HI_BER High BER status. When set to 1, the PCS reports a highBER. When set to 0, the PCS does not report a highBER.

2 RO BLOCK_LOCK Block lock status. When set to 1, the PCS is locked toreceived blocks. When set to 0, the PCS is not locked toreceived blocks.

3 RO TX_FIFO_FULL When set to 1, the TX_FIFO is full.

4 RO RX_FIFO_FULL When set to 1, the RX_FIFO is full.

7 RO Rx_DATA_READY When set to 1, indicates the PHY is ready to receivedata.

2.6.4.7.4. Arria 10 GMII PCS Registers

Addr Bit R/W Name Description

0x490 9 RW RESTART_AUTO_ NEGOTIATION Set this bit to 1 to restart the Clause 37 auto-negotiation (AN) sequence. For normal operation, setthis bit to the default 0 value. This bit is self-clearing.

12 RW AUTO_NEGOTIATION_ ENABLE Set this bit to 1 to enable Clause 37 AN. The defaultvalue is 1.

15 RW Reset Set this bit to 1 to generate a synchronous reset pulsewhich resets all the PCS state machines, commadetection function, and the 8B/10B encoder anddecoder. For normal operation, set this bit to 0. Thisbit self clears.

0x491 2 R LINK_STATUS A value of 1 indicates that a valid link is operating. Avalue of 0 indicates an invalid link. If linksynchronization is lost, this bit is 0.

3 R AUTO_NEGOTIATION_ ABILITY A value of 1 indicates that the PCS function supportsClause 37 AN.

5 R AUTO_NEGOTIATION_ COMPLETE A value of 1 indicates the following status:• The AN process is complete.• The AN control registers are valid.

0x494(1000BASE-X mode)

5 RW FD Full-duplex mode enable for the local device. Set to 1for full-duplex support.

6 RW HD Half-duplex mode enable for the local device. Set to 1for half-duplex support. This bit should always be setto 0 for the KR PHY IP.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide192

Page 193: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Addr Bit R/W Name Description

8:7 RW PS2,PS1 Pause support for the local device. The followingencodings are defined for PS1/PS2:• PS1=0 / PS2=0: Pause is not supported• PS1=0 / PS2=1: Asymmetric pause toward link

partner• PS1=1 / PS2=0: Symmetric pause• PS1=1 / PS2=1: Pause is supported on TX and RX

13:12

RW RF2,RF1 Remote fault condition for local device. The followingencodings are defined for RF1/RF2:• RF1=0 / RF2=0: No error, link is valid (reset

condition)• RF1=0 / RF2=1: Offline• RF1=1 / RF2=0: Failure condition• RF1=1 / RF2=1: AN error

14 R0 ACK Acknowledge for local device. A value of 1 indicatesthat the device has received three consecutivematching ability values from its link partner.

15 RW NP Next page. In the device ability register, this bit isalways set to 0.

0x495(1000BASE-X mode)

5 R FD Full-duplex mode enable for the link partner. This bitmust be 1 because only full duplex is supported.

6 R HD Half-duplex mode enable for the link partner. A valueof 1 indicates support for half duplex. This bit must be0 because half-duplex mode is not supported.

8:7 R PS2,PS1 Specifies pause support for link partner. The followingencodings are defined for PS1/PS2:• PS1=0 / PS2=0: Pause is not supported• PS1=0 / PS2=1: Asymmetric pause toward link

partner• PS1=1 / PS2=0: Symmetric pause• PS1=1 / PS2=1: Pause is supported on TX and RX

13:12

R RF2,RF1 Remote fault condition for link partner. The followingencodings are defined for RF1/RF2:• RF1=0 / RF2=0: No error, link is valid (reset

condition)• RF1=0 / RF2=1: Offline• RF1=1 / RF2=0: Failure condition• RF1=1 / RF2=1: AN error

14 R ACK Acknowledge for link partner. A value of 1 indicatesthat the device has received three consecutivematching ability values from its link partner.

15 R NP Next page. In link partner register. When set to 0, thelink partner has a Next Page to send. When set to 1,the link partner does not send a Next Page. Next Pageis not supported in AN.

0x494(SGMIImode)

14 RO ACK Local device acknowledge. Value as specified in IEEE802.3z standard.

0x495(SGMIImode)

11:10

RO Speed[1:0] Link partner speed:• 00: copper interface speed is 10 Mbps• 01: copper interface speed is 100 Mbps• 10: copper interface speed is 1 Gigabit• 11: reserved

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide193

Page 194: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Addr Bit R/W Name Description

12 RO COPPER_DUPLEX_STATUS Link partner capability:• 1: copper interface is capable of full-duplex

operation• 0: copper interface is capable of half-duplex

operationNote: The PHY IP Core does not support half duplex

operation because it is not supported in SGMIImode of the 1G/10G PHY IP core.

14 RO ACK Link partner acknowledge. Value as specified in IEEE802.3z standard.

15 RO COPPER_LINK_STATUS Link partner status:• 1: copper interface link is up• 0: copper interface link is down

0x496 0 R LINK_PARTNER_AUTO_NEGOTIATION_ABLE

Set to 1, indicates that the link partner supports AN.The default value is 0.

1 R PAGE_RECEIVE A value of 1 indicates that a new page has beenreceived with new partner ability available in theregister partner ability. The default value is 0 whenthe system management agent performs a readaccess.

0x4A2 15:0

RW Link timer[15:0] Low-order 16 bits of the 21-bit auto-negotiation linktimer. Each timer step corresponds to 8 ns (assuminga 125 MHz clock). The total timer corresponds to 16ms. The reset value sets the timer to 10 ms forhardware mode and 10 us for simulation mode.

0x4A3 4:0 RW Link timer[20:16] High-order 5 bits of the 21-bit auto-negotiation linktimer.

0x4A4 0 RW SGMII_ENA Determines the PCS function operating mode. Settingthis bit to 1b'1 enables SGMII mode. Setting this bitto 1b'0 enables 1000BASE-X gigabit mode.

1 RW USE_SGMII_AN In SGMII mode, setting this bit to 1b'1 configures thePCS with the link partner abilities advertised duringauto-negotiation. If this bit is set to 1b'0, the PCSfunction should be configured with the SGMII_SPEEDand SGMII_DUPLEX bits.

3:2 RW SGMII_SPEED SGMII speed. When the PCS operates in SGMII mode(SGMII_ENA = 1) and is not programmed forautomatic configuration (USE_SGMII_AN = 0), thefollowing encodings specify the speed :• 2'b00: 10 Mbps• 2'b01: 100 Mbps• 2'b10: Gigabit• 2'b11: ReservedThese bits are not used when SGMII_ENA = 0orUSE_SGMII_AN = 1.

4 RW SGMII half-duplex When set to 1, enables half-duplex mode for 10/100Mbps speed. This bit is ignored when SGMII_ENA = 0or USE_SGMII_AN = 1. These bits are only valid whenyou enable the SGMII mode only and not theclause-37 auto-negotiation mode.

2.6.4.7.5. PMA Registers

The PMA registers allow you to reset the PMA, customize the TX and RX serial datainterface, and provide status information.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide194

Page 195: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 148. 1G Data Mode

Addr Bit R/W Name Description

0x4A8 0 RW tx_invpolarity When set, the TX interface inverts the polarity ofthe TX data to the 8B/10B encoder.

1 RW rx_invpolarity When set, the RX channels inverts the polarity ofthe received data to the 8B/10B decoder.

2 RW rx_bitreversal_enable When set, enables bit reversal on the RX interfaceto the word aligner.

3 RW rx_bytereversal_enable When set, enables byte reversal on the RX interfaceto the byte deserializer.

4 RW force_electrical_idle When set, forces the TX outputs to electrical idle.

0x4A9 0 R rx_syncstatus When set, the word aligner is synchronized.

1 R rx_patterndetect GbE word aligner detected comma.

2 R rx_rlv Run length violation.

3 R rx_rmfifodatainserted Rate match FIFO inserted code group.

4 R rx_rmfifodatadeleted Rate match FIFO deleted code group.

5 R rx_disperr RX 8B10B disparity error.

6 R rx_errdetect RX 8B10B error detected.

Table 149. PMA Registers

Address Bit R/W Name Description

0x444 1 RW reset_tx_digital Writing a 1 asserts the internal TX digital reset signal. Youmust write a 0 to clear the reset condition.

2 RW reset_rx_analog Writing a 1 causes the internal RX analog reset signal to beasserted. You must write a 0 to clear the reset condition.

3 RW reset_rx_digital Writing a 1 causes the internal RX digital reset signal to beasserted. You must write a 0 to clear the reset condition.

0x461 0 RW phy_serial_loopback Writing a 1 puts the channel in serial loopback mode.

0x464 0 RW pma_rx_set_locktodata

When set, programs the RX clock data recovery (CDR) PLLto lock to the incoming data.

0x465 0 RW pma_rx_set_locktoref

When set, programs the RX CDR PLL to lock to the referenceclock.

0x466 0 RO pma_rx_is_lockedtodata

When asserted, indicates that the RX CDR PLL is locked tothe RX data, and that the RX CDR has changed from LTR toLTD mode.

0x467 0 RO pma_rx_is_lockedtoref

When asserted, indicates that the RX CDR PLL is locked tothe reference clock.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide195

Page 196: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.6.4.7.6. Speed Change Summary

Table 150. Speed Change Summary

Speed Change Speed Change Method Detailed Information

1GbE and 10GBASE-R Interface Signals • Refer to Dynamic ReconfigurationInterface.

• Figure 77 on page 197

SGMII (10M, 100M and 1GbE) Avalon-MM bus Table 124 on page 160

1GbE, 10GBASE-R, and 10GBASE-Rwith FEC

Avalon-MM bus Table 145 on page 182

Note: You can configure the static speed while generating the IP core using the IP ParameterEditor.

Related Information

Dynamic Reconfiguration Interface on page 148

2.6.4.8. Creating a 1G/10GbE Design

Follow these steps to create a 1G/10GbE design using the 1G/10GbE PHY IP.

1. Generate the 1G/10GbE PHY with the required parameterization.

The 1G/10GbE PHY IP Core includes reconfiguration logic. This logic provides theAvalon-MM interface that you can use to read and write to PHY registers. All readand write operations must adhere to the Avalon specification.

2. Instantiate a reset controller using the Transceiver Reset Controller Intel FPGA IPCore in the IP Catalog. Connect the power and reset signals between the 1G/10GbE PHY and the reset controller.

3. Instantiate one TX PLL for the 1G data rate and one TX PLL for the 10G data rate.Connect the high speed serial clock and PLL lock signals between 1G/10GbE PHYand TX PLLs. You can use any combination of fPLLs, ATX, or CMU PLLs.

4. Use the tx_pma_divclk from 1G/10GbE PHY or generate a fPLL to create the156.25 MHz XGMII clock from the 10G reference clock.

No Memory Initialization Files (.mif) are required for the 1G/10GbE design in Arria10 devices.

5. Complete the design by creating a top level module to connect all the IP (1G/10GbE PHY IP, PLL IP and Reset Controller) blocks.

Related Information

• fPLL on page 359

• CMU PLL on page 368

• ATX PLL on page 350

• Using the Transceiver PHY Reset Controller on page 433

• 1G/10GbE PHY Functional Description on page 166

2.6.4.9. Design Guidelines

Consider the following guidelines while designing with 1G/10GbE PHY.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide196

Page 197: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Using the 1G/10GbE PHY without the Sequencer

The sequencer brings up channel-based initial datapath and performs paralleldetection. To use the 1G/10GbE PHY without the sequencer, turn off the Enableautomatic speed detection parameter.

Turning off the sequencer results in the following additional ports:

• rc_busy

• start_pcs_reconfig

• mode_1g_10gbar

These ports perform manual reconfiguration. The following figure shows how theseports are used for 1G and 10G configuration.

Figure 77. Timing for Reconfiguration without the Sequencer

mgmt_clk

rc_busy

start_pcs_reconfig

mode_1g_10bar

2.6.4.10. Channel Placement Guidelines

The channels of multi-channel 1G/10G designs do not need to be placed contiguously.However, channels instantiated in different transceiver banks require PLLs in the samebank.

Related Information

Arria 10 Avalon-MM Interface for PCIe* Solutions

2.6.4.11. Design Example

Intel provides a design example to assist you in integrating your Ethernet PHY IP intoyour complete design.

The MAC and PHY design example instantiates the 1G/10GbE PHY IP along with the1G/10G Ethernet MAC and supporting logic. It is part of the Quartus II softwareinstallation and is located in the <quartus2_install_dir>/ip subdirectory. For moreinformation about this example design, refer to the 10-Gbps Ethernet MAC IP FunctionUser Guide.

A design example that instantiates the 1G/10G PHY and its supporting logic isavailable on the Intel FPGA wiki. The following figure shows the block diagram of the1G/10GbE PHY-only design example. The default configuration includes two channelsfor backplane Ethernet and two channels for line-side (1G/10G) applications.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide197

Page 198: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 78. 1G/10GbE PHY Only Design Example

Native Hard PHY

STDRX PCS

TX PMA

RX PMA

STDTX PCS

10-GBTX PCS

10-GBRX PCS

Divide

1588 SoftFIFOs

GMIIRS

Auto Negcls 73

Link Trainingcls 72

KR PHY IP

Sequencer

NFReconfiguration

Registers CSRAvalon-MM Slave

Native Hard PHY

STDRX PCS

TX PMA

RX PMA

STDTX PCS

10-GBTX PCS

10-GBRX PCS

Divide

1588 SoftFIFOs

GMIIRS

Auto Negcls 73

Link Trainingcls 72

KR PHY IP

Sequencer

NFReconfiguration

Registers CSRAvalon-MM Slave

Native Hard PHY

STDRX PCS

TX PMA

RX PMA

STDTX PCS

10-GBTX PCS

10-GBRX PCS

Divide

1588 SoftFIFOs

GMIIRS

Auto Negcls 73

Link Trainingcls 72

KR PHY IP

Sequencer

NFReconfiguration

Registers CSRAvalon-MM Slave

Native Hard PHY

STDRX PCS

TX PMA

RX PMA

STDTX PCS

10-GBTX PCS

10-GBRX PCS

Divide

1588 SoftFIFOs

GMIIRS

1G/10GbE PHY IP

Sequencer

A10Reconfiguration

Registers CSRAvalon-MM Slave

XGMIICLK FPLL

1G Ref CLKCMU PLL

10G Ref CLKATX PLL

ResetControl

ResetControl

ResetControl

ResetControl

CH0: PHY_ADDR = 0x0 nnnCH1: PHY_ADDR = 0x1 nnnCH2: PHY_ADDR = 0x2 nnnCH3: PHY_ADDR = 0x3 nnn

A10_IP_WRAPPER

XGMIISource

XGMIISink

XGMIIGEN

XGMIICHK ...

Test Harness

XGMIISource

XGMIISink

XGMIIGEN

XGMIICHK ...

Test Harness

TH0_ADDR = 0xF nnn

TH1_ADDR = 0xE nnnManagement

MasterJTAG-to-

Avalon-MMMaster

ISSP

Clock andReset

A10_DE_WRAPPER

Related Information

Arria 10 Transceiver PHY Design Examples

2.6.4.12. Simulation Support

The 1G/10GbE and 10GBASE-KR PHY IP core supports the following Intel-supportedsimulators for this Quartus Prime software release:

• ModelSim Verilog

• ModelSim VHDL

• VCS Verilog

• VCS VHDL

• NCSIM Verilog

• NCSIM VHDL simulation

When you generate a 1G/10GbE or 10GBASE-KR PHY IP core, the Quartus Primesoftware optionally generates an IP functional simulation model.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide198

Page 199: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.6.4.13. TimeQuest Timing Constraints

To pass timing analysis, you must decouple the clocks in different time domains. Thenecessary Synopsys Design Constraints File (.sdc) timing constraints are included inthe top-level wrapper file.

2.6.5. 1G/2.5G/5G/10G Multi-rate Ethernet PHY IP Core

2.6.5.1. About 1G/2.5G/5G/10G Multi-rate Ethernet PHY IP Core

The 1G/2.5G/5G/10G Multi-rate Ethernet PHY IP core implements the Ethernetprotocol as defined in Clause 36 of the IEEE 802.3 2005 Standard. The PHY IP coreconsists of a physical coding sublayer (PCS) function and an embedded physical mediaattachment (PMA). You can dynamically switch the PHY operating speed.

Figure 79. Block Diagram of the PHY IP Core

125-MHz Reference Clock

Soft PCS Hard PCS PMA

ConfigurationRegisters

Reconfiguration Block

Avalon-MMInterface

TXGMII / XGMII

1G/2.5G/5G/10G Multi-rate Ethernet PHYNative PHY Hard IP

TX Serial

RX Serial

Hard IP

Soft Logic

Legend

Intel Device with Serial Transceivers

LL Ethernet 10G MAC

UserApplication

TX Serial Clock

PLLfor 1 GbE

PLLfor 2.5 GbE

PLLfor 10 GbE

RXGMII / XGMII

322-MHz Reference Clock

ExternalPHY

RX CDR Reference Clock

Transceiver Reset

Controller

Related Information

• Using the Arria 10 Transceiver Native PHY IP Core on page 45

• Recommended Reset Sequence on page 418

• Low Latency Ethernet 10G MAC User GuideDescribes the Low Latency Ethernet 10G MAC IP Core.

2.6.5.1.1. Features

Table 151. PHY Features

Feature Description

Multiple operating speeds 1G, 2.5G, 5G, and 10G.

MAC-side interface 16-bit GMII for 1G and 2.5G.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide199

Page 200: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Feature Description

32-bit XGMII for 1G/2.5G/5G/10G (USXGMII).

64-bit XGMII for 10G.

Network-side interface 1.25 Gbps for 1G.

3.125 Gbps for 2.5G.

10.3125 Gbps for 1G/2.5G/5G/10G (USXGMII).

Avalon® Memory-Mapped (Avalon-MM)interface

Provides access to the configuration registers of the PHY.

PCS function 1000BASE-X for 1G and 2.5G.

10GBASE-R for 10G.

USXGMII PCS for 1G/2.5G/5G/10G

Auto-negotiation Implements clause 37. Supported in 1GbE only.USXGMII Auto-negotiation supported in the 1G/2.5G/5G/10G (USXGMII)configuration.

IEEE 1588v2 Provides the required latency to the MAC if the MAC enables the IEEE1588v2 feature.

Sync-E Provides the clock for Sync-E implementation.

2.6.5.1.2. Release Information

Table 152. PHY Release Information

Item Description

Version 16.0

Release Date May 2016

Ordering Codes IP-10GMRPHY

Product ID 00E4

Vendor ID 6AF7

Open Core Plus Supported

2.6.5.1.3. Device Family Support

Device Family Operating Mode Support Level

Arria 10 2.5G1G/2.5G1G/2.5G/5G/10G

Final

Arria V GX/GT/SX/ST 2.5G1G/2.5G

Final

Other device families No support

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide200

Page 201: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Definition: Device Support Level

Intel FPGA IP cores provide the following support for Intel FPGA device families:

• Preliminary support—Intel verifies the IP core with preliminary timing models forthis device family. The IP core meets all functional requirements, but might still beundergoing timing analysis for the device family. This IP core can be used inproduction designs with caution.

• Final support—Intel verifies the IP core with final timing models for this devicefamily. The IP core meets all functional and timing requirements for the devicefamily. This IP core is ready to be used in production designs.

2.6.5.1.4. Resource Utilization

The following estimates are obtained by compiling the PHY IP core with the IntelQuartus Prime software.

Table 153. Resource Utilization

Device Speed ALMs ALUTs Logic Registers Memory Block

Arria 10 1G/2.5G 550 750 1200 2 (M20K)

1G/2.5G with IEEE1588v2 enabled

1200 1850 2550 2 (M20K)

1G/2.5G/5G/10G 1150 1500 2550 6 (M20K)

1G/2.5G/5G/10G(USXGMII)

650 800 1500 3 (M20K)

2.6.5.2. Using the IP Core

The Intel FPGA IP Library is installed as part of the Intel Quartus Prime installationprocess. You can select the 1G/2.5G/5G/10G Multi-rate Ethernet IP core from thelibrary and parameterize it using the IP parameter editor.

2.6.5.2.1. Parameter Settings

You customize the PHY IP core by specifying the parameters in the parameter editor inthe Intel Quartus Prime software. The parameter editor enables only the parametersthat are applicable to the selected speed.

Table 154. 1G/2.5G/5G/10G Multi-rate Ethernet PHY IP Core Parameters

Name Value Description

Speed 2.5G1G/2.5G

1G/2.5G/5G/10G

The operating speed of the PHY.

Enable IEEE 1588 PrecisionTime Protocol

On, Off Select this parameter for the PHY to provide latencyinformation to the MAC. The MAC requires thisinformation if it enables the IEEE 1588v2 feature.This parameter is enabled only for 2.5G and 1G/2.5G.

Connect to MGBASE-T PHY On, Off Select this option when the external PHY is MGBASE-Tcompatible.This parameter is enabled for 2.5G, 1G/2.5G, and 1G/2.5G/10G (MGBASE-T) modes.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide201

Page 202: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Name Value Description

Connect to NBASE-T PHY On, Off Select this option when the external PHY is NBASE-Tcompatible.This parameter is enabled for 1G/2.5G/5G/10G(USXGMII) modes.

PHY ID (32 bit) 32-bit value An optional 32-bit unique identifier:• Bits 3 to 24 of the Organizationally Unique Identifier

(OUI) assigned by the IEEE• 6-bit model number• 4-bit revision numberIf unused, do not change the default value, which is0x00000000.

Reference clock frequency for10 GbE (MHz)

322.265625, 644.53125 Specify the frequency of the reference clock for 10GbE.

Selected TX PMA local clockdivision factor for 1 GbE

1, 2, 4, 8 This parameter is the local clock division factor in the 1Gmode. It is directly mapped to the Native PHY IP CoreGUI options.

Selected TX PMA local clockdivision factor for 2.5 GbE

1, 2 This parameter is the local clock division factor in the2.5G mode. It is directly mapped to the Native PHY IPCore GUI options.

Enable Altera Debug MasterEndpoint

On, Off Available in Native PHY and TX PLL IP parameter editors.When enabled, the Altera Debug Master Endpoint(ADME) is instantiated and has access to the Avalon-MMinterface of the Native PHY. You can access certain testand debug functions using System Console with theADME. Refer to the Embedded Debug Features sectionfor more details about ADME.

Enable capability registers On, Off Available in Native PHY and TX PLL IP parameter editors.Enables capability registers. These registers providehigh-level information about the transceiver channel's /PLL's configuration.

Set user-defined IP identifier User-specified Available in Native PHY and TX PLL IP parameter editors.Sets a user-defined numeric identifier that can be readfrom the user_identifier offset when the capabilityregisters are enabled.

Enable control and statusregisters

On, Off Available in Native PHY and TX PLL IP parameter editors.Enables soft registers for reading status signals andwriting control signals on the PHY /PLL interface throughthe ADME or reconfiguration interface.

Enable PRBS softaccumulators

On, Off Available in Native PHY IP parameter editor only.Enables soft logic to perform PRBS bit and erroraccumulation when using the hard PRBS generator andchecker.

Related Information

Embedded Debug Features on page 544

2.6.5.2.2. Timing Constraints

Constrain the PHY based on the fastest speed. For example, if you configure the PHYas 1G/2.5G, constrain it based on 2.5G.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide202

Page 203: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

For the 1G/2.5G/5G/10G operating mode, constrain the PHY for the 10G datapath aswell as the 1G/2.5G datapath. Refer to the example provided:

<Installation Directory>/ip/altera/ethernet/alt_mge_phy/example/alt_mge_phy_multi_speed_10g.sdc.

2.6.5.2.3. Changing the PHY's Speed

You can change the PHY's speed through the reconfiguration block.

1. The user application initiates the speed change by writing to the correspondingregister of the reconfiguration block.

2. The reconfiguration block performs the following steps:

• In Arria 10 devices:

a. Sets the xcvr_mode signal of the 1G/2.5G/5G/10G Multi-rate EthernetPHY IP core to the requested speed.

b. Reads the generated .mif file for the configuration settings and configuresthe transceiver accordingly.

The .mif files, alt_mge_phy_reconfig_parameters_CFG*.mif, aregenerated in the <IP working directory>/altera_xcvr_native_a10_<version>/synth/reconfig directory.

c. Selects the corresponding transceiver PLL.

d. Triggers the transceiver recalibration.

3. The reconfiguration block triggers the PHY reset through the transceiver resetcontroller.

2.6.5.3. Configuration Registers

You can access the 16-bit configuration registers via the Avalon-MM interface. Theseconfiguration registers apply only to 2.5G and 1G/2.5G operating modes.

Observe the following guidelines when accessing the registers:

• Do not write to reserved or undefined registers.

• When writing to the registers, perform read-modify-write to ensure that reservedor undefined register bits are not overwritten.

Table 155. PHY Register Definitions

Addr Name Description Access HW ResetValue

0x00 control • Bit [15]: RESET. Set this bit to 1 to trigger a softreset.The PHY clears the bit when the reset is completed.The register values remain intact during the reset.

RWC 0

• Bit[14]: LOOPBACK. Set this bit to 1 to enableloopback on the serial interface.

RW 0

• Bit [12]: AUTO_NEGOTIATION_ENABLE. Set this bitto 1 to enable auto-negotiation.Auto-negotiation is supported only in 1GbE.Therefore, set this bit to 0 when you switch to aspeed other than 1GbE.

RW 0

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide203

Page 204: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Addr Name Description Access HW ResetValue

• Bit [9]: RESTART_AUTO_NEGOTIATION. Set this bitto 1 to restart auto-negotiation.The PHY clears the bit as soon as auto-negotiation isrestarted.

RWC 0

• The rest of the bits are reserved. — —

0x01 status • Bit [5]: AUTO_NEGOTIATION_COMPLETE. A value of"1" indicates that the auto-negotiation is completed.

RO 0

• Bit [3]: AUTO_NEGOTIATION_ABILITY. A value of"1" indicates that the PCS function supports auto-negotiation.

RO 1

• Bit [2]: LINK_STATUS. A value of "0" indicates thatthe link is lost. Value of 1 indicates that the link isestablished.

RO 0

• The rest of the bits are reserved. — —

0x02:0x03 phy_identifier The value set in the PHY_IDENTIFIER parameter. RO Value ofPHY_IDEN

TIFIERparameter

0x04 dev_ability Use this register to advertise the device abilities duringauto-negotiation.

— —

• Bits [13:12]: RF. Specify the remote fault.— 00: No error.— 01: Link failure.— 10: Offline.— 11: Auto-negotiation error.

RW 00

• Bits [8:7]: PS. Specify the PAUSE support.— 00: No PAUSE.— 01: Symmetric PAUSE.— 10: Asymmetric PAUSE towards the link partner.— 11: Asymmetric and symmetric PAUSE towards

the link device.

RW 11

• Bit [5]: FD. Ensure that this bit is always set to 1. RW 1

• The rest of the bits are reserved. — —

0x05 partner_ability The device abilities of the link partner during auto-negotiation.

— —

• Bit [14]: ACK. A value of "1" indicates that the linkpartner has received three consecutive matchingability values from the device.

RO 0

• Bits [13:12]: RF. The remote fault.— 00: No error.— 01: Link failure.— 10: Offline.— 11: Auto-negotiation error.

RO 0

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide204

Page 205: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Addr Name Description Access HW ResetValue

• Bits [8:7]: PS. The PAUSE support.— 00: No PAUSE.— 01: Symmetric PAUSE.— 10: Asymmetric PAUSE towards the link partner.— 11: Asymmetric and symmetric PAUSE towards

the link device.

RO 0

• Bit [6]: HD. A value of "1" indicates that half-duplexis supported.

RO 0

• Bit [5]: FD. A value of "1" indicates that full-duplexis supported.

RO 0

• The rest of the bits are reserved. — —

0x06 an_expansion The PCS capabilities and auto-negotiation status. — —

Bit [1]: PAGE_RECEIVE. A value of "1" indicates thatthe partner_ability register has been updated. This bit isautomatically cleared once it is read.

RO 0

Bit [0]: LINK_PARTNER_AUTO_NEGOTIATION_ABLE. Avalue of "1" indicates that the link partner supportsauto-negotiation.

RO 0

0x07 device_next_pageThe PHY does not support the next page feature. Theseregisters are always set to 0.

RO 0

0x08 partner_next_page

RO 0

0x09:0x0F Reserved — — —

0x10 scratch Provides a memory location to test read and writeoperations.

RW 0

0x11 rev The current version of the PHY IP core. RO Currentversion ofthe PHY

0x12:0x13 link_timer 21-bit auto-negotiation link timer.• Offset 0x12: link_timer[15:0]. Bits [8:0] are always

be set to 0.• Offset 0x13: link_timer[20:16] occupies the lower 5

bits. The remaining 11 bits are reserved and mustalways be set to 0.

RW 0

0x14:0x1F Reserved — — —

0x400 usxgmii_control Control Register — —

Bit [0]: USXGMII_ENA:• 0: 10GBASE-R mode• 1: USXGMII mode

RW 0x0

Bit [1]: USXGMII_AN_ENA is used when USXGMII_ENAis set to 1:• 0: Disables USXGMII Auto-Negotiation and manually

configures the operating speed with theUSXGMII_SPEED register.

• 1: Enables USXGMII Auto-Negotiation, andautomatically configures operating speed with linkpartner ability advertised during USXGMII Auto-Negotiation.

RW 0x1

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide205

Page 206: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Addr Name Description Access HW ResetValue

Bit [4:2]: USXGMII_SPEED is the operating speed ofthe PHY in USXGMII mode and USE_USXGMII_AN is setto 0.• 3’b000: Reserved• 3’b001: Reserved• 3’b010: 1G• 3’b011: 10G• 3’b100: 2.5G• 3’b101: 5G• 3’b110: Reserved• 3’b111: Reserved

RW 0x0

Bit [8:5]: Reserved — —

Bit [9]: RESTART_AUTO_NEGOTIATIONWrite 1 to restart Auto-Negotiation sequence The bit iscleared by hardware when Auto-Negotiation isrestarted.

RWC(hardw

areself-clear)

0x0

Bit [15:10]: Reserved — —

Bit [30:16]: Reserved — —

0x401 usxgmii_status Status Register — —

Bit [1:0]: Reserved — —

Bit [2]: LINK_STATUS indicates link status for USXGMIIall speeds• 1: Link is established• 0: Link synchronization is lost, a 0 is latched

RO 0x0

Bit [3]: Reserved — —

Bit [4]: Reserved — —

Bit [5]: AUTO_NEGOTIATION_COMPLETEA value of 1 indicates the Auto-Negotiation process iscompleted.

RO 0x0

Bit [15:6]: Reserved — —

Bit [31:16]: Reserved — —

0x402:0x404 Reserved — — —

0x405 usxgmii_partner_ability

Device abilities advertised to the link partner duringAuto-Negotiation

— —

Bit [0]: Reserved — —

Bit [6:1]: Reserved — —

Bit [7]: EEE_CLOCK_STOP_CAPABILITYIndicates whether or not energy efficient ethernet (EEE)clock stop is supported.• 0: Not supported• 1: Supported

RO 0x0

Bit [8]: EEE_CAPABILITYIndicates whether or not EEE is supported.• 0: Not supported• 1: Supported

RO 0x0

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide206

Page 207: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Addr Name Description Access HW ResetValue

Bit [11:9]: SPEED• 3'b000: 10M• 3'b001: 100M• 3'b010: 1G• 3'b011: 10G• 3'b100: 2.5G• 3'b101: 5G• 3'b110: Reserved• 3'b111: Reserved

RO 0x0

Bit [12]: DUPLEXIndicates the duplex mode.• 0: Half duplex• 1: Full duplex

RO 0x0

Bit [13]: Reserved — —

Bit [14]: ACKNOWLEDGEA value of 1 indicates that the device has received threeconsecutive matching ability values from its link partner.

RO 0x0

Bit [15]: LINKIndicates the link status.• 0: Link down• 1: Link up

RO 0x0

Bit [31:16]: Reserved — —

0x406:0x411 Reserved — — —

0x412 usxgmii_link_timer

Auto-Negotiation link timer. Sets the link timer value inbit [19:14] from 0 to 2 ms in approximately 0.05-mssteps. You must program the link timer to ensure that itmatches the link timer value of the external NBASE-TPHY IP Core.The reset value sets the link timer to approximately 1.6ms.Bits [13:0] are reserved and always set to 0.

[19:14]: RW

[13:0]:RO

[19:14]:0x1F

[13:0]:0x0

0x413:0x41F Reserved — — —

0x461 phy_serial_loopback

Configures the transceiver serial loopback in the PMAfrom TX to RX.

— —

Bit [0]• 0: Disables the PHY serial loopback• 1: Enables the PHY serial loopback

RW 0x0

Bit [15:1]: Reserved — —

Bit [31:16]: Reserved — —

2.6.5.3.1. Register Map

You can access the 16-bit/32-bit configuration registers (37) via the Avalon-MMinterface.

(37) These registers are identical to the Intel Arria 10 variation of 1G/2.5G/5G/10G Multi-rateEthernet PHY IP core.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide207

Page 208: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 156. Register Map

Address Range Usage Bit Configuration

0x00 : 0x1F 1000BASE-X/SGMII 16

2.5G, 1G/2.5G, 10M/100M/1G/2.5, 10M/100M/1G/2.5G/10G

(MGBASE-T), 1G/2.5G/10G(MGBASE-T)

0x400 : 0x41F USXGMII 32 1G/2.5G/5G/10G (USXGMII)

0x461 Serial Loopback 32 1G/2.5G/5G/10G (USXGMII)

2.6.5.3.2. Definition: Register Access

Table 157. Types of Register Access

Access Definition

RO Read only.

RW Read and write.

RWC Read, and write and clear. The user application writes 1 to the register bit(s) to invoke a definedinstruction. The IP core clears the bit(s) upon executing the instruction.

2.6.5.4. Interface Signals

Figure 80. PHY Interface SignalsPHY

resetpll_powerdowntx_digitalresetrx_digitalresettx_analogresetrx_analogreset

Reset

csr_clkcsr_address[4:0]csr_writecsr_readcsr_writedata[] (i)csr_readdata[] (i)csr_waitrequest

Avalon-MMControl & Status

Interface

rx_is_lockedtodatatx_cal_busyrx_cal_busy

Transceiver Status &Reconfiguration Interface

reconfig_clk

reconfig_writereconfig_address[9:0]

reconfig_reset

reconfig_readdata[31:0]reconfig_writedata[31:0]

reconfig_read

reconfig_waitrequest

Arria 10

xcvr_mode[1:0]operating_speed[2:0]latency_measure_clk

gmii16b_tx_d[15:0]gmii16b_tx_en[1:0]gmii16b_tx_err[1:0]

tx_clkout

TX GMII

gmii16b_tx_latency[21:0]rx_clkout

RX GMIIgmii16b_rx_d[15:0]gmii16b_rx_dv[1:0]gmii16b_rx_err[1:0]

gmii16b_rx_latency[21:0]

xgmii_tx_control[7:0]xgmii_tx_data[63:0]

xgmii_tx_coreclkin

TX XGMII

xgmii_rx_control[7:0]xgmii_rx_data[63:0]

xgmii_rx_coreclkin

RX XGMII

led_linkled_char_errled_disp_err

StatusInterface

led_anrx_block_lock

tx_serial_clkrx_cdr_refclk

tx_serial_datarx_serial_data

SerialInterface

rx_cdr_refclk1rx_pma_clkout

xgmii_tx_valid

xgmii_rx_valid

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide208

Page 209: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.6.5.4.1. Clock and Reset Signals

Table 158. Clock and Reset Signals

Signal Name Direction Width Description

Clock signals

tx_clkout Output 1 GMII TX clock, derived fromtx_serial_clk[1:0]. Provides 156.25 MHztiming reference for 2.5GbE; 62.5 MHz for 1GbE.

rx_clkout Output 1 GMII RX clock, derived fromtx_serial_clk[1:0]. Provides 156.25 MHztiming reference for 2.5GbE; 62.5 MHz for 1GbE.

csr_clk Input 1 Clock for the Avalon-MM control and statusinterface. Intel recommends 125 – 156.25 MHz forthis clock.

xgmii_tx_coreclkin Input 1 XGMII TX clock. Provides 156.25 MHz timingreference for 10GbE and 312.5 MHz for 1G/2.5G/5G/10G (USXGMII) mode. Synchronous totx_serial_clk with zero ppm.

xgmii_rx_coreclkin Input 1 XGMII RX clock. Provides 156.25 MHz timingreference for 10GbE and 312.5 MHz for 1G/2.5G/5G/10G (USXGMII) mode.

latency_measure_clk Input 1 Sampling clock for measuring the latency of the16-bit GMII datapath. This clock operates at 80MHz and is available only when the IEEE 1588v2feature is enabled.

tx_serial_clk Input 1-3 Serial clock from transceiver PLLs.• 2.5GbE: Connect bit [0] to the transceiver PLL.

This clock operates at 1562.5 MHz.• 1GbE: Connect bit [1] to the transceiver PLL.

This clock operates at 625 MHz.• 10GbE: Connect bit [2] to the transceiver PLL.

This clock operates at 5156.25 MHz.• 1G/2.5G/5G/10G (USXGMII) mode: Connect bit

[0] to 5156.25 MHz.

rx_cdr_refclk Input 1 125-MHz RX CDR reference clock for 1GbE and2.5GbE

rx_cdr_refclk_1 Input 1 RX CDR reference clock for 10GbE. The frequencyof this clock can be either 322.265625 MHz or644.53125 MHz, as specified by the Referenceclock frequency for 10 GbE (MHz) parametersetting.

rx_pma_clkout Output 1 Recovered clock from CDR, operates at thefollowing frequency:• 1GbE: 125 MHz• 2.5GbE: 312.5 MHz• 5GbE/10GbE: 322.265625 MHz

Reset signals

reset Input 1 Active-high global reset. Assert this signal totrigger an asynchronous global reset.

tx_analogreset Input 1 Connect this signal to the Transceiver PHY ResetController IP core. When asserted, triggers anasynchronous reset to the analog block on the TXpath.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide209

Page 210: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Signal Name Direction Width Description

tx_digitalreset Input 1 Connect this signal to the Transceiver PHY ResetController IP core. When asserted, triggers anasynchronous reset to the digital logic on the TXpath.

rx_analogreset Input 1 Connect this signal to the Transceiver PHY ResetController IP core. When asserted, triggers anasynchronous reset to the receiver CDR.

rx_digitalreset Input 1 Connect this signal to the Transceiver PHY ResetController IP core. When asserted, triggers anasynchronous reset to the digital logic on the RXpath.

2.6.5.4.2. Operating Mode and Speed Signals

Table 159. Transceiver Mode and Operating Speed Signals

Signal Name Direction Width Description

xcvr_mode Input 2 Connect this signal to the reconfiguration block.Use the values below to set the speed:• 0x0 = 1G• 0x1 = 2.5G• 0x3 = 10G

operating_speed Output 3 Connect this signal to the MAC. This signalprovides the current operating speed of the PHY:• 0x0 = 10G• 0x1 = 1G• 0x4 = 2.5G• 0x5 = 5G

2.6.5.4.3. GMII Signals

The 16-bit TX and RX GMII supports 1GbE and 2.5GbE at 62.5 MHz and 156.25 MHzrespectively.

Table 160. GMII Signals

Signal Name Direction Width Description

TX GMII signals—synchronous to tx_clkout

gmii16b_tx_d Input 16 TX data from the MAC. The MAC sends the lowerbyte first followed by the upper byte.

gmii16b_tx_en Input 2 When asserted, indicates the start of a new framefrom the MAC. Bit[0] corresponds togmii16b_tx_d[7:0]; bit[1] corresponds togmii16b_tx_d[15:8].This signal remains asserted until the PHY receivesthe last byte of the data frame.

gmii16b_tx_err Input 2 When asserted, indicates an error. Bit[0]corresponds to gmii16b_tx_err[7:0]; bit[1]corresponds to gmii16b_tx_err[15:8].The bits can be asserted at any time during aframe transfer to indicate an error in the currentframe.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide210

Page 211: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Signal Name Direction Width Description

gmii16b_tx_latency Output 22 The latency of the PHY excluding the PMA block onthe TX datapath:• Bits [21:10]: The number of clock cycles.• Bits [9:0]: The fractional number of clock

cycles.This signal is available when only the Enable IEEE1588 Precision Time Protocol parameter isselected.

RX GMII signals—synchronous to rx_clkout

gmii16b_rx_d Output 16 RX data to the MAC. The PHY sends the lower bytefirst followed by the upper byte. Rate matching isdone by the PHY on the RX data from the RXrecovered clock to rx_clkout.

gmii16b_rx_err Output 2 When asserted, indicates an error. Bit[0]corresponds to gmii16b_rx_err[7:0]; bit[1]corresponds to gmii16b_rx_err[15:8].The bits can be asserted at any time during aframe transfer to indicate an error in the currentframe.

gmii16b_rx_dv Output 2 When asserted, indicates the start of a new frame.Bit[0] corresponds to gmii16b_rx_d[7:0]; bit[1]corresponds to gmii16b_rx_d[15:8].This signal remains asserted until the PHY sendsthe last byte of the data frame.

gmii16b_rx_latency Output 22 The latency of the PHY excluding the PMA block onthe RX datapath:• Bits [21:10]: The number of clock cycles.• Bits [9:0]: The fractional number of clock

cycles.This signal is available only when the Enable IEEE1588 Precision Time Protocol parameter isselected.

2.6.5.4.4. XGMII Signals

The XGMII supports 10GbE at 156.25 MHz.

Table 161. XGMII Signals

Signal Name Direction Width Description

TX XGMII signals—synchronous to xgmii_tx_coreclkin

xgmii_tx_data Input 64, 32 TX data from the MAC. The MAC sends the data inthe following order: bits[7:0], bits[15:8], and soforth.The width is:• 64 bits for 1G/2.5G/10G configurations.• 32 bits for 1G/2.5G/5G/10G configurations.

xgmii_tx_control Input 8, 4 TX control from the MAC:• xgmii_tx_control[0] corresponds to

xgmii_tx_data[7:0]• xgmii_tx_control[1] corresponds to

xgmii_tx_data[15:8]• and so forth.The width is:

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide211

Page 212: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Signal Name Direction Width Description

• 8 bits for 1G/2.5G/10G configurations.• 4 bits for 1G/2.5G/5G/10G configurations.

xgmii_tx_valid Output 1 Indicates valid data on xgmii_tx_control andxgmii_tx_data from the MAC.Your logic/MAC must toggle the valid data asshown below:

Speed Toggle Rate

1G Asserted once every 10clock cycles

2.5G Asserted once every 4clock cycles

5G Asserted once every 2clock cycles

10G Always asserted

RX XGMII signals—synchronous to xgmii_rx_coreclkin

xgmii_rx_data Output 64, 32 RX data to the MAC. The PHY sends the data in thefollowing order: bits[7:0], bits[15:8], and so forth.The width is:• 64 bits for 1G/2.5G/10G configurations.• 32 bits for 1G/2.5G/5G/10G configurations.

xgmii_rx_control Output 8, 4 RX control to the MAC.• xgmii_rx_control[0] corresponds to

xgmii_rx_data[7:0]• xgmii_rx_control[1] corresponds to

xgmii_rx_data[15:8]• and so forth.The width is:• 8 bits for 1G/2.5G/10G configurations.• 4 bits for 1G/2.5G/5G/10G configurations.

xgmii_rx_valid Output 1 Indicates valid data on xgmii_rx_control andxgmii_rx_data from the MAC.The toggle rate from the PHY is shown in the tablebelow.Note: The toggle rate may vary when the start of

a packet is received or when rate matchoccurs inside the PHY. You should notexpect the valid data pattern to be fixed.

Speed Toggle Rate

1G Asserted once every 10clock cycles

2.5G Asserted once every 4clock cycles

5G Asserted once every 2clock cycles

10G Always asserted

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide212

Page 213: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.6.5.4.5. Status Signals

Table 162. Status Signals

Signal Name Direction ClockDomain

Width Description

led_char_err Output 1 Asserted when a 10-bit character error isdetected in the RX data.

led_link Output Synchronoustotx_clkout

1 Asserted when the link synchronization for1GbE or 2.5GbE is successful

led_disp_err Output Synchronoustorx_clkout

1 Asserted when a 10-bit running disparityerror is detected in the RX data.

led_an Output Synchronoustorx_clkout

1 Asserted when auto-negotiation iscompleted.

rx_block_lock Output Synchronoustorx_clkout

1 Asserted when the link synchronization for10GbE is successful.

2.6.5.4.6. Serial Interface Signals

The serial interface connects to an external device.

Table 163. Serial Interface Signals

Signal Name Direction Width Description

tx_serial_data Output 1 TX data.

rx_serial_data Input 1 RX data.

2.6.5.4.7. Transceiver Status and Reconfiguration Signals

Table 164. Control and Status Signals

Signal Name Direction Width Description

rx_is_lockedtodata Output 1 Asserted when the CDR is locked to the RX data.

tx_cal_busy Output 1 Asserted when TX calibration is in progress.

rx_cal_busy Output 1 Asserted when RX calibration is in progress.

Transceiver reconfiguration signals for Arria 10 devices

reconfig_clk Input 1 Reconfiguration signals connected to thereconfiguration block. The reconfig_clk signalprovides the timing reference for this interface.reconfig_reset Input 1

reconfig_address Input 10

reconfig_write Input 1

reconfig_read Input 1

reconfig_writedata Input 32

reconfig_readdata Output 32

reconfig_waitrequest Output 1

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide213

Page 214: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.6.5.4.8. Avalon-MM Interface Signals

The Avalon-MM interface is an Avalon-MM slave port. This interface uses wordaddressing and provides access to the 16-bit configuration registers of the PHY.

Table 165. Avalon-MM Interface Signals

Signal Name Direction Width Description

csr_address Input 5, 11 Use this bus to specify the register address to readfrom or write to. The width is:• 5 bits for 2.5G and 1G/2.5G configurations.• 11 bits for 1G/2.5G/5G/10G configurations.

csr_read Input 1 Assert this signal to request a read operation.

csr_readdata Output 16, 32 Data read from the specified register. The data isvalid only when the csr_waitrequest signal isdeasserted. The width is:• 16 bits for 2.5G and 1G/2.5G configurations.• 32 bits for 1G/2.5G/5G/10G configurations. The

upper 16 bits are reserved.

csr_write Input 1 Assert this signal to request a write operation.

csr_writedata Input 16, 32 Data to be written to the specified register. Thedata is written only when the csr_waitrequestsignal is deasserted. The width is:• 16 bits for 2.5G and 1G/2.5G configurations.• 32 bits for 1G/2.5G/5G/10G configurations. The

upper 16 bits are reserved.

csr_waitrequest Output 1 When asserted, indicates that the PHY is busy andnot ready to accept any read or write requests.• When you have requested for a read or write,

keep the control signals to the Avalon-MMinterface constant while this signal is asserted.The request is complete when it is deasserted.

• This signal can be high or low during idle cyclesand reset. Therefore, the user application mustnot make any assumption of its assertion stateduring these periods.

2.6.6. XAUI PHY IP Core

In a XAUI configuration, the transceiver channel data path is configured using a softPCS. The XAUI configuration provides the transceiver channel datapath, clocking, andchannel placement guidelines. You can implement a XAUI link using the IP Catalog.Under Ethernet in the Interfaces menu, select the XAUI PHY IP core. The XAUI PHY IPcore implements the XAUI PCS in soft logic.

XAUI is a specific physical layer implementation of the 10 Gigabit Ethernet link definedin the IEEE 802.3ae-2008 specification. The XAUI PHY uses the XGMII interface toconnect to the IEEE802.3 MAC and Reconciliation Sublayer (RS). The IEEE802.3ae-2008 specification requires the XAUI PHY link to support:

• A 10 Gbps data rate at the XGMII interface

• Four lanes each at 3.125 Gbps at the PMD interface

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide214

Page 215: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 81. XAUI and XGMII Layers

OSIReference

Model Layers

Application

Presentation

Session

Transport

Network

Data Link

Physical

PMA

PMD

Medium

10 Gbps

OptionalXGMIIExtender

Physical Layer Device

MAC Control (Optional)

Logical Link Control (LLC)

LAN Carrier Sense MultipleAccess/Collision Detect (CSMA/CD)

Layers

Higher Layers

Reconciliation

Media Access Control (MAC)

PCS

10 Gigabit Media Independent Interface

XGMII Extender Sublayer

XGMII Extender Sublayer

10 Gigabit Attachment Unit Interface

10 Gigabit Media Independent Interface

Medium Dependent Interface

Intel's XAUI PHY IP core implements the IEEE 802.3 Clause 48 specification to extendthe operational distance of the XGMII interface and reduce the number of interfacesignals.

XAUI extends the physical separation possible between the 10 Gbps Ethernet MACfunction and the Ethernet standard PHY component to one meter. The XAUI PHY IPcore accepts 72-bit data (single data rate–SDR XGMII) from the application layer at156.25 Mbps. The serial interface runs at 4 × 3.125 Gbps.

Figure 82. XAUI PHY IP Core

XAUI PHY IP Core

4 x 3.125 Gbps serial

XAUI PHY IP

Hard PMA

PCS

8B/10BWord AlignerPhase Comp

SDR XGMII72 bits @ 156.25 Mbps

Avalon-MMControl & Status

4

4

Intel's third-party IP partner for Dual Data Rate XAUI (DDR XAUI or DXAUI) andReduced XAUI (RXAUI) support is MorethanIP (MTIP).

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide215

Page 216: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

XAUI does not support open compute project (OCP) networking.

Related Information

• IEEE 802.3 Clause 48

• MorethanIP

2.6.6.1. Transceiver Datapath in a XAUI Configuration

The XAUI PHY IP core is partially implemented in soft logic inside the FPGA core. Youmust ensure that your channel placement is compatible with the soft PCSimplementation.

Figure 83. Transceiver Channel Datapath for XAUI ConfigurationThe XAUI configuration uses both the soft PCS and the Standard PCS as shown in the following figure.

RX

Pha

se

Com

pens

atio

n FI

FOT

X P

hase

C

ompe

nsat

ion

FIFO

Byt

eD

eser

ializ

er

Byt

e Se

rial

izer

Receiver Standard PCS Receiver PMA

Des

eria

lizer

CD

R

Transmitter Standard PCS

Transmitter Standard PCS

Transmitter Standard PCS

Transmitter Standard PCS

Portable solution using Custom PHY or Native PHY

Channel 0

Channel 1

Channel 2

Channel 3

Transmitter PMA Ch0

Transmitter PMA Ch1

Transmitter PMA Ch2

Transmitter PMA Ch3

Seri

aliz

er

tx_s

eria

l_da

tarx

_ser

ial_

data

8B/1

0B

Dec

oder

Rat

e M

atch

FIF

O

Des

kew

FIF

O

Wor

d A

ligne

r

8B/1

0B E

ncod

er

16 20 20

2016 20 20 20

10

1010

Soft PCS

Soft PCS

Soft PCS

Soft PCS

FPGA Fabric

Channel 3

Channel 2

Channel 1

Channel 0

2.6.6.2. XAUI Supported Features

64-Bit SDR Interface to the MAC/RS

Clause 46 of the IEEE 802.3-2008 specification defines the XGMII interface betweenthe XAUI PCS and the Ethernet MAC/RS. Each of the four XAUI lanes must transfer 8-bit data and a 1-bit control code at both the positive and negative edge (double datarate) of the 156.25 MHz interface clock.

Arria 10 transceivers and a soft PCS solution in a XAUI configuration do not supportthe XGMII interface to the MAC/RS as defined in the IEEE 802.3-2008 specification.Instead, they transfer 16-bit data and the 2-bit control code on each of the four XAUIlanes. The transfer occurs only at the positive edge (single data rate) of the 156.25MHz interface clock.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide216

Page 217: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 84. Implementation of the XGMII Specification in Arria 10 Devices ConfigurationThe ATX PLL is only supported to drive the internal transceiver. The FPLL is only supported to drivexgmii_tx_clk and xgmii_rx_clk. Both the ATX PLL and the FPLL must be clocked by the same referenceclock to maintain 0 ppm.

Lane 0

Interface Clock (156.25 MHz)

8-bit

Interface Clock (156.25 MHz)

XGMII Transfer (DDR)

Lane 1

Lane 0

Lane 1

D0

{D1, D0} {D3, D2}

{D1, D0} {D3, D2}

Lane 2

Lane 3

{D1, D0} {D3, D2}

{D1, D0} {D3, D2}

D1 D2 D3

D0 D1 D2 D3

Lane 2

Lane 3

D0 D1 D2 D3

D0 D1 D2 D3

16-bit

Arria 10 Soft PCS Interface (SDR)

8B/10B Encoding/Decoding

Each of the four lanes in a XAUI configuration supports an independent 8B/10Bencoder/decoder as specified in Clause 48 of the IEEE802.3-2008 specification.8B/10B encoding limits the maximum number of consecutive 1s and 0s in the serialdata stream to five. This limit ensures DC balance as well as enough transitions for thereceiver CDR to maintain a lock to the incoming data.

The XAUI PHY IP core provides status signals to indicate both running disparity andthe 8B/10B code group error.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide217

Page 218: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Transmitter and Receiver State Machines

In a XAUI configuration, the Arria 10 soft PCS implements the transmitter and receiverstate diagrams shown in Figure 48-6 and Figure 48-9 of the IEEE802.3-2008specification.

The transmitter state machine performs the following functions in conformance withthe 10GBASE-X PCS:

• Encoding the XGMII data to PCS code groups

• Converting Idle ||I|| ordered sets into Sync ||K||, Align ||A||, and Skip ||R||ordered sets

The receiver state machine performs the following functions in conformance with the10GBASE-X PCS:

• Decoding the PCS code groups to XGMII data

• Converting Sync ||K||, Align ||A||, and Skip ||R|| ordered sets into Idle ||I||ordered sets

Synchronization

The word aligner block in the receiver PCS of each of the four XAUI lanes implementsthe receiver synchronization state diagram shown in Figure 48-7 of theIEEE802.3-2008 specification.

The XAUI PHY IP core provides a status signal per lane to indicate if the word aligneris synchronized to a valid word boundary.

Deskew

The lane aligner block in the receiver PCS implements the receiver deskew statediagram shown in Figure 48-8 of the IEEE 802.3-2008 specification.

The lane aligner starts the deskew process only after the word aligner block in each ofthe four XAUI lanes indicates successful synchronization to a valid word boundary.

The XAUI PHY IP core provides a status signal to indicate successful lane deskew inthe receiver PCS.

Clock Compensation

The rate match FIFO in the receiver PCS datapath compensates up to ±100 ppmdifference between the remote transmitter and the local receiver. It compensates byinserting and deleting Skip ||R|| columns, depending on the ppm difference.

The clock compensation operation begins after:

• The word aligner in all four XAUI lanes indicates successful synchronization to avalid word boundary.

• The lane aligner indicates a successful lane deskew.

The rate match FIFO provides status signals to indicate the insertion and deletion ofthe Skip ||R|| column for clock rate compensation.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide218

Page 219: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.6.6.3. XAUI PHY Release Information

Table 166. XAUI Release Information

Item Description

Version 16.0

Release Date November 2016

Product ID 00D7

Vendor ID 6AF7

2.6.6.4. XAUI PHY Device Family Support

IP cores provide either final or preliminary support for target Intel device families.These terms have the following definitions:

• Final support—Verified with final timing models for this device.

• Preliminary support—Verified with preliminary timing models for this device.

Table 167. Device Family Support

Device Family Support

XAUI

Arria 10 Preliminary

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide219

Page 220: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.6.6.5. Transceiver Clocking and Channel Placement Guidelines in XAUIConfiguration

Transceiver Clocking

Figure 85. Transceiver Clocking for XAUI Configuration Without Phase CompensationFIFO EnabledThe external ATX PLL generates the transmitter serial and parallel clocks for the four XAUI channels. You mustinstantiate the PLL and connect it to XAUI. The x6 clock line carries the transmitter serial and parallel clocks tothe PMA and PCS of each of the four channels.

RX

Pha

se

Com

pens

atio

n FI

FOT

X P

hase

C

ompe

nsat

ion

FIFO

Byt

e Se

rial

izer

Receiver Standard PCS Receiver PMA

Des

eria

lizer

CD

R

Transmitter Standard PCS

Transmitter Standard PCS

Transmitter Standard PCS

Transmitter Standard PCS

Channel 0

Channel 1

Channel 2

Channel 3

Transmitter PMA Ch 0

Transmitter PMA Ch 1

Transmitter PMA Ch 2

Transmitter PMA Ch 3

Seri

aliz

er

tx_s

eria

l_da

tarx

_ser

ial_

data

Parallel Clock

Parallel Clock (Recovered)

Byt

e D

eser

ializ

er

8B/1

0B

Dec

oder

Rat

e M

atch

FIF

O

Des

kew

FIF

O

Wor

d A

ligne

r

8B/1

0B E

ncod

er

Soft PCS

Soft PCS

Soft PCS

Soft PCS

XAUI PHY IP Core

Channel 3

Channel 2

Channel 1

Channel 0

16

16

20

20

20

2020

10

1010

xgmii_tx_clk

xgmii_rx_clk /2Parallel Clock (Recovered) from Channel 0

Parallel Clock

/2

Clock Divider

Parallel and Serial Clocks (From the ×6 or ×N Clock Lines)Serial Clock

(From the ×1 Clock Lines)

Master Clock Generation Block

Parallel Clock

Serial Clock

Parallel and Serial Clocks

(1)ATX PLL

Note:1. Use the ATX PLL as the transmit PLL for XAUI support in Arria 10 devices.

Note: When configuring ATX PLL, the PMA width setting must be set to 20-bit per transceiverchannel. This ensures that the serial clock is running at 3.125 Gbps while the inputreference clock is 156.25 MHz.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide220

Page 221: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 86. Transceiver Clocking for XAUI Configuration With Phase Compensation FIFOEnabledWhen phase compensation FIFO is enabled, you can connect the core to different clocks on the Avalon-STinterface.

RX Ph

ase

Com

pens

ation

FIF

OTX

Phas

e Co

mpe

nsat

ion

FIFO

Receiver Standard PCS Receiver PMA

Dese

rializ

er

CDR

Transmitter Standard PCS Transmitter PMA

Seria

lizer

8B/1

0B

Deco

der

Rate

Mat

ch FI

FO

Desk

ew FI

FO

Wor

d Alig

ner

8B/1

0B En

code

r

Soft PCS

XAUI PHY IP Core

xgmii_tx_clk 156.25 MHz

Parallel Clock (x6 Network)Serial Clock

ATX PLL

Idle

Rep

Idle

Conv

erte

r

32/64bAvalon-ST

AdapterMAC

36/72bXGMII

Adapter

Master CGBx1 Network

fPLL

REFCLK 156.25 MHz

156.2

5 MHz

312.5

MHz

156.2

5 MHz

Parallel Recovered Clock 2 (1) Parallel Recovered Clock

Serial Recovered Clock

156.25 MHz

Serial Clock (x6 Network)

Parallel Clock (x6 Network)

Parallel Recovered Clock

Serial Recovered ClockParallel Recovered Clock 2

Parallel Clock

Note:1. One recovered clock drives four XAUI channels.

2.6.6.6. XAUI PHY Performance and Resource Utilization

The following table lists the typical expected device resource utilization for differentconfigurations using the current version of the Quartus II software targeting an Arria10 device. The numbers of combinational ALUTs and logic registers are rounded to thenearest 100.

Table 168. XAUI PHY Performance and Resource Utilization

Implementation Number of 3.125Gbps Channels

Combinational ALUTs Dedicated LogicRegisters

M20K Memory Blocks

Soft XAUI 4 1700 1700 3

2.6.6.7. Parameterizing the XAUI PHY

This section contains the recommended parameter values for this protocol. Refer toUsing the Arria 10 Transceiver Native PHY IP Core for the full range of parametervalues.

Complete the following steps to configure the XAUI PHY IP core in the IP Catalog:

1. For Which device family will you be using?, select Arria 10.

2. Click Installed IP ➤ Library ➤ Interface Protocols ➤ Ethernet ➤ XAUI PHY.

3. Use the tabs on the IP Catalog to select the options required for the protocol.

4. Refer to the following topics to learn more about the parameters:

a. General Parameters

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide221

Page 222: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

b. Analog Parameters

c. Advanced Options Parameters

5. Click Finish to generate your customized XAUI PHY IP core.

Related Information

• Using the Arria 10 Transceiver Native PHY IP Core on page 45

• XAUI PHY General Parameters on page 222

• Analog Parameter Settings on page 585

• XAUI PHY Advanced Options Parameters on page 222

2.6.6.7.1. XAUI PHY General Parameters

This section describes the settings available on the General Options tab.

Table 169. General Options

Name Value Description

Device family Arria 10 The target device family.

XAUI interface type Soft XAUI Implements the PCS in soft logic and the PMA inhard logic. Includes four channels.

Enable Sync-E support On / Off Shows separate reference clocks for CDR PLLand TX PLL.

Number of XAUI interfaces 1 Specifies the number of XAUI interfaces. Only 1is available in the current release.

2.6.6.7.2. XAUI PHY Advanced Options Parameters

This section describes the settings available on the Advanced Options tab.

Table 170. Advanced Options

Name Value Description

Include control and statusports

On / Off If you turn this option on, the top-level IP core includes thestatus signals and digital resets shown in XAUI Top-Level Signals—Soft PCS and PMA and XAUI Top-Level Signals—Hard IP PCSand PMA. If you turn this option off, you can access control andstatus information using the Avalon-MM interface to the controland status registers. The default setting is off.

Enable dynamicreconfiguration

On / Off When you turn this option on, you can connect the dynamicreconfiguration ports to an external reconfiguration module.

Enable rx_recovered_clk pin On / Off When you turn this option on, the RX recovered clock signal is anoutput signal.

Enable phase compensationFIFO

On / Off Enables the phase compensation FIFO to allow different clocks onthe xgmii interface.

2.6.6.8. XAUI PHY Ports

The following figure illustrates the top-level signals of the XAUI PHY IP core for thesoft IP implementation.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide222

Page 223: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 87. XAUI Top-Level Signals—Soft PCS and PMA

xgmii_tx_dc[71:0]

tx_bonding_clock[5:0]

xgmii_tx_clk

xgmii_rx_dc[71:0]xgmii_rx_clk

phy_mgmt_clkphy_mgmt_clk_resetphy_mgmt_address[8:0]phy_mgmt_writedata[31:0]phy_mgmt_readdata[31:0]phy_mgmt_writephy_mgmt_readphy_mgmt_waitrequest

pll_ref_clk

pll_locked_ipll_powerdown_o

cdr_ref_clk

XAUI Top-Level Signals

RX StatusOptional

xaui_rx_serial_data[3:0]xaui_tx_serial_data[3:0]

rx_channelaligned

reconfig_clkreconfig_reset

reconfig_writereconfig_read

reconfig_waitrequest

rx_disperr[7:0]

reconfig_address[11:0]reconfig_writedata[31:0]reconfig_readdata[31:0]

rx_errdetect[7:0]rx_syncstatus[7:0]

rx_recovered_clk[3:0]rx_readytx_ready

TransceiverSerial Data

SDR TX XGMII

SDR RX XGMII

Avalon-MM PHY

Avalon-MM

ManagementInterface

Clocks

PLL

DynamicReconfiguration

PMAChannel

Controllerpll_cal_busy_i

xgmii_rx_inclk

2.6.6.9. XAUI PHY Interfaces

The XAUI PCS interface to the FPGA fabric uses a SDR XGMII interface. This interfaceimplements a simple version of the Avalon-ST protocol. The interface does not includeready or valid signals. Consequently, the sources always drive data and the sinks mustalways be ready to receive data.

For more information about the Avalon-ST protocol, including timing diagrams, refer tothe Avalon Interface Specifications.

Depending on the parameters you choose, the application interface runs at either156.25 Mbps or 312.5 Mbps. At either frequency, data is only driven on the rising edgeof clock. To meet the bandwidth requirements, the datapath is eight bytes wide witheight control bits, instead of the standard four bytes of data and four bits of control.The XAUI PHY IP core treats the datapath as two, 32-bit data buses and includes logicto interleave them, starting with the low-order bytes.

Figure 88. Interleaved SDR XGMII Data Mapping

Interleaved Result

Original XGMII Data

[63:56] [55:48] [47:40] [39:32] [31:24] [23:16] [15:8] [7:0]

[63:56] [31:24] [55:48] [23:16] [47:40] [15:8] [39:32] [7:0]

Related Information

Avalon Interface Specifications

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide223

Page 224: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.6.6.9.1. SDR XGMII TX Interface

Table 171. SDR TX XGMII Interface

Signal Name Direction Description

xgmii_tx_dc[71:0] Input Contains 4 lanes of data and control for XGMII. Each lane consists of16 bits of data and 2 bits of control. Synchronous to mgmt_clk.• Lane 0–[7:0]/[8], [43:36]/[44]• Lane 1–[16:9]/[17], [52:45]/[53]• Lane 2–[25:18]/[26], [61:54]/[62]• Lane 3–[34:27]/[35],[70:63]/[71]

xgmii_tx_clk Input The XGMII SDR TX clock which runs at 156.25 MHz.

2.6.6.9.2. SDR XGMII RX Interface

Table 172. SDR RX XGMII Interface

Signal Name Direction Description

xgmii_rx_dc_[71:0] Output Contains 4 lanes of data and control for XGMII. Each lane consists of16 bits of data and 2 bits of control. Synchronous to mgmt_clk.• Lane 0–[7:0]/[8], [43:36]/[44]• Lane 1–[16:9]/[17], [52:45]/[53]• Lane 2–[25:18]/[26], [61:54]/[62]• Lane 3–[34:27]/[35],[70:63]/[71]

xgmii_rx_clk Output The XGMII SDR RX clock which runs at 156.25 MHz.

xgmii_rx_inclk Input The XGMII SDR RX input clock which runs at 156.25 MHz. This portis only available when Enable phase compensation FIFO isselected.

2.6.6.9.3. Transceiver Serial Data Interface

The XAUI transceiver serial data interface has four lanes of serial data for both the TXand RX interfaces. This interface runs at 3.125 Gbps. There is no separate clock signalbecause it is encoded in the data.

Table 173. Serial Data Interface

Signal Name Direction Description

xaui_rx_serial_data[3:0] Input Serial input data.

xaui_tx_serial_data[3:0] Output Serial output data.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide224

Page 225: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.6.6.9.4. XAUI PHY Clocks, Reset, and Powerdown Interfaces

Figure 89. Clock Inputs and Outputs for IP Core with Soft PCS

XAUI Soft IP Core

4 x 3.125 Gbps serial

xgmii_rx_clk

xgmii_tx_clk

pll_ref_clk

phy_mgmt_clk

4

4Soft PCSpma_pll_inclk pma_tx_clkout tx_clkout

pma_rx_clkout

pll_ref_clk

sysclk

PMA

rx_recovered_clk

Table 174. Clock and Reset Signals

Signal Name Direction Description

pll_ref_clk Input This is a 156.25 MHz reference clock that is used by the CDRlogic.

2.6.6.9.5. XAUI PHY PMA Channel Controller Interface

Table 175. PMA Channel Controller Signals

Signal Name Direction Description

rx_recovered_clk[3:0] Output This is the RX clock, which is recovered from the received datastream.

rx_ready Output Indicates PMA RX has exited the reset state and the transceivercan receive data. Synchronous to mgmt_clk.

tx_ready Output Indicates PMA TX has exited the reset state and the transceivercan transmit data. Synchronous to mgmt_clk.

pll_cal_busy_i Input Indicates the PLL calibration status.

2.6.6.9.6. XAUI PHY Optional PMA Control and Status Interface

Use the Avalon-MM PHY Management interface to read the state of the optional PMAcontrol and status signals available in the XAUI PHY IP core registers. In some casesyou may need to know the instantaneous value of a signal to ensure correctfunctioning of the XAUI PHY. In such cases, you can include the required signal in thetop-level module of your XAUI PHY IP core.

Table 176. Optional Control and Status Signals—Soft IP Implementation

Signal Name Direction Description

rx_channelaligned Output When asserted, indicates that all 4 RX channels arealigned. Synchronous to mgmt_clk. This signal isasserted when the RX lanes are fully aligned and readyto receive data.

rx_disperr[7:0] Output Received 10-bit code or data group has a disparityerror. It is paired with rx_errdetect which is alsoasserted when a disparity error occurs. The

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide225

Page 226: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Signal Name Direction Description

rx_disperr signal is 2 bits wide per channel for atotal of 8 bits per XAUI link. Synchronous tomgmt_clk.

rx_errdetect[7:0] Output When asserted, indicates an 8B/10B code groupviolation. It is asserted if the received 10-bit codegroup has a code violation or disparity error. Userx_errdetect with the rx_disperr signal todifferentiate between a code violation error, a disparityerror, or both. The rx_errdetect signal is 2 bitswide per channel for a total of 8 bits per XAUI link.Synchronous to mgmt_clk.

rx_syncstatus[7:0] Output Synchronization indication. RX synchronization isindicated on the rx_syncstatus port of eachchannel. The rx_syncstatus signal is 2 bits perchannel for a total of 8 bits per hard XAUI link. Therx_syncstatus signal is 1 bit per channel for a totalof 4 bits per soft XAUI link. Synchronous tomgmt_clk.

2.6.6.10. XAUI PHY Register Interface and Register Descriptions

The Avalon-MM PHY management interface provides access to the XAUI PHY IP corePCS, PMA, and transceiver reconfiguration registers.

Table 177. Signals in the Avalon-MM PHY Management Interface

Signal Name Direction Description

phy_mgmt_clk Input Avalon-MM clock input.

phy_mgmt_clk_reset Input Global reset signal that resets the entire XAUI PHY. Thissignal is active high and level sensitive.

phy_mgmt_addr[8:0] Input 9-bit Avalon-MM address.

phy_mgmt_writedata[31:0] Input 32-bit input data.

phy_mgmt_readdata[31:0] Output 32-bit output data.

phy_mgmt_write Input Write signal. Asserted high.

phy_mgmt_read Input Read signal. Asserted high.

phy_mgmt_waitrequest Output When asserted, indicates that the Avalon-MM slaveinterface is unable to respond to a read or write request.When asserted, control signals to the Avalon-MM slaveinterface must remain constant.

For more information about the Avalon-MM interface, including timing diagrams, referto the Avalon Interface Specification.

The following table specifies the registers that you can access using the Avalon-MMPHY management interface using word addresses and a 32-bit embedded processor. Asingle address space provides access to all registers.

Note: Writing to reserved or undefined register addresses may have undefined side effects.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide226

Page 227: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 178. XAUI PHY IP Core Registers

WordAddr

Bits R/W Register Name Description

Reset Control Registers–Automatic Reset Controller

0x041 [31:0] RW reset_ch_bitmask Bit mask for reset registers at addresses 0x042 and0x044. The default value is all 1s. You can resetchannel <n> when bit<n> = 1.

0x042 [1:0] W reset_control(write) Writing a 1 to bit 0 initiates a TX digital reset usingthe reset controller module. The reset affectschannels enabled in the reset_ch_bitmask. Writinga 1 to bit 1 initiates a RX digital reset of channelsenabled in the reset_ch_bitmask. This bit self-clears.

R reset_status(read) Reading bit 0 returns the status of the reset controllerTX ready bit. Reading bit 1 returns the status of thereset controller RX ready bit. This bit self-clears.

Reset Controls –Manual Mode

0x044 [31:4,0]

RW Reserved It is safe to write 0s to reserved bits.

[1] RW reset_tx_digital Writing a 1 causes the internal TX digital reset signalto be asserted, resetting all channels enabled inreset_ch_bitmask. You must write a 0 to clear thereset condition.

[2] RW reset_rx_analog Writing a 1 causes the internal RX analog reset signalto be asserted, resetting the RX analog logic of allchannels enabled in reset_ch_bitmask. You mustwrite a 0 to clear the reset condition.

[3] RW reset_rx_digital Writing a 1 causes the RX digital reset signal to beasserted, resetting the RX digital channels enabled inreset_ch_bitmask. You must write a 0 to clear thereset condition.

PMA Control and Status Registers

0x061 [31:0] RW phy_serial_loopback Writing a 1 to channel <n> puts channel <n> inserial loopback mode. For information about pre- orpost-CDR serial loopback modes, refer to LoopbackModes.

0x064 [31:0] RW pma_rx_set_locktodata When set, programs the RX CDR PLL to lock to theincoming data. Bit <n> corresponds to channel <n>.

0x065 [31:0] RW pma_rx_set_locktoref When set, programs the RX CDR PLL to lock to thereference clock. Bit <n> corresponds to channel <n>.

0x066 [31:0] RO pma_rx_is_lockedtodata When asserted, indicates that the RX CDR PLL islocked to the RX data, and that the RX CDR haschanged from LTR to LTD mode. Bit <n> correspondsto channel <n>.

0x067 [31:0] RO pma_rx_is_lockedtoref When asserted, indicates that the RX CDR PLL islocked to the reference clock. Bit <n> corresponds tochannel <n>.

XAUI PCS

0x084 [31:16] N/A Reserved N/A

[15:8] R Reserved N/A

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide227

Page 228: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

WordAddr

Bits R/W Register Name Description

[7:0] syncstatus[7:0] Records the synchronization status of thecorresponding bit. The RX sync status register has 1bit per channel for a total of 4 bits per soft XAUI link;soft XAUI uses bits 0–3. Reading the value of thesyncstatus register clears the bits.From block: Word aligner

0x085 [31:16] N/A Reserved N/A

[15:8] R errdetect[7:0] When set, indicates that a received 10-bit code grouphas an 8B/10B code violation or disparity error. Useerrdetect with disperr to differentiate between acode violation error, a disparity error, or both. Thereare 2 bits per RX channel for a total of 8 bits per XAUIlink. Reading the value of the errdetect registerclears the bits.From block: 8B/10B decoder

[7:0] disperr[7:0] Indicates that the received 10-bit code or data grouphas a disparity error. When set, the correspondingerrdetect bits are also set. There are 2 bits per RXchannel for a total of 8 bits per XAUI link. Reading thevalue of the errdetect register clears the bits.From block: 8B/10B decoder

0x08a [0] RW simulation_flag Setting this bit to 1 shortens the duration of reset andloss timer when simulating. Intel recommends thatyou keep this bit set for simulation.

Related Information

Avalon Interface Specifications

2.6.6.11. XAUI PHY Timing Analyzer SDC Constraint

Refer to the "Timing Constraints for Bonded PCS and PMA Channels" section for theSynopsis Design Constraints (SDC) for XAUI.

Related Information

Timing Constraints for Bonded PCS and PMA Channels on page 443

2.6.7. Acronyms

This table defines some commonly used Ethernet acronyms.

Table 179. Ethernet Acronyms

Acronym Definition

AN Auto-Negotiation in Ethernet as described in Clause 73 of IEEE 802.3ap-2007.

BER Bit Error Rate.

DME Differential Manchester Encoding.

FEC Forward error correction.

GMII Gigabit Media Independent Interface.

KR Short hand notation for Backplane Ethernet with 64b/66b encoding.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide228

Page 229: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Acronym Definition

LD Local Device.

LT Link training in backplane Ethernet Clause 72 for 10GBASE-KR and 40GBASE-KR4.

LP Link partner, to which the LD is connected.

MAC Media Access Control.

MII Media independent interface.

OSI Open System Interconnection.

PCS Physical Coding Sublayer.

PHY Physical Layer in OSI 7-layer architecture, also in Intel device scope is: PCS + PMA.

PMA Physical Medium Attachment.

PMD Physical Medium Dependent.

SGMII Serial Gigabit Media Independent Interface.

WAN Wide Area Network.

XAUI 10 Gigabit Attachment Unit Interface.

2.7. PCI Express (PIPE)

You can use Arria 10 transceivers to implement a complete PCI Express solution forGen1, Gen2, and Gen3, at data rates of 2.5, 5.0, and 8 Gbps, respectively.

Configure the transceivers for PCIe functionality using one of the following methods:

• Arria 10 Hard IP for PCIe

This is a complete PCIe solution that includes the Transaction, Data Link, andPHY/MAC layers. The Hard IP solution contains dedicated hard logic, whichconnects to the transceiver PHY interface.

Note: For more information, refer to the Arria 10 Avalon-ST Interface for PCIeSolutions User Guide.

• Native PHY IP Core in PIPE Gen1/Gen2/Gen3 Transceiver ConfigurationRules

Use the Native PHY IP (Native PHY IP Core) to configure the transceivers in PCIemode, giving access to the PIPE interface (commonly called PIPE mode intransceivers). This mode enables you to connect the transceiver to a third-partyMAC to create a complete PCIe solution.

The PIPE specification (version 3.0) provides implementation details for a PCIe-compliant physical layer. The Native PHY IP Core for PIPE Gen1, Gen2, and Gen3supports x1, x2, x4, or x8 operation for a total aggregate bandwidth ranging from2 to 64 Gbps. In a x1 configuration, the PCS and PMA blocks of each channel areclocked and reset independently. The x2, x4, and x8 configurations supportchannel bonding for two-lane, four-lane, and eight-lane links. In these bondedchannel configurations, the PCS and PMA blocks of all bonded channels sharecommon clock and reset signals.

Gen1 and Gen2 modes use 8B/10B encoding, which has a 20% overhead to overalllink bandwidth. Gen3 modes use 128b/130b encoding, which has an overhead of lessthan 2%. Gen1 and Gen2 modes use the Standard PCS, and Gen3 mode uses theGen3 PCS for its operation.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide229

Page 230: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 180. Transceiver Solutions

Support Arria 10 Hard IP for PCI Express Native PHY IP Core for PCI Express(PIPE)

Gen1, Gen2, and Gen3 data rates Yes Yes

MAC, data link, and transaction layer Yes User implementation in FPGA fabric

Transceiver interface Hard IP through PIPE 3.0 basedinterface

• PIPE 2.0 for Gen1 and Gen2• PIPE 3.0 based for Gen3 with Gen1/

Gen2 support

Related Information

• Intel PHY Interface for the PCI Express (PIPE) Architecture PCI Express

• Arria 10 Hard IP for PCI Express User Guide for the Avalon Streaming Interface

2.7.1. Transceiver Channel Datapath for PIPE

Figure 90. Transceiver Channel Datapath for PIPE Gen1/Gen2 Configurations

PIPE Interface

RX FIFO

ByteDeserializer

8B/10B Decoder

Rate Match FIFO

Receiver PMAW

ord Aligner

Deserializer

CDR

Receiver Standard PCS

Transmitter Standard PCS Transmitter PMA

Serializer

tx_serial_datarx_serial_data

FPGAFabric

TX FIFO

Byte Serializer

8B/10B Encoder

PRBSGenerator

TX Bit Slip

PRBSVerifier

PCI Express Hard IP

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide230

Page 231: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 91. Transceiver Channel Datapath for PIPE Gen1/Gen2/Gen3 Configurations

RX FIFO

ByteDeserializer

8B/10B Decoder

Rate Match FIFO

BlockSynchronizer

Rate Match

FIFOGearbox

Receiver PMA

Word Aligner

Deserializer

CDR

Receiver Standard PCS

Receiver Gen3 PCS

Transmitter Gen3 PCS

rx_serial_data

PRBSVerifier

PIPE Interface

FPGAFabric

Transmitter Standard PCS

Transmitter PMA

Serializer

tx_serial_data

TX FIFO

Byte Serializer

8B/10B Encoder

PRBSGenerator

TX Bit Slip

PCI Exxpress Hard IP

2.7.2. Supported PIPE Features

PIPE Gen1, Gen2, and Gen3 configurations support different features.

Table 181. Supported Features for PIPE Configurations

Protocol Feature Gen1(2.5 Gbps)

Gen2(5 Gbps)

Gen3(8 Gbps)

x1, x2, x4, x8 link configurations Yes Yes Yes

PCIe-compliant synchronization state machine Yes Yes Yes

±300 ppm (total 600 ppm) clock rate compensation Yes Yes Yes

Transmitter driver electrical idle Yes Yes Yes

Receiver detection Yes Yes Yes

8B/10B encoding/decoding disparity control Yes Yes No

128b/130b encoding/decoding No No Yes (supportedthrough theGearbox)

Scrambling/Descrambling No No Yes(implementedin FPGA fabric)

(38)

Power state management Yes Yes Yes

Receiver PIPE status encoding pipe_rxstatus[2:0] Yes Yes Yes

Dynamic switching between 2.5 Gbps and 5 Gbps signaling rate No Yes No

continued...

(38) You must enable scrambling/descrambling in Gen1/Gen2 when using Arria 10 PCIe Gen3configurations.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide231

Page 232: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Protocol Feature Gen1(2.5 Gbps)

Gen2(5 Gbps)

Gen3(8 Gbps)

Dynamic switching between 2.5 Gbps, 5 Gbps, and 8 Gbpssignaling rate

No No Yes

Dynamic transmitter margining for differential output voltagecontrol

No Yes Yes

Dynamic transmitter buffer de-emphasis of –3.5 dB and –6 dB No Yes Yes

Dynamic Gen3 transceiver pre-emphasis, de-emphasis, andequalization

No No Yes

PCS PMA interface width (bits) 10 10 32

Receiver Electrical Idle Inference (EII) Implement in FPGAfabric

Implement inFPGA fabric

Implement inFPGA fabric

Related Information

• PCIe Gen3 PCS Architecture on page 495For more information about PIPE Gen3.

• Intel PHY Interface for the PCI Express* (PIPE) Architecture PCI Express 2.0

• Intel PHY Interface for the PCI Express (PIPE) Architecture PCI Express 3.0

2.7.2.1. Gen1/Gen2 Features

In a PIPE configuration, each channel has a PIPE interface block that transfers data,control, and status signals between the PHY-MAC layer and the transceiver channelPCS and PMA blocks. The PIPE configuration is based on the PIPE 2.0 specification. Ifyou use a PIPE configuration, you must implement the PHY-MAC layer using soft IP inthe FPGA fabric.

2.7.2.1.1. Dynamic Switching Between Gen1 (2.5 Gbps) and Gen2 (5 Gbps)

In a PIPE configuration, Native PHY IP Core provides an input signal pipe_rate[1:0] that is functionally equivalent to the RATE signal specified in the PCIespecification. A change in value from 2'b00 to 2'b01 on this input signal pipe_rate[1:0] initiates a data rate switch from Gen1 to Gen2. A change in value from 2'b01to 2'b00 on the input signal initiates a data rate switch from Gen2 to Gen1.

2.7.2.1.2. Transmitter Electrical Idle Generation

The PIPE interface block in Arria 10 devices puts the transmitter buffer in an electricalidle state when the electrical idle input signal is asserted. During electrical idle, thetransmitter buffer differential and common mode output voltage levels are compliantwith the PCIe Base Specification 2.0 for both PCIe Gen1 and Gen2 data rates.

The PCIe specification requires the transmitter driver to be in electrical idle in certainpower states.

Note: For more information about input signal levels required in different power states, referto Power State Management in the next section.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide232

Page 233: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.7.2.1.3. Power State Management

Table 182. Power States Defined in the PCIe SpecificationTo minimize power consumption, the physical layer device must support the following power states.

Power States Description

P0 Normal operating state during which packet data is transferred on the PCIe link.

P0s, P1, and P2 The PHY-MAC layer directs the physical layer to transition into these low-power states.

The PIPE interface in Arria 10 transceivers provides a pipe_powerdown input port foreach transceiver channel configured in a PIPE configuration.

The PCIe specification requires the physical layer device to implement power-savingmeasures when the P0 power state transitions to the low power states. Arria 10transceivers do not implement these power-saving measures except for putting thetransmitter buffer in electrical idle mode in the lower power states.

2.7.2.1.4. 8B/10B Encoder Usage for Compliance Pattern Transmission Support

The PCIe transmitter transmits a compliance pattern when the Link Training andStatus State Machine (LTSSM) enters the Polling.Compliance substate. ThePolling.Compliance substate assesses if the transmitter is electrically compliant withthe PCIe voltage and timing specifications.

2.7.2.1.5. Receiver Status

The PCIe specification requires the PHY to encode the receiver status on a 3-bit statussignal pipe_rx_status[2:0]. This status signal is used by the PHY-MAC layer for itsoperation. The PIPE interface block receives status signals from the transceiverchannel PCS and PMA blocks, and encodes the status on the pipe_rx_status[2:0]signal to the FPGA fabric. The encoding of the status signals on thepipe_rx_status[2:0] signal conforms to the PCIe specification.

2.7.2.1.6. Receiver Detection

The PIPE interface block in Arria 10 transceivers provides an input signalpipe_tx_detectrx_loopback for the receiver detect operation. The PCIe protocolrequires this signal to be high during the Detect state of the LTSSM. When thepipe_tx_detectrx_loopback signal is asserted in the P1 power state, the PIPEinterface block sends a command signal to the transmitter driver in that channel toinitiate a receiver detect sequence. In the P1 power state, the transmitter buffer mustalways be in the electrical idle state. After receiving this command signal, the receiverdetect circuitry creates a step voltage at the output of the transmitter buffer. The timeconstant of the step voltage on the trace increases if an active receiver that complieswith the PCIe input impedance requirements is present at the far end. The receiverdetect circuitry monitors this time constant to determine if a receiver is present.

Note: For the receiver detect circuitry to function reliably, the transceiver on-chiptermination must be used. Also, the AC-coupling capacitor on the serial link and thereceiver termination values used in your system must be compliant with the PCIe BaseSpecification 2.0.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide233

Page 234: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The PIPE core provides a 1-bit PHY status signal pipe_phy_status and a 3-bitreceiver status signal pipe_rx_status[2:0] to indicate whether a receiver isdetected, as per the PIPE 2.0 specifications.

2.7.2.1.7. Gen1 and Gen2 Clock Compensation

In compliance with the PIPE specification, Arria 10 receiver channels have a ratematch FIFO to compensate for small clock frequency differences up to ±300 ppmbetween the upstream transmitter and the local receiver clocks.

Consider the following guidelines for PIPE clock compensation:

• Insert or delete one SKP symbol in an SKP ordered set.

• Minimum limit is imposed on the number of SKP symbols in SKP ordered set afterdeletion. An ordered set may have an empty COM case after deletion.

• Maximum limit is imposed on the number of the SKP symbols in the SKP orderedset after insertion. An ordered set may have more than five symbols afterinsertion.

• For INSERT/DELETE cases: The flag status appears on the COM symbol of the SKPordered set where insertion or deletion occurs.

• For FULL/EMPTY cases: The flag status appears where the character is inserted ordeleted.

Note: When the PIPE interface is on, it translates the value of the flag to theappropriate pipe_rx_status signal.

• The PIPE mode also has a “0 ppm” configuration option that you can use insynchronous systems. The Rate Match FIFO Block is not expected to do any clockcompensation in this configuration, but latency is minimized.

Figure 92. Rate Match DeletionThis figure shows an example of rate match deletion in the case where two /K28.0/ SKP symbols must bedeleted. Only one /K28.0/ SKP symbol is deleted per SKP ordered set received.

K28.5 K28.0 Dx.y K28.5 K28.0 K28.0 K28.0rmfifo_input_data

First SKP Ordered Set Second SKP Ordered Set

SKP SymbolDeleted

K28.5 Dx.y K28.5 K28.0 K28.0rx_parallel_data

pipe_rx_status[2:0] 3’b010 xxx 3’b010 xxx xxx

Dx.y

Dx.y

xxx

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide234

Page 235: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 93. Rate Match InsertionThe figure below shows an example of rate match insertion in the case where two SKP symbols must beinserted. Only one /K28.0/ SKP symbol is inserted per SKP ordered set received.

rmfifo_input_data

rx_parallel_data

First SKP Ordered Set Second SKP Ordered Set

SKP Symbol Inserted

K28.5 K28.0 Dx.y K28.5 K28.0 K28.0 K28.0 K28.0

K28.5 K28.0 K28.0 Dx.y K28.5 K28.0 K28.0 K28.0 K28.0 K28.0

pipe_rx_status[2:0] 3’b001 xxx xxx xxx 3’b001 xxx xxx xxx xxx xxx

Figure 94. Rate Match FIFO FullThe rate match FIFO in PIPE mode automatically deletes the data byte that causes the FIFO to go full anddrives pipe_rx_status[2:0] = 3'b101 synchronous to the subsequent data byte. The figure below showsthe rate match FIFO full condition in PIPE mode. The rate match FIFO becomes full after receiving data byteD4.

D1 D2 D3 D4 D5 D6 D7 D8

D1 D2 D3 D4 D8 xx xx xxD6 D7

tx_parallel_data

rx_parallel_data

pipe_rx_status[2:0] xxx xxx xxx xxx 3’b101 xxx xxx xxx

Figure 95. Rate Match FIFO EmptyThe rate match FIFO automatically inserts /K30.7/ (9'h1FE) after the data byte that causes the FIFO to becomeempty and drives pipe_rx_status[2:0] = 3'b110 synchronous to the inserted /K30.7/ (9'h1FE). The figurebelow shows rate match FIFO empty condition in PIPE mode. The rate match FIFO becomes empty afterreading out data byte D3.

D1 D2 D3 D4 D5 D6

D1 D2 D3 /K.30.7/ D4 D5

tx_parallel_data

rx_parallel_data

pipe_rx_status[2:0] xxx xxx xxx 3’b110 xxx xxx

PIPE 0 ppm

The PIPE mode also has a "0 ppm" configuration option that can be used insynchronous systems. The Rate Match FIFO Block is not expected to do any clockcompensation in this configuration, but latency is minimized.

2.7.2.1.8. PCIe Reverse Parallel Loopback

PCIe reverse parallel loopback is only available in a PCIe functional configuration forGen1, Gen2, and Gen3 data rates. The received serial data passes through thereceiver CDR, deserializer, word aligner, and rate matching FIFO buffer. The data isthen looped back to the transmitter serializer and transmitted out through thetransmitter buffer. The received data is also available to the FPGA fabric through therx_parallel_data port. This loopback mode is based on PCIe specification 2.0.Arria 10 devices provide an input signal pipe_tx_detectrx_loopback to enablethis loopback mode.

Note: This is the only loopback option supported in PIPE configurations.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide235

Page 236: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 96. PCIe Reverse Parallel Loopback Mode Datapath

PCI E

xpre

ss Ha

rd IP

PIPE

Inte

rface

RX FIFO

ByteDeserializer

8B/10B Decoder

Rate Match FIFO

Receiver PMA

Word Aligner

Deserializer

CDR

Receiver Standard PCS

Transmitter Standard PCS Transmitter PMA

Serializer

tx_serial_datarx_serial_data

FPGAFabric

TX TX

FIFOFIFO

Byte SerializerByte Serializer

8B/10B Encoder8B/10B Encoder

PRBSGenerator

TX Bit Slip

PRBS

Reverse ParallelLoopback Path

Verifier

Related Information

• Arria 10 Standard PCS Architecture on page 479

• Intel PHY Interface for the PCI Express* (PIPE) Architecture PCI Express 2.0

2.7.2.2. Gen3 Features

The following subsections describes the Arria 10 transceiver block support for PIPEGen3 features.

The PCS supports the PIPE 3.0 base specification. The 32-bit wide PIPE 3.0-basedinterface controls PHY functions such as transmission of electrical idle, receiverdetection, and speed negotiation and control.

2.7.2.2.1. Auto-Speed Negotiation

PIPE Gen3 mode enables ASN between Gen1 (2.5 Gbps), Gen2 (5.0 Gbps), and Gen3(8.0 Gbps) signaling data rates. The signaling rate switch is accomplished throughfrequency scaling and configuration of the PMA and PCS blocks using a fixed 32-bitwide PIPE 3.0-based interface.

The PMA switches clocks between Gen1, Gen2, and Gen3 data rates. For a non bondedx1 channel, an ASN module facilitates speed negotiation in that channel. For bondedx2, x4, x8 and x16 channels, the ASN module selects the master channel to controlthe rate switch. The master channel distributes the speed change request to the otherPMA and PCS channels.

The PCIe Gen3 speed negotiation process is initiated when Hard IP or the FPGA fabricrequests a rate change. The ASN then places the PCS in reset, and dynamically shutsdown the clock paths to disengage the current active state PCS (either Standard PCSor Gen3 PCS). If a switch to or from Gen3 is requested, the ASN automatically selectsthe correct PCS clock paths and datapath selection in the multiplexers. The ASN blockthen sends a request to the PMA block to switch the data rate, and waits for a ratechange done signal for confirmation. When the PMA completes the rate change andsends confirmation to the ASN block, the ASN enables the clock paths to engage thenew PCS block and releases the PCS reset. Assertion of the pipe_phy_status signalby the ASN block indicates the successful completion of this process.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide236

Page 237: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Note: In Native PHY IP core - PIPE configuration, you must set pipe_rate[1:0]to initiatethe transceiver datarate switch sequence.

2.7.2.2.2. Rate Switch

This section provides an overview of auto rate change between PIPE Gen1 (2.5 Gbps),Gen2 (5.0 Gbps), and Gen3 (8.0 Gbps) modes.

In Arria 10 devices, there is one ASN block common to the Standard PCS and Gen3PCS, located in the PMA PCS interface that handles all PIPE speed changes. The PIPEinterface clock rate is adjusted to match the data throughput when a rate switch isrequested.

Table 183. PIPE Gen3 32 bit PCS Clock Rates

PCIe Gen3 Capability ModeEnabled

Gen1 Gen2 Gen3

Lane data rate 2.5 Gbps 5 Gbps 8 Gbps

PCS clock frequency 250 MHz 500 MHz 250 MHz

FPGA fabric IP clockfrequency

62.5 MHz 125 MHz 250 MHz

PIPE interface width 32-bit 32-bit 32-bit

pipe_rate [1:0] 2'b00 2'b01 2'b10

Figure 97. Rate Switch ChangeThe block-level diagram below shows a high level connectivity between ASN and Standard PCS and Gen3 PCS.

PHYSTATUSGEN

PHYSTATUSGEN

TXFIFO

Gen3 ASN(Gen1, Gen2, Gen3)

PCS/PMA INF Gen3 PCS

pipe_rate[1:0]from FPGA Fabric

Control Plane Bonding Up

Control Plane Bonding Down

pipe_sw

pipe_sw_done

pipe_phy_status

pll_pcie_clk

PMAStandard PCS

/2(for Gen1 Only)

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide237

Page 238: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The sequence of speed change between Gen1, Gen2, and Gen3 occurs as follows:

1. The PHY-MAC layer implemented in FPGA fabric requests a rate change throughpipe_rate[1:0].

2. The ASN block waits for the TX FIFO to flush out data. Then the ASN block assertsthe PCS reset.

3. The ASN asserts the clock shutdown signal to the Standard PCS and Gen3 PCS todynamically shut down the clock.

4. When the rate changes to or from the Gen3 speed, the ASN asserts the clock anddata multiplexer selection signals.

5. The ASN uses a pipe_sw[1:0] output signal to send a rate change request tothe PMA.

6. The ASN continuously monitors the pipe_sw_done[1:0] input signal from thePMA.

7. After the ASN receives the pipe_sw_done[1:0] signal, it deasserts the clockshut down signals to release the clock.

8. The ASN deasserts the PCS reset.

9. The ASN sends the speed change completion to the PHY-MAC interface. This isdone through the pipe_phy_status signal to PHY-MAC interface.

Figure 98. Speed Change Sequence

pipe_tx_elecidle

pipe_rate[1:0]

pipe_sw[1:0]

pipe_sw_done[1:0]

pipe_phy_status

00 10

00

00

10

10

2.7.2.2.3. Gen3 Transmitter Electrical Idle Generation

In the PIPE 3.0-based interface, you can place the transmitter in electrical idle duringlow power states. Before the transmitter enters electrical idle, you must send theElectrical Idle ordered set, consisting of 16 symbols with value 0x66. During electricalidle, the transmitter differential and common mode voltage levels are based on thePCIe Base Specification 3.0.

2.7.2.2.4. Gen3 Clock Compensation

Enable this mode from the Parameter Editor when using the Gen3 PIPE transceiverconfiguration rule.

To accommodate PCIe protocol requirements and to compensate for clock frequencydifferences of up to ±300 ppm between source and termination equipment, receiverchannels have a rate match FIFO. The rate match FIFO adds or deletes four SKPcharacters (32 bits) to keep the FIFO from becoming empty or full. If the rate matchFIFO is almost full, the FIFO deletes four SKP characters. If the rate match FIFO isnearly empty, the FIFO inserts a SKP character at the start of the next available SKPordered set. The pipe_rx_status [2:0] signal indicates FIFO full, empty, insertionand deletion.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide238

Page 239: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Note: Refer to the Gen1 and Gen2 Clock Compensation section for waveforms.

Related Information

Gen1 and Gen2 Clock Compensation on page 234

2.7.2.2.5. Gen3 Power State Management

The PCIe base specification defines low power states for PHY layer devices to minimizepower consumption. The Gen3 PCS does not implement these power saving measures,except when placing the transmitter driver in electrical idle in the low power state. Inthe P2 low power state, the transceivers do not disable the PIPE block clock.

Figure 99. P1 to P0 TransitionThe figure below shows the transition from P1 to P0 with completion provided by pipe_phy_status.

P1 P0

tx_coreclkin

pipe_powerdown

pipe_phy_status

2.7.2.2.6. CDR Control

The CDR control block performs the following functions:

• Controls the PMA CDR to obtain bit and symbol alignment

• Controls the PMA CDR to deskew within the allocated time

• Generates status signals for other PCS blocks

The PCIe base specification requires that the receiver L0s power state exit time be amaximum of 4 ms for Gen1, 2 ms for Gen2, and 4 ms for Gen3 signaling rates. Thetransceivers have an improved CDR control block to accommodate fast lock times.Fast lock times are necessary for the CDR to relock to the new multiplier/dividersettings when entering or exiting Gen3 speeds.

2.7.2.2.7. Gearbox

As per the PIPE 3.0 specification, for every 128 bits that are moved across the Gen3PCS, the PHY must transmit 130 bits of data. Intel uses the pipe_tx_data_validsignal every 16 blocks of data to transmit the built-up backlog of 32 bits of data.

The 130-bit block is received as follows in the 32-bit data path: 34 (32+2-bit syncheader), 32, 32, 32. During the first cycle, the gearbox converts the 34-bit input datato 32-bit data. During the next three clock cycles, the gearbox merges bits fromadjacent cycles. For the gearbox to work correctly, a gap must be provided in the datafor every 16 shifts because each shift contains two extra bits for converting the initial34 bits to 32 bits in the gearbox. After 16 shifts, the gearbox has an extra 32 bits ofdata that are transmitted out. This requires a gap in the input data stream, which isachieved by driving pipe_tx_data_valid low for one cycle after every 16 blocks ofdata.

Figure 100. Gen3 Data Transmission

10

tx_coreclkin

pipe_tx_sync_hdrpipe_tx_blk_start

pipe_tx_data_valid

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide239

Page 240: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.7.3. How to Connect TX PLLs for PIPE Gen1, Gen2, and Gen3 Modes

Figure 101. Use ATX PLL or fPLL for Gen1/Gen2 x1 Mode

CDR

CGBCh 4

CDR

CGBCh 3

CDR

CGBCh 2

CDR

CGBCh 1

CDR

CGBCh 0

CDR

CGBCh 5

4

4MasterCGB1

MasterCGB0

6

6

6

6

6

6

X1 Network

ATX PLL1

fPLL1

fPLL0

ATX PLL0

Path for Clocking inGen1/Gen2 x1 Mode

Path for Clocking inGen1/Gen2 x1 Mode

Notes:1. The figure shown is just one possible combination for the PCIe Gen1/Gen2 x1 mode.2. Gen1/Gen2 x1 mode uses the ATX PLL or fPLL.3. Gen1/Gen2 x1 can use any channel from the given bank for which the ATX PLL or fPLL is enabled.4. Use the pll_pcie_clk from either the ATX PLL or fPLL. This is the hclk required by the PIPE interface.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide240

Page 241: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 102. Use ATX PLL or fPLL for Gen1/Gen2 x4 Mode

CDR

CGBCh 4

CDR

CGBCh 3

CDR

CGBCh 2

CDR

CGBCh 1

CDR

CGBCh 0

CDR

CGBCh 5

X6Network

66

6 6

6

6MasterCGB

MasterCGB

XNNetwork

ATX PLL1

fPLL1

Connections Donevia X1 Network

Notes:1. The figure shown is just one possible combination for the PCIe Gen1/Gen2 x4 mode.2. The x6 and xN clock networks are used for channel bonding applications.3. Each master CGB drives one set of x6 clock lines.4. Gen1/Gen2 x4 modes use the ATX PLL or fPLL only.

6.5. Use the pll_pcie_clk from either the ATX or fPLL. This is the hclk required by the PIPE interface.

In this case the Master PCS channel is logical channel 3 (physical channel 4).

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide241

Page 242: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 103. Use ATX PLL or fPLL for Gen1/Gen2 x8 Mode

CDR

CGBCh 4

CDR

CGBCh 3

CDR

CGBCh 2

CDR

CGBCh 1

CDR

CGBCh 0

CDR

CGBCh 5

66

6

6MasterCGB

6

6MasterCGB

ATX PLL1

fPLL1

Connections Donevia X1 Network

Notes:1. Figure shown is just one possible combination for the PCIe Gen1/Gen2 x8 mode.2. The x6 and xN clock networks are used for channel bonding applications.3. Each master CGB drives one set of x6 clock lines. The x6 lines further drive the xN lines.4. Gen1/Gen2 x8 mode uses the ATX PLL or fPLL only.

6. In this case the Master PCS channel is logical channel 4 (Ch 1 in the top bank).5. Use the pll_pcie_clk from either the ATX or fPLL. This is the hclk required by the PIPE interface.

CDR

CGBCh 5

CDR

CGBCh 4

Use AnyOne PLL

Transceiverbank

Transceiverbank

6MasterCGB

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide242

Page 243: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 104. Use ATX PLL or fPLL for Gen1/Gen2/Gen3 x1 Mode

CDR

CGBCh 4

CDR

CGBCh 3

CDR

CGBCh 2

CDR

CGBCh 1

CDR

CGBCh 0

CDR

CGBCh 5

4

4MasterCGB1

MasterCGB0

6

6

6

6

6

6

X1 Network

ATX PLL1

fPLL1

fPLL0

ATX PLL0

Notes:1. The figure shown is just one possible combination for the PCIe Gen1/Gen2/Gen3 x1 mode.2. Gen1/Gen2 modes use the fPLL only.3. Gen3 mode uses the ATX PLL only.4. Use the pll_pcie_clk from the fPLL, configured as Gen1/Gen2. This is the hclk required by the PIPE interface.5. Select the number of TX PLLs (2) in the Native PHY IP core wizard.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide243

Page 244: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 105. Use ATX PLL or fPLL for Gen1/Gen2/Gen3 x4 Mode

CDR

CGBCh 4

CDR

CGBCh 3

CDR

CGBCh 2

CDR

CGBCh 1

CDR

CGBCh 0

CDR

CGBCh 5

X6Network

66

6 6

6

6MasterCGB

MasterCGB

XNNetwork

ATX PLL1

fPLL1

Connections Donevia X1 Network

Notes:1. The figure shown is just one possible combination for the PCIe Gen1/Gen2/Gen3 x4 mode.2. The x6 and xN clock networks are used for channel bonding applications.3. Each master CGB drives one set of x6 clock lines. 4. Gen1/Gen2 modes use the fPLL only.5. Gen3 mode uses the ATX PLL only.6. Use the pll_pcie_clk from the fPLL, configured as Gen1/Gen2. This is the hclk required by the PIPE interface.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide244

Page 245: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 106. Use ATX PLL or fPLL for Gen1/Gen2/Gen3 x8 Mode

CDR

CGBCh 4

CDR

CGBCh 3

CDR

CGBCh 2

CDR

CGBCh 1

CDR

CGBCh 0

CDR

CGBCh 5

66

6

6MasterCGB

6

6MasterCGB

ATX PLL1

fPLL1

Connections Donevia X1 Network

Notes:1. The figure shown is just one possible combination for the PCIe Gen1/Gen2/Gen3 x8 mode.2. The x6 and xN clock networks are used for channel bonding applications.3. Each master CGB drives one set of x6 clock lines. The x6 lines further drive the xN lines.4. Gen1/Gen2 x8 modes use the fPLL only.5. Gen3 mode uses the ATX PLL only.6. Use the pll_pcie_clk from the fPLL, configured as Gen1/Gen2. This is the hclk required by the PIPE interface.

CDR

CGBCh 5

CDR

CGBCh 4

Transceiver bank

6MasterCGB

Transceiver bank

Related Information

• Using PLLs and Clock Networks on page 398For more information about implementing clock configurations and configuringPLLs.

• PIPE Design ExampleFor more information about the PLL configuration for PCIe.

• Transmit PLL recommendation based on Data rates on page 349For more information about ATX PLL placement restrictions

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide245

Page 246: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.7.4. How to Implement PCI Express (PIPE) in Arria 10 Transceivers

You must be familiar with the Standard PCS architecture, Gen3 PCS architecture, PLLarchitecture, and the reset controller before implementing the PCI Express protocol.

1. Go to the IP Catalog and select the Arria 10 Transceiver Native PHY IP Core.Refer to Select and Instantiate the PHY IP Core on page 33 for more details.

2. Select Gen1/Gen2/Gen3 PIPE from the Arria 10 Transceiver configurationrules list, located under Datapath Options.

3. Use the parameter values in the tables in Transceiver Native PHY IP Parameters forPCI Express Transceiver Configurations Rules as a starting point. Alternatively, youcan use Arria 10 Transceiver Native PHY Presets . You can then modify thesettings to meet your specific requirements.

4. Click Finish to generate the Native PHY IP (this is your RTL file).

5. Instantiate and configure your PLL.

6. Create a transceiver reset controller. You can use your own reset controller or usethe Transceiver PHY Reset Controller.

7. Connect the Native PHY IP to the PLL IP core and the reset controller. Use theinformation in Transceiver Native PHY IP Ports for PCI Express TransceiverConfiguration Rules to connect the ports.

8. Simulate your design to verify its functionality.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide246

Page 247: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 107. Connection Guidelines for a PIPE Gen3 Design

ATX PLLand Master

CGB (Gen3)

fPLL(Gen1/Gen2)

Arria 10 Transceiver Native PHY

tx_bonding_clocks

tx_serial_clkpll_pcie_clk

tx_bonding_clockspipe_hclk_in

Reset Controller (2)

pll_p

ower

down

tx_a

nalog

rese

ttx

_digi

talre

set

rx_a

nalog

rese

trx

_digi

talre

set

rx_c

al_bu

sy

rx_i

slock

edto

ref

clock

rese

t

tx_r

eady

rx_r

eady

pll_c

al_bu

sy

pll_l

ocke

d

pll_l

ocke

d

pll_c

al_bu

sy

pll_refclk

tx_c

al_bu

sy

mcgb_aux_clk

(1)

Notes:(1). If you enable the input pll_cal_busy port in the Transceiver PHY Reset Controller, youcan connect the pll_cal_busy output signals from the PLLs directly to the inputport on the reset-controller without ORing the tx_cal_busy and pll_cal_busysignals.(2).a. If you are using the Transceiver PHY Reset Controller, you must configure the TX digitalreset mode and RX digital reset mode to Manual to avoid resetting the Auto SpeedNegotiation (ASN) block which handles the rate switch whenever the channel PCSis reset.b. When the TX digitalreset is in Auto mode, the associated tx_digitalreset controller automatically resets whenever the pll_locked signal is deasserted. When in Manual mode, the associated tx_digitalreset controller is not reset when the pll_locked signal is deasserted, allowing the user to choose what to do.c. When the RX digitalreset is in Auto mode, the associated rx_digitalreset controller automatically resets whenever the rx_is_lockedtodata signal is deasserted. When in Manual mode, the associated rx_digitalreset controller is not reset when the rx_is_lockedtodata signal is deasserted, allowing the user to choose what to do.d. If the resets are configured to Auto mode for PIPE designs, then the digital resetwill get asserted automatically when the lock signal is deasserted.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide247

Page 248: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Recommendations:

Intel recommends that you not reset the PLL and channels (TX and RX) when usingthe PIPE mode of Native PHY. This is to avoid resetting the Auto Speed Negotiation(ASN) block in the PCS.

Related Information

• PLLs on page 349For information about PLL architecture and implementation details.

• Arria 10 Standard PCS Architecture on page 479

• Resetting Transceiver Channels on page 416For information about the Reset controller and implementation details.

2.7.5. Native PHY IP Parameter Settings for PIPE

Table 184. Parameters for Arria 10 Native PHY IP in PIPE Gen1, Gen2, Gen3 ModesThis section contains the recommended parameter values for this protocol. Refer to Using the Arria 10Transceiver Native PHY IP Core for the full range of parameter values.

Gen1 PIPE Gen2 PIPE Gen3 PIPE

Parameter

Message level for rule violations Error Error Error

Common PMA Options

VCCR_GXB and VCCT_GXBsupply voltage for theTransceiver

Gen1: 1_1V, 1_0V, 0_9V Gen2: 1_1V, 1_0V, 0_9V Gen3: 1_1V, 1_0V, 0_9V

Transceiver link type Gen1: sr,lr Gen2: sr,lr Gen3: sr,lr

Datapath Options

Transceiver configuration rules Gen1 PIPE Gen2 PIPE Gen3 PIPE

PMA configuration rules Basic Basic Basic

Transceiver mode TX / RX Duplex TX / RX Duplex TX / RX Duplex

Number of data channels

Gen1 x1: 1 channelGen1 x2: 2 channelsGen1 x4: 4 channelsGen1 x8: 8 channels

Gen2 x1: 1 channelGen2 x2: 2 channelsGen2 x4: 4 channelsGen2 x8: 8 channels

Gen3 x1: 1 channelGen3 x2: 2 channelsGen3 x4: 4 channelsGen3 x8: 8 channels

Data rate 2.5 Gbps 5 Gbps 5 Gbps(39)

Enable datapath and interfacereconfiguration Optional Optional Optional

Enable simplified data interface Optional (40) Optional (40) Optional (40)

Provide separate interface foreach channel Optional Optional Optional

(39) The PIPE is configured in Gen1/Gen2 during Power Up. Gen3 PCS is configured for 8 Gbps.

(40) Refer to Table 191 on page 263 for bit settings when simplified data interface is enabled.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide248

Page 249: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 185. Parameters for Arria 10 Native PHY IP in PIPE Gen1, Gen2, Gen3 Modes - TXPMAThis section contains the recommended parameter values for this protocol. Refer to Using the Arria 10Transceiver Native PHY IP Core for the full range of parameter values.

Gen1 PIPE Gen2 PIPE Gen3 PIPE

TX Bonding Options

TX channel bonding modeNonbonded (x1)PMA & PCS Bonding

Nonbonded (x1)PMA & PCS Bonding

Nonbonded (x1)PMA & PCS Bonding

PCS TX channel bonding master Auto (41) Auto (41) Auto (41)

Default PCS TX channel bonding master

Gen1 x1: 0Gen1 x2: 1Gen1 x4: 2Gen1 x8: 4

Gen1 x1: 0Gen1 x2: 1Gen1 x4: 2Gen1 x8: 4

Gen1 x1: 0Gen1 x2: 1Gen1 x4: 2Gen1 x8: 4

TX PLL Options

TX local clock division factor 1 1 1

Number of TX PLL clock inputs perchannel 1 1

Gen3 x1: 2All other modes: 1

Initial TX PLL clock input selection

0 0

Gen1 / Gen2 clockconnection should beused for Initial clock

input selection inGen3x1

All other modes: 0

TX PMA Optional Ports

Enable tx_analog_reset_ack port Optional Optional Optional

Enable tx_pma_clkout port Optional Optional Optional

Enable tx_pma_div_clkout port Optional Optional Optional

tx_pma_div_clkout division factor Optional Optional Optional

Enable tx_pma_elecidle port Off Off Off

Enable tx_pma_qpipullup port (QPI) Off Off Off

Enable tx_pma_qpipulldn port (QPI) Off Off Off

Enable tx_pma_txdetectrx port (QPI) Off Off Off

Enable tx_pma_rxfound port (QPI) Off Off Off

Enable rx_seriallpbken port Off Off Off

(41) Setting this parameter is placement-dependent. In AUTO mode, the Native PHY IP ParameterEditor selects the middle-most channel of the configuration as the default PCS TX channelbonding master. You must ensure that this selected channel is physically placed as Ch1 or Ch4of the transceiver bank. Else, use the manual selection for the PCS TX channel bonding masterto select a channel that can be physically placed as Ch1 or Ch4 of the transceiver bank. Referto section How to place channels for PIPE configurations for more details.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide249

Page 250: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 186. Parameters for Arria 10 Native PHY IP in PIPE Gen1, Gen2, Gen3 Modes - RXPMAThis section contains the recommended parameter values for this protocol. Refer to Using the Arria 10Transceiver Native PHY IP Core for the full range of parameter values.

Gen1 PIPE Gen2 PIPE Gen3 PIPE

RX CDR Options

Number of CDR reference clocks 1 1 1

Selected CDR reference clock 0 0 0

Selected CDR reference clock frequency 100, 125 MHz 100, 125 MHz 100, 125 MHz

PPM detector threshold 1000 1000 1000

Equalization

CTLE adaptation mode

Note: Triggered adaptation mode isused only for PCIe Gen3.

Manual / Triggered Manual / Triggered Manual / Triggered

DFE adaptation mode Disabled Disabled Disabled

Number of fixed dfe taps NA NA NA

RX PMA Optional Ports

Enable rx_analog_reset_ack port Optional Optional Optional

Enable rx_pma_clkout port Optional Optional Optional

Enable rx_pma_div_clkout port Optional Optional Optional

rx_pma_div_clkout division factor Optional Optional Optional

Enable rx_pma_clkslip port Optional Optional Optional

Enable rx_pma_qpipulldn port (QPI) Off Off Off

Enable rx_is_lockedtodata port Optional Optional Optional

Enable rx_is_lockedtoref port Optional Optional Optional

Enable rx_set_locktodata andrx_set_locktoref ports Optional Optional Optional

Enable rx_seriallpbken port Optional Optional Optional

Enable PRBS Verifier Control and Statusports Optional Optional Optional

Table 187. Parameters for Arria 10 Native PHY IP in PIPE Gen1, Gen2, Gen3 Modes -Standard PCSThis section contains the recommended parameter values for this protocol. Refer to Using the Arria 10Transceiver Native PHY IP Core for the full range of parameter values.

Parameter Gen1 PIPE Gen2 PIPE Gen3 PIPE

Standard PCS configurations

Standard PCS / PMA interface width 10 10 10(42)

continued...

(42) The PIPE is configured in Gen1/Gen2 during Power Up. Gen3 PCS is configured for PCS/PMAwidth of 32.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide250

Page 251: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Gen1 PIPE Gen2 PIPE Gen3 PIPE

FPGA Fabric / Standard TX PCS interfacewidth 8, 16 16 32

FPGA Fabric / Standard RX PCS interfacewidth 8, 16 16 32

Enable Standard PCS low latency mode Off Off Off

Standard PCS FIFO

TX FIFO mode low_latency low_latency low_latency

RX FIFO mode low_latency low_latency low_latency

Enable tx_std_pcfifo_full port Optional Optional Optional

Enable tx_std_pcfifo_empty port Optional Optional Optional

Enable rx_std_pcfifo_full port Optional Optional Optional

Enable rx_std_pcfifo_empty port Optional Optional Optional

Byte Serializer and Deserializer

TX byte serializer mode Disabled, Serialize x2 Serialize x2 Serialize x4

RX byte deserializer mode Disabled, Serialize x2 Serialize x2 Deserialize x4

8B/10B Encoder and Decoder

Enable TX 8B/10B encoder Enabled Enabled Enabled

Enable TX 8B/10B disparity control Enabled Enabled Enabled

Enable RX 8B/10B decoder Enabled Enabled Enabled

Rate Match FIFO

Rate Match FIFO mode PIPE, PIPE 0ppm PIPE, PIPE 0ppm PIPE, PIPE 0ppm

RX rate match insert / delete -ve pattern(hex)

0x0002f17c (K28.5/K28.0/)

0x0002f17c (K28.5/K28.0/)

0x0002f17c (K28.5/K28.0/)

RX rate match insert / delete +vepattern (hex)

0x000d0e83 (K28.5/K28.0/)

0x000d0e83 (K28.5/K28.0/)

0x000d0e83(K28.5/K28.0/)

Enable rx_std_rmfifo_full port Optional Optional Optional

Enable rx_std_rmfifo_empty port Optional Optional Optional

PCI Express Gen 3 rate match FIFOmode Bypass Bypass 600

Word Aligner and Bit Slip

Enable TX bit slip Off Off Off

Enable tx_std_bitslipboundaryselport Optional Optional Optional

RX word aligner mode Synchronous StateMachine

Synchronous StateMachine

Synchronous StateMachine

RX word aligner pattern length 10 10 10

RX word aligner pattern (hex) 0x0000 00000000017c (/K28.5/)

0x0000 00000000017c (/K28.5/)

0x000000000000017c(/

K28.5/)

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide251

Page 252: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Gen1 PIPE Gen2 PIPE Gen3 PIPE

Number of word alignment patterns toachieve sync 3 3 3

Number of invalid data words to losesync 16 16 16

Number of valid data words todecrement error count 15 15 15

Enable rx_std_wa_patternalign port Optional Optional Optional

Enable rx_std_wa_a1a2size port Off Off Off

Enable rx_std_bitslipboundaryselport Optional Optional Optional

Enable rx_bitslip port Off Off Off

Bit Reversal and Polarity Inversion

Enable TX bit reversal Off Off Off

Enable TX byte reversal Off Off Off

Enable TX polarity inversion Off Off Off

Enable tx_polinv port Off Off Off

Enable RX bit reversal Off Off Off

Enable rx_std_bitrev_ena port Off Off Off

Enable RX byte reversal Off Off Off

Enable rx_std_byterev_ena port Off Off Off

Enable RX polarity inversion Off Off Off

Enable rx_polinv port Off Off Off

Enable rx_std_signaldetect port Optional Optional Optional

PCIe Ports

Enable PCIe dynamic datarate switchports Off Enabled Enabled

Enable PCIe pipe_hclk_in andpipe_hclk_out ports Enabled Enabled Enabled

Enable PCIe Gen3 analog control ports Off Off Enabled

Enable PCIe electrical idle control andstatus ports Enabled Enabled Enabled

Enable PCIe pipe_rx_polarity port Enabled Enabled Enabled

Dynamic reconfiguration

Enable dynamic reconfiguration Disabled Disabled Disabled

Note: The signals in the left-most column are automatically mapped to a subset of a 128-bittx_parallel_data word when the Simplified Interface is enabled.

Related Information

• How to Place Channels for PIPE Configurations on page 268

• Using the Arria 10 Transceiver Native PHY IP Core on page 45

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide252

Page 253: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

• Bit Mappings When the Simplified Interface Is Disabled on page 263

2.7.6. fPLL IP Parameter Core Settings for PIPE

Table 188. Parameter Settings for Arria 10 fPLL IP core in PIPE Gen1, Gen2, Gen3 modesThis section contains the recommended parameter values for this protocol. Refer to Using the Arria 10Transceiver Native PHY IP Core for the full range of parameter values.

Parameter Gen1 PIPE Gen2 PIPE Gen3 PIPE (For Gen1/Gen2 speeds)

PLL

General

fPLL mode Transceiver Transceiver Transceiver

Protocol Mode PCIe Gen 1 PCIe Gen 2 PCIe Gen 2

Message level for ruleviolation

Error Error Error

Number of PLL referenceclocks

1 1 1

Selected reference clocksource

0 0 0

Enable fractional mode Disable Disable Disable

Enable manual counterconfiguration

Disable Disable Disable

Enable ATX to fPLL cascadeclock input port

Disable Disable Disable

Settings

Bandwidth Low, Medium, High Low, Medium, High Low, Medium, High

Feedback

Operation mode Direct Direct Direct

Output frequency

Transceiver usage

PLL output frequency 250oMHz 2500MHz 2500MHz

PLL datarate 2500Mbps 5000Mbps 5000Mbps

PLL integer reference clockfrequency

100 MHz, 125 MHZ 100 MHz, 125 MHZ 100 MHz, 125 MHZ

Master Clock Generation Block (MCGB)

Include master clockgeneration block

Disable for x1Enable for x2, x4, x8

Disable for x1Enable for x2, x4, x8

Disable for x1Disable for x2, x4, x8

Clock division factor N/A for x11 for x2, x4, x8

N/A for x11 for x2, x4, x8

N/A for x1N/A for x2, x4, x8

Enable x6/xN non-bondedhigh-speed clock output port

N/A for x1Disable for x2, x4, x8

N/A for x1Disable for x2, x4, x8

N/A for x1N/A for x2,x4, x8

Enable PCIe clock switchinterface

N/A for x1Disable for x2, x4, x8

N/A for x1Enable for x2, x4, x8

N/A for x1N/A for x2, x4, x8

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide253

Page 254: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Gen1 PIPE Gen2 PIPE Gen3 PIPE (For Gen1/Gen2 speeds)

Number of auxiliary MCGBclock input ports

N/A for x10 for x2, x4, x8

N/A for x10 for x2, x4, x8

N/A for x1N/A for x2, x4, x8

MCGB input clock frequency 1250MHz 2500MHz 2500MHz

MCGB output data rate 2500Mbps 5000Mbps 5000Mbps

Bonding

Enable bonding clock outputports

N/A for x1 designEnable for x2, x4, x8

N/A for x1 designEnable for x2, x4, x8

N/A for x1N/A for x2, x4, x8

Enable feedbackcompensation bonding

N/A for x1 designDisable for x2, x4, x8

N/A for x1 designDisable for x2, x4, x8

N/A for x1N/A for x2, x4, x8

PMA interface width N/A for x1 design10 for x2, x4, x8

N/A for x1 design10 for x2, x4, x8

N/A for x1N/A for x2, x4, x8

Dynamic Reconfiguration

Enable dynamicreconfiguration

Disable Disable Disable

Enable Altera Debug MasterEndpoint

Disable Disable Disable

Separate avmm_busy fromreconfig_waitrequest

N/A N/A N/A

Optional Reconfiguration Logic

Enable capability registers N/A N/A N/A

Set user-defined IP identifier N/A N/A N/A

Enable control and statusregisters

N/A N/A N/A

Configuration Files

Configuration file prefix N/A N/A N/A

Generate SystemVerilogpackage file

N/A N/A N/A

Generate C Header file N/A N/A N/A

Generate MIF (MemoryInitialize file)

N/A N/A N/A

Generation Options

Generate parameterdocumentation file

Enable Enable Enable

Related Information

Using the Arria 10 Transceiver Native PHY IP Core on page 45

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide254

Page 255: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.7.7. ATX PLL IP Parameter Core Settings for PIPE

Table 189. Parameters for Arria 10 ATX PLL IP core in PIPE Gen1, Gen2, Gen3 modesThis section contains the recommended parameter values for this protocol. Refer to Using the Arria 10Transceiver Native PHY IP Core for the full range of parameter values.

Parameter Gen1 PIPE Gen2 PIPE Gen3 PIPE (For Gen3speed)

PLL

General

Message level for rule violations Error Error Error

Protocol Mode PCIe Gen 1 PCIe Gen 2 PCIe Gen 3

Bandwidth Low, medium, high Low, medium, high Low, medium, high

Number of PLL reference clocks 1 1 1

Selected reference clock source 0 0 0

Ports

Primary PLL clock output buffer GX clock output buffer GX clock output buffer GX clock output buffer

Enable PLL GX clock output port Enable Enable Enable

Enable PLL GT clock output port Disable Disable Disable

Enable PCIe clock output portpll_pcie_clk

Enable Enable Disable (Use the pll_pcie_clkoutput port from the fPLL todrive the hclk)

Enable ATX to fPLL cascade clock outputport

Disable Disable Disable

Output Frequency

PLL output frequency 2500MHz 2500MHz 4000MHz

PLL output datarate 2500Mbps 5000Mbps 8000Mbps

Enable fractional mode Disable Disable Disable

PLL integer reference clock frequency 100MHz, 125MHZ 100MHz, 125MHZ 100MHz, 125MHZ

Configure counters manually Disable Disable Disable

Multiple factor (M counter) N/A N/A N/A

Divide factor (N counter) N/A N/A N/A

Divide factor (L counter) N/A N/A N/A

Master Clock Generation Block

MCGB

Include master clock generation block Disable for x1Enable for x2, x4, x8

Disable for x1Enable for x2, x4, x8

Disable for x1Enable for x2, x4, x8

Clock division factor N/A for x11 for x2, x4, x8

N/A for x11 for x2, x4, x8

N/A for x11 for x2, x4, x8

Enable x6/xN non-bnded high speedclock output port

N/A for x1Disable for x2, x4, x8

N/A for x1Disable for x2, x4, x8

N/A for x1Disable for x2, x4, x8

Enable PCIe clock switch interface N/A for x1Disable for x2, x4, x8

N/A for x1Enable for x2, x4, x8

N/A for x1Enable for x2, x4, x8

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide255

Page 256: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Gen1 PIPE Gen2 PIPE Gen3 PIPE (For Gen3speed)

Number of auxiliary MCGB clock inputports

N/A for x10 for x2, x4, x8

N/A for x10 for x2, x4, x8

N/A for x11 for x2, x4, x8

MCGB input clock frequency 1250 MHz 2500 MHz 4000 MHz

MCGB output data rate 2500 Mbps 5000 Mbps 8000 Mbps

Bonding

Enable bonding clock output ports N/A for x1Enable for x2, x4, x8

N/A for x1Enable for x2, x4, x8

N/A for x1Enable for x2, x4, x8

Enable feedback compensation bonding N/A for x1 designDisable for x2, x4, x8

N/A for x1 designDisable for x2, x4, x8

Disable for x1Disable for x2, x4, x8

PMA interface width N/A for x1 design10 for x2, x4, x8

N/A for x1 design10 for x2, x4, x8

N/A for x110 for x2, x4, x8

Dynamic Reconfiguration

Enable dynamic reconfiguration Disable Disable Disable

Enable Altera Debug Master Endpoint Disable Disable Disable

Separate avmm_busy fromreconfig_waitrequest

N/A N/A N/A

Optional Reconfiguration Logic

Enable capability registers N/A N/A N/A

Set user-defined IP identifier N/A N/A N/A

Enable control and status registers N/A N/A N/A

Configuration Files

Configuration file prefix N/A N/A N/A

Generate SystemVerilog package file N/A N/A N/A

Generate C Header file N/A N/A N/A

Generate MIF (Memory Initialize file) N/A N/A N/A

Generation Options

Generate parameter documentation file Enable Enable Enable

Related Information

Using the Arria 10 Transceiver Native PHY IP Core on page 45

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide256

Page 257: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.7.8. Native PHY IP Ports for PIPE

Figure 108. Signals and Ports of Native PHY IP for PIPE

-

reconfig_resetreconfig_clk

reconfig_avmm

tx_digitalresettx_datak [3:0], [1:0], or [0]

tx_parallel_data [31:0], [15:0], or [7:0]tx_coreclkin

tx_clkout

pipe_rx_elecidle [(N-1):0]pipe_phy_status [(N-1):0]

pipe_rate [1:0]pipe_g3_tx_deemph [(18N-1):0]pipe_g3_rxpresethint [(3N-1):0]

pipe_sw_done [1:0]pipe_rx_polarity [(N-1):0]

pipe_tx_elecidle [(4N-1):0]pipe_tx_detectrx_loopback [(N-1):0]

Gen1/Gen2/Gen3 - BlackGen2/Gen3 - RedGen3 Blue

pipe_powerdown [(2N-1):0]pipe_rx_eidleinfersel [(3N-1):0]

pipe_tx_sync_hdr [(2N-1):0]pipe_tx_data_valid [(N-1):0]

pipe_tx_blk_start [(N-1):0]pipe_tx_deemph [(N-1):0]

tx_bonding_clocks[(6n-1):0]

pipe_rx_data_valid [(N-1):0]

pipe_rx_blk_start [(N-1):0]pipe_rx_sync_hdr [(2N-1):0]

tx_analogresetrx_analogreset

rx_digitalresetrx_datak [3:0], [1:0], or [0]

rx_parallel_data [31:0], [15:0], or [7:0]rx_clkout

rx_coreclkinrx_syncstatus

tx_datak [3:0], [1:0], or [0]tx_parallel_data[31:0],[15:0],or[7:0]tx_coreclkintx_clkoutunused_tx_parallel_data[118:0]

ReconfigurationRegisters

TX Standard PCS

PIPE Interface

rx_datak [3:0], [1:0], or [0]rx_parallel_data[31:0],[15:0],or[7:0]rx_clkoutrx_coreclkinrx_syncstatusunused_rx_parallel_data[118:0]

RX Standard PCS

Nios II HardCalibration IP

TX PMA

Serializer

RX PMA

Deserializer CDR

tx_cal_busyrx_cal_busy

tx_serial_data

pipe_hclk_out [0]pipe_hclk_in [0] (from TX PLL)pipe_tx_compliance [(4N-1):0]pipe_tx_margin [(3N-1):0]pipe_tx_swing [(N-1):0]pipe_rx_valid [(N-1):0]pipe_rx_status [(3N-1):0]pipe_sw [1:0]

rx_serial_datarx_cdr_refclk0rx_is_lockedtodatarx_is_lockedtoref

Arria 10 Transceiver Native PHY

-

10

Local CGB(for X1 Modes Only tx_serial_data

Note: N is the number of PCIe channels

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide257

Page 258: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 190. Ports for Arria 10 Transceiver Native PHY in PIPE ModeThis section contains the recommended settings for this protocol. Refer to Using the Arria 10 TransceiverNative PHY IP Core for the full range of parameter settings.

Port Direction Clock Domain Description

Clocks

rx_cdr_refclk0 In N/A The 100/125 MHz input reference clocksource for the PHY's TX PLL and RX CDR.

tx_serial_clk0/tx_serial_clk1

In N/A

The high speed serial clock generated by thePLL.Note: For Gen3 x1 ONLY tx_serial_clk1is used.

pipe_hclk_in[0] In N/A

The 500 MHz clock used for the ASN block.This clock is generated by the PLL,configured for Gen1/Gen2.Note: For Gen3 designs, use from the fPLLthat is used for Gen1/Gen2.

pipe_hclk_out[0] Out N/A

The 500 MHz clock output provided to thePHY - MAC interface. The pipe_hclk_out[0] port can be left floating when youconnect tx_clkout to the MAC clock input.

PIPE Input from PHY - MAC Layer

tx_parallel_data[31:0],[15:0], or [7:0] In tx_coreclkin

The TX parallel data driven from the MAC.For Gen1 this can be 8 or 16 bits. For Gen2this is 16 bits. For Gen3 this is 32 bits.Note: unused_tx_parallel_data shouldbe tied to '0'.Active High. Refer to table Bit Mappings whenthe Simplified Interface is Disabled foradditional details.

tx_datak[3:0], [1:0], or[0]

In tx_coreclkin

The data and control indicator for thetransmitted data.For Gen1 or Gen2, when 0, indicates thattx_parallel_data is data, when 1,indicates that tx_parallel_data iscontrol.For Gen3, bit[0] corresponds totx_parallel_data[7:0], bit[1]corresponds to tx_parallel_data[15:8],and so on.Active High. Refer to table Bit Mappings whenthe Simplified Interface is Disabled foradditional details.

pipe_tx_sync_hdr[(2N-1):0](43) In tx_coreclkin

For Gen3, indicates whether the 130-bitblock transmitted is a Data or ControlOrdered Set Block.The following encodings are defined:2'b10: Data block2'b01: Control Ordered Set BlockThis value is read whenpipe_tx_blk_start = 1b'1.

continued...

(43) N is the number of PCIe channels.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide258

Page 259: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Port Direction Clock Domain Description

Refer to Lane Level Encoding in the PCIExpress Base Specification, Rev. 3.0 for adetailed explanation of data transmission andreception using 128b/130b encoding anddecoding.Not used for Gen1 and Gen2 data rates.Active High

pipe_tx_blk_start[(N-1):0]

In tx_coreclkin

For Gen3, specifies the start block bytelocation for TX data in the 128-bit block data.Used when the interface between the PCSand PHY-MAC (FPGA Core) is 32 bits.Not used for Gen1 and Gen2 data rates.Active High

pipe_tx_elecidle[(4N-1):0]

In Asynchronous

Forces the transmit output to electrical idle.Refer to the Intel PHY Interface for PCIExpress (PIPE) for timing diagrams.Gen1 - Width of signal is 1 bit/lane.Gen2 - Width of signal is 2 bits/lane. Forexample, if the MAC connected to PIPEGen2x4 has 1bit/lane, then you can use thefollowing mapping to connect to PIPE:{pipe_tx_elecidle[7:0] ={{2{tx_elecidle_ch3}},{2{tx_elecidle_ch2}},{2{tx_elecidle_ch1}},{2{tx_elecidle_ch0}}} where tx_elecidle_*is the output signal from MAC.Gen3 - Width of signal is 4 bits/lane. Forexample, if the MAC connected to PIPEGen3x4 has 1bit/lane, then you can use thefollowing mapping to connect to PIPE:{pipe_tx_elecidle[15:0] ={{4{tx_elecidle_ch3}},{4{tx_elecidle_ch2}},{4{tx_elecidle_ch1}},{4{tx_elecidle_ch0}}} where tx_elecidle_*is the output signal from MAC.Active High

pipe_tx_detectrx_loopback [(N-1):0]

In tx_coreclkin

Instructs the PHY to start a receive detectionoperation. After power-up, asserting thissignal starts a loopback operation. Refer tosection 6.4 of the Intel PHY Interface for PCIExpress (PIPE) for a timing diagram.Active High

pipe_tx_compliance[(4N-1):0]

In tx_coreclkin

Asserted for one cycle to set the runningdisparity to negative. Used when transmittingthe compliance pattern. Refer to section 6.11of the Intel PHY Interface for PCI Express(PIPE) Architecture for more information.Gen1 - Width of signal is 1 bit/lane.Gen2 - Width of signal is 2 bits/lane.For example, if the MAC connected to PIPEGen2x4 has 1bit/lane, then you can use thefollowing mapping to connect to PIPE:{pipe_tx_compliance[7:0] ={{2{tx_compliance_ch3}},{2{tx_compliance _ch2}},{2{tx_compliance_ch1}}, {2{tx_compliance_ch0}}}. Where tx_compliance_* is theoutput signal from MAC.Gen3 - Width of signal is 4 bits/lane.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide259

Page 260: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Port Direction Clock Domain Description

For example, if the MAC connected to PIPEGen3x4 has 1bit/lane, then you can use thefollowing mapping to connect to PIPE:{pipe_tx_compliance[15:0]= {{4{tx_compliance _ch3}}, {4{tx_ compliance_ch2}}, {4{tx_ compliance _ch1}}, {4{tx_compliance _ch0}}}. Where tx_ compliance_* is the output signal from MAC.Active High

pipe_rx_polarity[(N-1):0]

In AsynchronousWhen 1'b1, instructs the PHY layer to invertthe polarity on the received data.Active High

pipe_powerdown[(2N-1):0]

In tx_coreclkin

Requests the PHY to change its power stateto the specified state. The Power States areencoded as follows:2'b00: P0 - Normal operation.2'b01: P0s - Low recovery time, powersaving state.2'b10: P1 - Longer recovery time, lowerpower state .2'b11: P2 - Lowest power state.

pipe_tx_margin[(3N-1):0]

In tx_coreclkin

Transmit VOD margin selection. The PHY-MACsets the value for this signal based on thevalue from the Link Control 2 Register. Thefollowing encodings are defined:3'b000: Normal operating range3'b001: Full swing: 800 - 1200 mV; Halfswing: 400 - 700 mV.3'b010:-3'b011: Reserved.3'b100-3'b111: Full swing: 200 - 400mV;Half swing: 100 - 200 mV else reserved.

pipe_tx_swing[(N-1):0] In tx_coreclkin

Indicates whether the transceiver is usingFull swing or Half swing voltage as defined bythe pipe_tx_margin.1'b0-Full swing.1'b1-Half swing.

pipe_tx_deemph[(N-1):0] In Asynchronous

Transmit de-emphasis selection. In PCIExpress Gen2 (5 Gbps) mode it selects thetransmitter de-emphasis:1'b0: –6 dB.1'b1: –3.5 dB.

pipe_g3_tx_deemph[(18N-1):0]

In Asynchronous

The pipe_g3_tx_deemph port is used toselect the link partners transmitter de-emphasis during equalization. The 18 bitsspecify the following coefficients:[5:0]: C-1

[11:6]: C0

[17:12]: C+1

Refer to Preset Mappings to TX De-emphasison page 267 for presets to TX de-emphasismappings. In Gen3 capable designs, the TXde-emphasis for Gen2 data rate is always -6dB. The TX de-emphasis for Gen1 data rateis always -3.5 dB.Refer to section 6.6 of Intel PHY Interface forPCI Express (PIPE) Architecture for moreinformation.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide260

Page 261: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Port Direction Clock Domain Description

Note: Intel recommends transmitting PresetP8 coefficients for Arria 10 receiver torecover data successfully.

pipe_g3_rxpresethint[(3N-1):0]

In Asynchronous

This is used to trigger CTLE adaptation inPhase2 (EP) /Phase 3 (RP) to achievereceiver Bit Error Rate (BER) that is less than10-12.Gen3 capable design at Gen1/Gen2 speeds:This should be set to 3’b000.Gen3 capable design at Gen3 speed: Refer tosection “PHY IP Core for PCIe (PIPE) LinkEqualization for Gen3 Data Rate” for detailson when to set/reset this port.

pipe_rx_eidleinfersel[(3N-1):0]

In Asynchronous

When asserted high, the electrical idle stateis inferred instead of being identified usinganalog circuitry to detect a device at theother end of the link. The following encodingsare defined:3'b0xx: Electrical Idle Inference not requiredin current LTSSM state.3'b100: Absence of COM/SKP OS in 128 ms.3'b101: Absence of TS1/TS2 OS in 1280 UIinterval for Gen1 or Gen2.3'b110: Absence of Electrical Idle Exit in2000 UI interval for Gen1 and 16000 UIinterval for Gen2.3'b111: Absence of Electrical Idle exit in 128ms window for Gen1.Note: Recommended to implement Receiver

Electrical Idle Inference (EII) in FPGAfabric.

pipe_rate[1:0] In Asynchronous

The 2-bit encodings defined in the followinglist:2'b00: Gen1 rate (2.5 Gbps)2'b01: Gen2 rate (5.0 Gbps)2'b10: Gen3 rate (8.0 Gbps)

pipe_sw_done[1:0] In N/A

Signal from the Master clock generationbuffer, indicating that the rate switch hascompleted. Use this signal for bonding modeonly.For non-bonded applications, this signal isinternally connected to the local CGB.

pipe_tx_data_valid[(N-1):0]

In tx_coreclkin

For Gen3, this signal is deasserted by theMAC to instruct the PHY to ignoretx_parallel_data for current clock cycle.A value of 1'b1 indicates the PHY should usethe data. A value of 0 indicates the PHYshould not use the data.Active High

PIPE Output to PHY - MAC Layer

rx_parallel_data[31:0],[15:0], or [7:0] Out rx_coreclkin

The RX parallel data driven to the MAC.For Gen1 this can be 8 or 16 bits. For Gen2this is 16 bits only. For Gen3 this is 32bits.Refer to Bit Mappings When theSimplified Interface is Disabled for moredetails.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide261

Page 262: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Port Direction Clock Domain Description

rx_datak[3:0], [1:0], or[0]

Out rx_coreclkin

The data and control indicator.For Gen1 or Gen2, when 0, indicates thatrx_parallel_data is data, when 1,indicates that rx_parallel_data iscontrol.For Gen3, Bit[0] corresponds torx_parallel_data[7:0], Bit[1]corresponds to rx_parallel_data[15:8],and so on. Refer to tableBit Mappings Whenthe Simplified Interface is Disabled for moredetails.

pipe_rx_sync_hdr[(2N-1):0]

Out rx_coreclkin

For Gen3, indicates whether the 130-bitblock being transmitted is a Data or ControlOrdered Set Block. The following encodingsare defined:2'b10: Data block2'b01: Control Ordered Set blockThis value is read whenpipe_rx_blk_start = 4'b0001. Refer toSection 4.2.2.1. Lane Level Encoding in thePCI Express Base Specification, Rev. 3.0 fora detailed explanation of data transmissionand reception using 128b/130b encoding anddecoding.

pipe_rx_blk_start[(N-1):0]

Out rx_coreclkin

For Gen3, specifies the start block bytelocation for RX data in the 128-bit blockdata. Used when the interface between thePCS and PHY-MAC (FPGA Core) is 32 bits.Not used for Gen1 and Gen2 data rates.Active High

pipe_rx_data_valid[(N-1):0]

Out rx_coreclkin

For Gen3, this signal is deasserted by thePHY to instruct the MAC to ignorerx_parallel_data for current clock cycle.A value of 1'b1 indicates the MAC should usethe data. A value of 1'b0 indicates the MACshould not use the data.Active High

pipe_rx_valid[(N-1):0] Out rx_coreclkin Asserted when RX data and control are valid.

pipe_phy_status[(N-1):0]

Out rx_coreclkinSignal used to communicate completion ofseveral PHY requests.Active High

pipe_rx_elecidle[(N-1):0]

Out AsynchronousWhen asserted, the receiver has detected anelectrical idle.Active High

pipe_rx_status[(3N-1):0]

Out rx_coreclkin

Signal encodes receive status and errorcodes for the receive data stream andreceiver detection. The following encodingsare defined:3'b000 - Receive data OK3'b001 - 1 SKP added3'b010 - 1 SKP removed3'b011 - Receiver detected3'b100 - Either 8B/10B or 128b/130b decodeerror and (optionally) RX disparity error3'b101 - Elastic buffer overflow3'b110 - Elastic buffer underflow

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide262

Page 263: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Port Direction Clock Domain Description

3'b111 - Receive disparity error, not used ifdisparity error is reported using 3'b100.

pipe_sw[1:0] Out N/A

Signal to clock generation buffer indicatingthe rate switch request. Use this signal forbonding mode only.For non-bonded applications this signal isinternally connected to the local CGB.Active High. Refer to Table 191 on page 263Bit Mappings When the Simplified Interface isDisabled for more details.

Table 191. Bit Mappings When the Simplified Interface Is DisabledThis section contains the recommended settings for this protocol. Refer to Using the Arria 10 TransceiverNative PHY IP Core for the full range of parameter values.

Signal Name Gen1 (TX ByteSerializer and RXByte Deserializer

disabled)

Gen1 (TX ByteSerializer and RX Byte

Deserializer in X2mode), Gen2 (TX ByteSerializer and RX Byte

Deserializer in X2mode)

Gen3

tx_parallel_data tx_parallel_data[7:0]

tx_parallel_data[29:22,7:0]

tx_parallel_data[40:33,29:22,18:11,7:0]

tx_datak tx_parallel_data[8]

tx_parallel_data[30,8]

tx_parallel_data[41,30,19,8]

pipe_tx_compliance tx_parallel_data[9]

tx_parallel_data[31,9]

tx_parallel_data[42,31,20,9]

pipe_tx_elecidle tx_parallel_data[10]

tx_parallel_data[32,10]

tx_parallel_data[43,32,21,10]

pipe_tx_detectrx_loopbacK

tx_parallel_data[46]

tx_parallel_data[46]

tx_parallel_data[46]

pipe_powerdown tx_parallel_data[48:47]

tx_parallel_data[48:47]

tx_parallel_data[48:47]

pipe_tx_margin tx_parallel_data[51:49]

tx_parallel_data[51:49]

tx_parallel_data[51:49]

pipe_tx_swing tx_parallel_data[53]

tx_parallel_data[53]

tx_parallel_data[53]

rx_parallel_data rx_parallel_data[7:0]

rx_parallel_data[39:32,7:0]

rx_parallel_data[55:48,39:32,23:16,7:0]

rx_datak rx_parallel_data[8]

rx_parallel_data[40,8]

rx_parallel_data[56,40,24,8]

rx_syncstatus rx_parallel_data[10]

rx_parallel_data[42,10]

rx_parallel_data[58,42,26,10]

pipe_phy_status rx_parallel_data[65]

rx_parallel_data[65]

rx_parallel_data[65]

pipe_rx_valid rx_parallel_data[66]

rx_parallel_data[66]

rx_parallel_data[66]

pipe_rx_status rx_parallel_data[69:67]

rx_parallel_data[69:67]

rx_parallel_data[69:67]

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide263

Page 264: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Signal Name Gen1 (TX ByteSerializer and RXByte Deserializer

disabled)

Gen1 (TX ByteSerializer and RX Byte

Deserializer in X2mode), Gen2 (TX ByteSerializer and RX Byte

Deserializer in X2mode)

Gen3

pipe_tx_deemph N/A tx_parallel_data[52]

N/A

pipe_tx_sync_hdr N/A N/A tx_parallel_data[55:54]

pipe_tx_blk_start N/A N/A tx_parallel_data[56]

pipe_tx_data_valid N/A N/A tx_parallel_data[60]

pipe_rx_sync_hdr N/A N/A rx_parallel_data[71:70]

pipe_rx_blk_start N/A N/A rx_parallel_data[72]

pipe_rx_data_valid N/A N/A rx_parallel_data[76]

Refer to section 6.6 of Intel PHY Interface for PCI Express (PIPE) Architecture for moreinformation.

Related Information

• PHY IP Core for PCIe (PIPE) Link Equalization for Gen3 Data Rate on page 274

• Intel PHY Interface for PCI Express (PIPE) Architecture

• Bit Mappings When the Simplified Interface is Disabled on page 263

• Using the Arria 10 Transceiver Native PHY IP Core on page 45

2.7.9. fPLL Ports for PIPE

Table 192. fPLL Ports for PIPEThis section contains the recommended settings for this protocol. Refer to Using the Arria 10 TransceiverNative PHY IP Core for the full range of parameter settings.

Port Direction Clock Domain Description

Pll_powerdown Input Asynchronous Resets the PLL when asserted high. Needs to be connectedto a dynamically controlled signal (the Transceiver PHYReset Controller pll_powerdown output if using this IntelFPGA IP).

Pll_reflck0 Input N/A Reference clock input port 0. There are five reference clockinput ports. The number of reference clock ports availabledepends on the Number of PLL reference clocks parameter.

tx_serial_clk Output N/A High speed serial clock output port for GX channels.Represents the x1 clock network.For Gen1x1, Gen2x1, connect the output from this port tothe tx_serial_clk input of the native PHY IP.For Gen1x2, x4, x8, use the tx_bonding_clocks outputport to connect to the Native PHY IP.For Gen2x2, x4, x8, use the tx_bonding_clocks outputport to connect to the Native PHY IP.For Gen3x1, connect the output from this port to one ofthe two tx_serial_clk input ports on the native PHY IP.For Gen3x2, x4, x8, connect the output from this port tothe Auxiliary Master CGB clock input port of the ATX PLL IP.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide264

Page 265: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Port Direction Clock Domain Description

pll_locked Output Asynchronous Active high status signal which indicates if PLL is locked.

pll_pcie_clk Output N/A This is the hclk required for PIPE interface.For Gen1x1, x2, x4, x8 use this port to drive the hclk forthe PIPE interface.For Gen2x1, x2, x4, x8 use this port to drive the hclk forthe PIPE interface.For Gen3x1, x2, x4, x8, use the pll_pcie_clk from fPLL(configured as Gen1/Gen2) as the hclk for the PIPEinterface.

Pll_cal_busy Output Asynchronous Status signal which is asserted high when PLL calibration isin progress.If this port is not enabled in Transceiver PHY ResetController, then perform logical OR with this signal and thetx_cal_busy output signal from Native PHY to input thetx_cal_busy on the reset controller IP.

Mcgb_rst Input Asynchronous Master CGB reset control.

mcgb_aux_clk0 Input N/A Used for Gen3 to switch between fPLL/ATX PLL during linkspeed negotiation. For gen3x2, x4, x8 use themcgb_aux_clk input port on the ATX PLL.

tx_bonding_clocks[6n-1:0]] Output N/A Optional 6-bit bus which carries the low speed parallelclock outputs from the Master CGB. It is used for channelbonding, and represents the x6/xN clock network.For Gen1x1, this port is disabled.For Gen1x2, x4, x8 connect the output from this port tothe tx_bonding_clocks input on Native PHY.For Gen2x1, this port is disabled.For Gen2x2, x4, x8 connect the output from this port tothe tx_bonding_clocks input on Native PHY.For Gen3x1, this port is disabled.For Gen3x2, x4, x8, use the tx_bonding_clocks outputfrom the ATX PLL to connect to the tx_bonding_clocksinput of the Native PHY.

pcie_sw[1:0] Input Asynchronous 2-bit rate switch control input used for PCIe protocolimplementation.For Gen1, this port is N/AFor Gen 2x2, x4, x8 connect the pipe_sw output fromNative PHY to this port.For Gen3x2, x4, x8 connect the pipe_sw output from theNative PHY to this port.For Gen3x2, x4, x8, this port is not used. You must use thepipe_sw from Native PHY to drive the pcie_sw input porton the ATX PLL.

pcie_sw_done[1:0] Output Asynchronous 2-bit rate switch status output used for PCIe protocolimplementation.For Gen1, this port is N/A.For Gen 2x2, x4, x8 connect the pcie_sw_done outputfrom ATX PLL to the pipe_sw_done input of Native PHY .For Gen3x2, x4, x8 connect the pcie_sw_done outputfrom ATX PLL to the pipe_sw_done input of Native PHY.

Related Information

Using the Arria 10 Transceiver Native PHY IP Core on page 45

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide265

Page 266: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.7.10. ATX PLL Ports for PIPE

Table 193. ATX PLL Ports for PIPEThis section contains the recommended settings for this protocol. Refer to Using the Arria 10 TransceiverNative PHY IP Core for the full range of parameter settings.

Port Direction Clock Domain Description

Pll_powerdown Input Asynchronous Resets the PLL when asserted high. Needs to be connected to adynamically controlled signal (the Transceiver PHY ResetController pll_powerdown output if using this Intel FPGA IP.

Pll_reflck0 Input N/A Reference clock input port 0. There are five reference clockinput ports. The number of reference clock ports availabledepends on the Number of PLL reference clocks parameter.

tx_serial_clk Output N/A High speed serial clock output port for GX channels. Representsthe x1 clock network.For Gen1x1, Gen2x1, connect the output from this port to thetx_serial_clk input of the native PHY IP.For Gen1x2, x4, x8, use the tx_bonding_clocks output port toconnect to the Native PHY.For Gen2x2, x4, x8, use the tx_bonding_clocks output port toconnect to the Native PHY.For Gen3x1, connect the output from this port to one of the twotx_serial_clk input ports on the native PHY IP.For Gen3x2, x4, x8, this port is not used. Use the tx_serial_clkoutput from the fPLL to drive the Auxiliary Master CGB clockinput port of the ATX PLL.

pll_locked Output Asynchronous Active high status signal which indicates if PLL is locked.

pll_pcie_clk Output N/A This is the hclk required for PIPE interface.For Gen1x1,x2,x4,x8 use this port to drive the hclk for the PIPEinterface.For Gen2x1,x2,x4,x8 use this port to drive the hclk for the PIPEinterface.For Gen3x1,x2,x4,x8, this port is not used. Use thepll_pcie_clk from fPLL (configured as Gen1/Gen2) as thehclk for the PIPE interface.

Pll_cal_busy Output Asynchronous Status signal which is asserted high when PLL calibration is inprogress. If this port is not enabled in the Transceiver PHYReset Controller, then perform logical OR with this signal andthe tx_cal_busy output signal from Native PHY to input thetx_cal_busy on the reset controller IP.

Mcgb_rst Input Asynchronous Master CGB reset control.

mcgb_aux_clk0 Input N/A Used for Gen3 to switch.between fPLL/ATX PLL during link speed negotiation.For gen3x2,x4,x8 use the tx_serial_clk output port fromfPLL (configured for Gen1/Gen2) to drive the mcgb_aux_clkinput port on the ATX PLL.

tx_bonding_clocks[5:0] Output N/A Optional 6-bit bus which carries the low speed parallel clockoutputs from the Master CGB. Used for channel bonding, andrepresents the x6/xN clock network.For Gen1x1, this port is disabled.For Gen1x2,x4,x8 connect the output from this port to thetx_bonding_clocks input on Native PHY.For Gen2x1, this port is disabledFor Gen2x2,x4,x8 connect the output from this port totx_bonding_clocks input on Native PHY.For Gen3x1, this port is disabled.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide266

Page 267: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Port Direction Clock Domain Description

For Gen3x2,x4,x8 use the tx_bonding_clocks output fromthe ATX PLL to connect to the tx_bonding_clocks input ofthe Native PHY.

pcie_sw[1:0] Input Asynchronous 2-bit rate switch control input used for PCIe protocolimplementation.For Gen1, this port is N/A.For Gen 2x2,x4,x8 connect the pipe_sw output from NativePHY to this port.For Gen3x2,x4,x8 use the pipe_sw output from Native PHY todrive this port.

pcie_sw_done[1:0] Output Asynchronous 2-bit rate switch status output used for PCIe protocolimplementation.For Gen1, this port is N/A.For Gen2x2, x4, x8 connect the pcie_sw_done output fromATX PLL to pipe_sw_done input of Native PHY .For Gen3x2, x4, x8 pcie_sw_done output from ATX PLL topipe_sw_done input of Native PHY.

Related Information

Using the Arria 10 Transceiver Native PHY IP Core on page 45

2.7.11. Preset Mappings to TX De-emphasis

Table 194. Arria 10 Preset Mappings to TX De-emphasis

Preset C+1 C0 C-1

0 001111 101101 000000

1 001010 110010 000000

2 001100 110000 000000

3 001000 110100 000000

4 000000 111100 000000

5 000000 110110 000110

6 000000 110100 001000

7 001100 101010 000110

8 001000 101100 001000

9 000000 110010 001010

10 010110 100110 000000

The pipe_g3_txdeemph port is used to select the link partner’s transmitter de-emphasis during equalization. The 18 bits specify the following coefficients:

[5:0]: C-1

[11:6]: C0

[17:12]: C+1

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide267

Page 268: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Note: Intel recommends transmitting Preset P8 coefficients for Arria 10 receiver to recoverdata successfully.

2.7.12. How to Place Channels for PIPE Configurations

Instead of the fitter or software model, the hardware dictates all the placementrestrictions. The restrictions are listed below:

• The channels must be contiguous for bonded designs.

• The master CGB is the only way to access x6 lines and must be used in bondeddesigns. The local CGB cannot be used to route clock signals to slave channelsbecause the local CGB does not have access to x6 lines.

• When implementing a Gen3-capable PIPE configuration in a -2 or -3 core speedgrade, you cannot place the Logical PCS Master Channel in a location adjacent tothe Hard IP (HIP).

• Non PCIe-Channels that are placed next to active banks with PIPE interfaces thatare Gen3 capable have the following restrictions

— When VCCR_GXB and VCCT_GXB are set to 1.03 V or 1.12 V, the maximumdata rate supported for the non-PCIe channels in those banks is 12.5 Gbps forchip-to-chip applications. These channels cannot be used to drive backplanesor for GT rates.

— When VCCR_GXB and VCCT_GXB are set to 0.95 V, the non-PCIe channels inthose banks cannot be used.

For channel placement guidelines when using Arria 10 Hard IP for PCIe, refer to thePCIe User Guide.

For ATX PLL placement restrictions, refer to the section "Transmit PLLRecommendations Based on Data Rates" of PLLs and Clock Networks chapter.

Related Information

• Arria 10 Avalon-MM Interface for PCIe Solutions User Guide

• PLLs and Clock Networks on page 347

2.7.12.1. Master Channel in Bonded Configurations

For PCIe, both the PMA and PCS must be bonded. There is no need to specify the PMAMaster Channel because of the separate Master CGB in the hardware. However, youmust specify the PCS Master Channel through the Native PHY. You can choose any oneof the data channels (part of the bonded group) as the logical PCS Master Channel.

Note: Whichever channel you pick as the PCS master, the fitter selects the physical CH1 orCH4 of a transceiver bank as the master channel. This is because the ASN and MasterCGB connectivity only exists in the hardware of these two channels of the transceiverbank.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide268

Page 269: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 195. Logical PCS Master Channel for PIPE Configuration

PIPE Configuration Logical PCS Master Channel # (default)

x1 0(44)

x2 1(44)

x4 2(44)

x8 4 (44)

The following figures show the default configurations:

Figure 109. x2 Configuration

CH5

CH4

CH3

CH2

CH1

CH0

CH5

CH4

CH3

CH2

CH1

CH0

Master CH

Data CH

fPLL

ATXPLL

Master CGB

fPLL

ATXPLL

fPLL

ATXPLL

fPLL

ATXPLL

Master CGB

LogicalChannel

PhysicalChannel

0

1

Transceiver bank

Transceiver bank

Master CGB

Master CGB

Note: The physical channel 0 aligns with logical channel 0. The logical PCS Master Channel 1is specified as Physical Channel 1.

(44) Ensure that the Logical PCS Master Channel aligns with Physical Channel 1 or 4 in a giventransceiver bank.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide269

Page 270: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 110. x4 ConfigurationThe figure below shows an alternate way of placing 4 bonded channels. In this case, the logical PCS MasterChannel number 2 must be specified as Physical channel 4.

CH5

CH4

CH3

CH2

CH1

CH0

CH5

CH4

CH3

CH2

CH1

CH0

Data CH

fPLL

ATXPLL

fPLL

ATXPLL

fPLL

ATXPLL

fPLL

ATXPLL

LogicalChannel

PhysicalChannel

0

1

Transceiver bank

Transceiver bank

2

3

Data CH

Master CGB

Master CGB

Master CH Master CGB

Master CGB

Data CH

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide270

Page 271: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 111. x8 ConfigurationFor x8 configurations, Intel recommends you choose a master channel that is a maximum of four channelsaway from the farthest slave channel.

CH5

CH4

CH3

CH2

CH1

CH0

CH5

CH4

CH3

CH2

CH1

CH0

Master CH

Data CH

fPLL

ATXPLL

fPLL

ATXPLL

fPLL

ATXPLL

fPLL

ATXPLL

LogicalChannel

PhysicalChannel

0

1

Transceiver bank

Transceiver bank

2

3

Data CH

Data CH

4

5

6

7

Data CH

Data CH

Data CH

Data CH

Master CGB

Master CGB

Master CGB

Master CGB

Note: The physical channel 0 aligns with logical channel 0. The logical PCS master channel 4is specified as Physical channel 4.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide271

Page 272: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 112. x4 Alternate ConfigurationThe figure below shows an alternate way of placing 4 bonded channels. In this case, the logical PCS MasterChannel number 2 must be specified as Physical channel 1.

CH5

CH4

CH3

CH2

CH1

CH0

CH5

CH4

CH3

CH2

CH1

CH0

Master CH

fPLL

ATXPLL

fPLL

ATXPLL

fPLL

ATXPLL

fPLL

ATXPLL

LogicalChannel

PhysicalChannel

0

1

Transceiver bank

2

3

Data CH

Data CH

Master CGB

Master CGB

Transceiver bankData CH

Master CGB

Master CGB

As indicated in the figures above, the fitter picks either physical CH1 or CH4 as thePCS master in bonded configurations for PIPE.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide272

Page 273: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 113. x4 Configuration with the Master Channel Adjacent to a Hard IP

The figure below shows the placement of a x4 PIPE configuration with the Logical PCS Master Channel that isadjacent to a Hard IP.

ATXPLL

Master CGBfPLL

ATXPLL

Master CGBfPLL

ATXPLL

Master CGBfPLL

ATXPLL

Master CGBfPLL

ATXPLL

Master CGBfPLL

ATXPLL

Master CGBfPLL

CH5CH4CH3CH2CH1CH0CH5CH4CH3CH2CH1CH0CH5CH4CH3CH2CH1CH0

Data CHMaster CH

Data CHData CH

3210

LogicalChannel

PhysicalChannel

TransceiverBank

TransceiverBank

TransceiverBank

Hard IP

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide273

Page 274: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 114. x4 Configuration with the Master Channel not Adjacent to a Hard IPThe figure below shows the placement of a x4 PIPE configuration with the Logical PCS Master Channel that isnot adjacent to a Hard IP.

ATXPLL

Master CGBfPLL

ATXPLL

Master CGBfPLL

ATXPLL

Master CGBfPLL

ATXPLL

Master CGBfPLL

ATXPLL

Master CGBfPLL

ATXPLL

Master CGBfPLL

CH5CH4CH3CH2CH1CH0CH5CH4CH3CH2CH1CH0CH5CH4CH3CH2CH1CH0

Hard

Data CHMaster CH

Data CHData CH

3210

LogicalChannel

PhysicalChannel

TransceiverBank

TransceiverBank

TransceiverBank

IP

2.7.13. PHY IP Core for PCIe (PIPE) Link Equalization for Gen3 Data Rate

Gen3 mode requires TX and RX link equalization because of the data rate, the channelcharacteristics, receiver design, and process variations. The link equalization processallows the Endpoint and Root Port to adjust the TX and RX setup of each lane toimprove signal quality. This process results in Gen3 links with a receiver Bit Error Rate(BER) that is less than 10-12.

For detailed information about the four-stage link equalization procedure for 8.0 GT/sdata rate, refer to Section 4.2.3 in the PCI Express Base Specification, Rev 3.0. A newLTSSM state, Recovery.Equalization with Phases 0–3, reflects progress through Gen3equalization. Phases 2 and 3 of link equalization are optional. Each link must progressthrough all four phases, even if no adjustments occur. If you skip Phases 2 and 3, youspeed up link training at the expense of link BER optimization.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide274

Page 275: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Phase 0

Phase 0 includes the following steps:

1. The upstream component enters Phase 0 of equalization duringRecovery.Rcvrconfig by sending EQ TS2 training sets with starting presets for thedownstream component. EQ TS2 training sets may be sent at 2.5 GT/s or 5 GT/s.

2. The downstream component enters Phase 0 of equalization after exitingRecovery.Speed at 8 GT/s. It receives the starting presets from the trainingsequences and applies them to its transmitter. At this time, the upstreamcomponent has entered Phase 1 and is operating at 8 GT/s.

3. To move to Phase 1, the receiver must have a BER < 10-4. The receiver should beable to decode enough consecutive training sequences.

4. In order to move to Equalization Phase 1, the downstream component must detecttraining sets with Equalization Control (EC) bits set to 2’b01.

Phase 1

During Phase 1 of the equalization process, the link partners exchange Full Swing (FS)and Low Frequency (LF) information. These values represent the upper and lowerbounds for the TX coefficients. The receiver uses this information to calculate andrequest the next set of transmitter coefficients.

1. The upstream component moves to EQ Phase 2 when training sets with EC bits setto 1’b0 are captured on all lanes. It also sends EC=2’b10, starting pre-cursor,main cursor, and post-cursor coefficients.

2. The downstream component moves to EQ Phase 2 after detecting these newtraining sets.

Use the pipe_g3_txdeemph[17:0] port to select the transmitter de-emphasis. The18 bits specify the following coefficients:

• [5:0]: C-1

• [11:6]: C0

• [17:12]: C+1

Refer to Preset Mappings to TX De-emphasis for the mapping between presets and TXde-emphasis.

Phase 2 (Optional)

During Phase 2, the Endpoint tunes the TX coefficients of the Root Port. The TS1 UsePreset bit determines whether the Endpoint uses presets for coarse resolution orcoefficients for fine resolution.

If you are using the PHY IP Core for PCI Express (PIPE) as the Root Port, the Endpointcan tune the Root Port TX coefficients.

The tuning sequence typically includes the following steps:

1. The Endpoint receives the starting presets from the Phase 2 training sets sent bythe Root Port.

2. The circuitry in the Endpoint receiver determines the BER. It calculates the nextset of transmitter coefficients using FS and LF. It also embeds this information inthe Training Sets for the Link Partner to apply to its transmitter.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide275

Page 276: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The Root Port decodes these coefficients and presets, performs legality checks forthe three transmitter coefficient rules and applies the settings to its transmitterand also sends them in the Training Sets. The default Full Swing (FS) valueadvertised by the Intel device is 60 and Low Frequency (LF) is 20. The three rulesfor transmitter coefficients are:

a. |C-1| <= Floor (FS/4)

b. |C-1|+C0+|C+1| = FS

c. C0-|C-1|-|C+1 |>= LF

Where: C0 is the main cursor (boost), C-1 is the pre-cursor (pre-shoot), and C+1 isthe post-cursor (de-emphasis).

3. This process is repeated until the downstream component's receiver achieves aBER of < 10-12

Phase 3 (Optional)

During this phase, the Root Port tunes the Endpoint’s transmitter. This process isanalogous to Phase 2 but operates in the opposite direction.

After Phase 3 tuning is complete, the Root Port moves to Recovery.RcvrLock, sendingEC=2’b00, and the final coefficients or preset agreed upon in Phase 2. The Endpointmoves to Recovery.RcvrLock using the final coefficients or preset agreed upon inPhase 3.

Recommendations for Tuning Link

To improve the BER of the receiver, Intel recommends that you turn on CTLE intriggered mode during Phase 2 Equalization for Endpoints or Phase 3 Equalization forRoot Ports.

Use the port pipe_g3_txdeemph[17:0] to transmit the coefficients correspondingto the Gen3 presets. Intel recommends transmitting Preset P8 coefficients for A10receiver to recover data successfully. The pipe_g3_txdeemph is used to select thelink partner’s transmitter de-emphasis during equalization.

Use the port pipe_g3_rxpresethint[2:0] to turn on CTLE in triggered modeduring equalization phases.

Table 196. CTLE mode for Gen1/Gen2 speeds of Gen3 capable designUse this table to drive the pipe_g3_rxpresethint port and set the CTLE in manual mode when operating atGen1/Gen2 speeds of a Gen3 capable design.

Gen3 capable design running at Gen1/Gen2speeds during

Supported CTLE - mode Manual. To use CTLE in manual mode

Power up • During power up, set pipe_g3_rxpresethint[2:0] = 3’b000• You can use the default CTLE 4S AC Gain set by Quartus (or)• Set the manual CTLE 4S AC Gain using QSF assignments

Down train to Gen1/Gen2 (directed or not) andsubsequent re-entry to Gen3

• On entry to Gen1/2, set pipe_g3_rxpresethint[2:0] = 3’b000• You can use the default CTLE 4S AC Gain set by Quartus (or)• Set the manual CTLE 4S AC Gain using QSF assignments

Down train to Gen1/Gen2 • On entry to Gen1/2, set pipe_g3_rxpresethint[2:0] = 3’b000• You can use the default CTLE 4S AC Gain set by Quartus (or)• Set the manual CTLE 4S AC Gain using QSF assignments

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide276

Page 277: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Note: Intel does not support use of CTLE in adaptive mode for Gen1/Gen2 speeds. You mustuse CTLE in manual mode.

Table 197. CTLE mode for Gen3 speed of Gen3 capable designUse this table to drive the pipe_g3_rxpresethint port and set the CTLE mode to adaptation mode whenoperating at Gen3 speed for Gen3 capable designs.

Gen3 capable designrunning at Gen3 speed

during

Supported CTLE - mode Triggered adaptation. To use CTLE in adaptation mode, Set

1st time entry to Gen3 • In EQ Phase2/3, after far end TX preset/coefficient requests are completed, setpipe_g3_rxpresethint = 3’b111 and link needs to stay in Recovery for at least 12ms forCTLE adaptation

• If there is not enough time in EQ phases, delay can be inserted in Recovery.RcvrLock afterthe EQ

• After that, pipe_g3_rxpresethint should remain 3’b111 for Gen3 even in Recovery

Redoing EQ in Gen3 • pipe_g3_rxpresethint should be set to 3’b000 in EQ Phase2/3 entry• Follow the same procedure as first time link up to Gen3

Re-entry to Gen3 afterdown train to Gen1/Gen2 (directed or not)

• On subsequent entry to Gen3, set pipe_g3_rxpresethint[2:0] = 3’b111 when there isvalid data and wait for 12ms in Recovery state for CTLE adaptation

Note: Intel recommends that you use CTLE in adaptive mode for Gen3 speed. If you want touse CTLE in manual mode for Gen3 speed, then you must setpipe_g3_rxpresethint[2:0] = 3’b000 and set the CTLE 4S AC gain value

• You can use the default CTLE 4S AC Gain set by Quartus (or)

• Set the manual CTLE 4S AC Gain using QSF assignments

Related Information

• Preset Mappings to TX De-emphasis on page 267

• Continuous Time Linear Equalization (CTLE) on page 452

• PCI Express Base Specification

• PIPE Specification

2.7.14. Using Transceiver Toolkit (TTK)/System Console/ReconfigurationInterface to manually tune Arria 10 PCIe designs (Hard IP(HIP) andPIPE) (For debug only)

Table 198. Manual tuning of TX analog settings for PCIe channelsTo manually tune TX channels of the Arria 10 PCIe designs using TTK/System Console/ReconfigurationInterface, you must set the following attributes

Attribute Default value in PCIemode

Value to be set to use TTK/System Console

Description

user_fir_coeff_ctrl_sel0x105[7]

1’b1 1’b0 Mux to select between static vsdynamic (port) control• 0 for static setting (to use TTK/

system console for manualtuning)

• 1 for dynamic control (PCIemode)

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide277

Page 278: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Attribute Default value in PCIemode

Value to be set to use TTK/System Console

Description

Setting this mux affects VOD, pretap and post tap attributes

pre_emp_switching_ctrl_pre_tap_1t0x107[4:0]

Controlled by coefficientson the portpipe_g3_txdeemph

Depends on value set bypre_emp_switching_ctrl_pre_tap_1t register setting

Sets 1st Pre tap value• Direct mapped

pre_emp_sign_pre_tap_1t0x107[5]

negative Depends on value set bypre_emp_sign_pre_tap_1tregister setting

Sets 1st Pre tap sign• - 1 negative (default for PCIe

mode)• 0 positive

vod_output_swing_ctrl0x109[4:0]

Controlled by coefficientson the portpipe_g3_txdeemph

Depends on value set byvod_output_swing_ctrl registersetting

Output swing• Direct mapped

pre_emp_switching_ctrl_1st_post_tap0x105[4:0]

Controlled by coefficientson the portpipe_g3_txdeemph

Depends on value set bypre_emp_switching_ctrl_1st_post_tap register setting

Sets 1st Post tap value• Direct mapped

pre_emp_sign_1st_post_tap 0x105[6]

Negative Depends on value set bypre_emp_sign_1st_post_tapregister setting

Set 1st Post tap sign• - 1 negative (default for PCIe

mode)• - 0 positive

Note: You must set the attribute user_fir_coeff_ctrl_sel located at register address0x105[7] back to 1’b1 to allow the Arria 10 PCI Express PIPE design to listen to theport pipe_g3_txdeemph[17:0] on each channel for normal PCIe operation.

Table 199. Manual tunning of RX analog settings for PCIe channelsTo manually tune RX channels of the Arria 10 PCI Express designs using TTK/System Console/ReconfigurationInterface, you must set the following attribute

Attribute Default value in PCIemode

Value to be set to use TTK/System Console

Description

rrx_pcie_eqz0x161[2]

1’b1 1’b0 Mux to select between static vsdynamic control• 0 for static setting (use for

manual tuning)• 1 for dynamic control (PCIe

mode)Setting this mux affects the CTLE 4sgain and 1s gain values

adp_4s_ctle_bypass0x167[0]

1’b0 • 1’b0 for Gen3 for Adaptive 4SCTLE

• 1’b1 for Gen1/Gen2 for Manual4S CTLE

Mux to select between CTLE 4Smanual mode vs adaptive mode• 0 for CTLE 4S adaptive mode• 1 for CTLE 4S manual mode

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide278

Page 279: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Attribute Default value in PCIemode

Value to be set to use TTK/System Console

Description

adp_ctle_acgain_4s0x167[5:1]

5’b0 Depends on the value set byadp_ctle_acgain_4s Register setting

Set CTLE manual 4S AC gain• Direct mapped

Adp_status_sel0x14C[5:0]

Set the mux to 6’b011011 Set the mux to 6’b011011 Sets the test mux to read the CTLEconverged values from 0x177[3:0]

Test_mux0x177[3:0]

Read values. Map 4 bitvalue read out to 5 bitgain values

Read values. Map 4 bit value readout to 5 bit gain values

Reflects the converged values fromCTLE adaptation

Note: You must set the attribute rrx_pcie_eqz located at register address 0x161[2] backto 1’b1 to allow the Arria 10 PCIe PIPE design to listen to the portpipe_g3_rxpresethint[2:0] on each channel for normal PCIe operation.

Related Information

• Analog Parameter Settings on page 585

• Debugging Transceiver Links with Transceiver Toolkit

• Arria 10 Register Map

2.8. CPRI

The common public radio interface (CPRI) is a high-speed serial interface developedfor wireless network radio equipment controller (REC) to uplink and downlink datafrom available remote radio equipment (RE).

The CPRI protocol defines the interface of radio base stations between the REC andthe RE. The physical layer supports both the electrical interfaces (for example,traditional radio base stations) and the optical interface (for example, radio basestations with a remote radio head). The scope of the CPRI specification is restricted tothe link interface only, which is a point-to-point interface. The link has all the featuresnecessary to enable a simple and robust usage of any given REC and RE networktopology, including a direct interconnection of multiport REs.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide279

Page 280: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.8.1. Transceiver Channel Datapath and Clocking for CPRI

Figure 115. Transceiver Channel Datapath and Clocking for CPRI

RX FIFO

ByteDeserializer

8B/10B Decoder

Rate Match FIFO

Receiver PMA

Word Aligner

Deserializer

CDR

Receiver Standard PCS

Transmitter Standard PCS Transmitter PMA

Serializer

tx_serial_datarx_serial_data

FPGAFabric

TX FIFO

Byte Serializer

8B/10B Encoder

PRBSGenerator

TX Bit Slip

/2, /4

/2, /4

Parallel Clock

Serial Clock

Parallel and Serial Clock Parallel and Serial Clock

Clock Divider

rx_pma_div_clkout

Serial Clock

Clock Generation Block (CGB)ATX PLL

CMU PLL fPLL

tx_coreclkin

rx_coreclkin

rx_clkout ortx_clkout

Parallel Clock(Recovered)

Parallel Clock(From Clock

Divider)

tx_clkout

tx_clkout

tx_clkout

rx_clkout

PRBSVerifier

tx_pma_div_clkout

40

40

245 MHz

245 MHz

32

32

245 MHz

245 MHz

Table 200. Channel Width Options for Supported Serial Data Rates

Serial DataRate

(Mbps)

Channel Width (FPGA-PCS Fabric)

8/10 Bit Width 16/20 Bit Width

8-Bit 16-Bit 16-Bit 32-Bit

614.4(45) Yes Yes N/A N/A

1228.8 Yes Yes Yes Yes

2457.6 Yes Yes Yes Yes

3072 Yes Yes Yes Yes

4915.2 N/A N/A Yes Yes

6144 N/A N/A Yes Yes

9830.4 N/A N/A N/A Yes

Table 201. Interface Width Options for 10.1376 Gbps and 12.16512 Gbps Data Rates

Serial Data Rate(Mbps)

Interface Width

FPGA fabric - Enhanced PCS (bit) Enhanced PCS - PMA (bit)

10137.6 66 32, 40, 64

12165.12 66 40, 64

(45) Over-sampling is required to implement 614.4Mbps

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide280

Page 281: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.8.1.1. TX PLL Selection for CPRI

Choose a transmitter PLL that fits your required data rate.

Table 202. TX PLL Supported Data RatesATX and fPLL support the clock bonding feature.

TX PLLs Supported Data Rate (Mbps)

ATX 614.4, 1228.8, 2457.6, 3072, 4915.2, 6144, 8110.08, 9830.4, 10137.6, 12165.12

fPLL 614.4, 1228.8, 2457.6, 3072, 4915.2, 6144, 8110.08, 9830.4, 10137.6, 12165.12

CMU 614.4, 1228.8, 2457.6, 3072, 4915.2, 6144, 8110.08, 9830.4, 10137.6

Note: • Channels that use the CMU PLL cannot be bonded. The CMU PLL that provides theclock can only drive channels in the transceiver bank where it resides.

• Over-sampling is required to implement 614.4Mbps.

2.8.1.2. Auto-Negotiation

When auto-negotiation is required, the channels initialize at the highest supportedfrequency and switch to successively lower data rates if frame synchronization is notachieved. If your design requires auto-negotiation, choose a base data rate thatminimizes the number of PLLs required to generate the clocks required for datatransmission.

By selecting an appropriate base data rate, you can change data rates by changing thelocal clock generation block (CGB) divider. If a single base data rate is not possible,you can use an additional PLL to generate the required data rates.

Table 203. Recommended Base Data Rates and Clock Generation Blocks for AvailableData Rates

Data Rate (Mbps) Base Data Rate (Mbps) Local CGB Divider

1228.8 9830.4 8

2457.6 9830.4 4

3072.0 6144.0 2

4915.2 9830.4 2

6144.0 6144.0 1

9830.4 9830.4 1

2.8.2. Supported Features for CPRI

The CPRI protocol places stringent requirements on the amount of latency variationthat is permissible through a link that implements these protocols.

CPRI (Auto) and CPRI (Manual) transceiver configuration rules are both available forCPRI designs. Both modes use the same functional blocks, but the configuration modeof the word aligner is different between the Auto and Manual modes. In CPRI (Auto)mode, the word aligner works in deterministic mode. In CPRI (Manual) mode, theword aligner works in manual mode.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide281

Page 282: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

To avoid transmission interference in time division multiplexed systems, every radio ina cell network requires accurate delay estimates with minimal delay uncertainty. Lowerdelay uncertainty is always desired for increased spectrum efficiency and bandwidth.The Arria 10 devices are designed with features to minimize the delay uncertainty forboth RECs and REs.

2.8.2.1. Word Aligner in Deterministic Latency Mode for CPRI

The deterministic latency state machine in the word aligner reduces the known delayvariation from the word alignment process. It automatically synchronizes and alignsthe word boundary by slipping one half of a serial clock cycle (1UI) in the deserializer.Incoming data to the word aligner is aligned to the boundary of the word alignmentpattern (K28.5).

Figure 116. Deterministic Latency State Machine in the Word Aligner

Deserializer To 8B/10B Decoder

Clock-SlipControl

ParallelClock

From RX CDR Deterministic LatencySynchronization State Machine

When using deterministic latency state machine mode, assertrx_std_wa_patternalign to initiate the pattern alignment after the reset sequenceis complete. This is an edge-triggered signal in all cases except one: when the wordaligner is in manual mode and the PMA width is 10, in which caserx_std_wa_patternalign is level sensitive.

Figure 117. Word Aligner in Deterministic Mode Waveformrx_clkout

rx_std_wa_patternalignrx_parallel_data

rx_errdetectrx_disperr

rx_patterndetectrx_syncstatus

f1e4b6e41101110100000000

00000000

10101010

10001000

00100000

10101010

0000000011111111

0000

b9dbf1db 915d061d e13f913f 7a4ae24a bbae9b10 bcbcbcbc 95cd3c50 91c295cd

Related Information

Word Aligner on page 485

2.8.2.1.1. Transmitter and Receiver Latency

The latency variation from the link synchronization function (in the word aligner block)is deterministic with the rx_bitslipboundaryselectout port. Additionally, youcan use the tx_bitslipboundaryselect port to fix the round trip transceiverlatency for port implementation in the remote radio head to compensate for latencyvariation in the word aligner block. The tx_bitslipboundaryselect port isavailable to control the number of bits to be slipped in the transmitter serial datastream. You can optionally use the tx_bitslipboundaryselect port to round theround-trip latency to a whole number of cycles.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide282

Page 283: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

When using the byte deserializer, additional logic is required in the FPGA fabric todetermine if the comma byte is received in the lower or upper byte of the word. Thedelay is dependent on the word in which the comma byte appears.

2.8.3. Word Aligner in Manual Mode for CPRI

When configuring the word aligner in CPRI (Manual), the word aligner parses theincoming data stream for a specific alignment character. After rx_digitalresetdeasserts, asserting the rx_std_wa_patternalign triggers the word aligner to lookfor the predefined word alignment pattern or its complement in the received datastream. It is important to note that the behavior of the word aligner in Manual modeoperates in different ways depending on the PCS-PMA interface width.

Table 204. Word Aligner Signal Status Behaviors in Manual Mode

PCS-PMA Interface Width rx_std_wa_patternalignBehavior

rx_syncstatus Behavior rx_patterndetectBehavior

10 Level sensitive One parallel clock cycle (Whenthree control patterns aredetected)

One parallel clock cycle

20 Edge sensitive Remains asserted until nextrising edge ofrx_std_wa_patternalign

One parallel clock cycle

PCS-PMA Width = 10

When the PCS-PMA interface width is 10, 3 consecutive word alignment patterns foundafter the initial word alignment in a different word boundary causes the word alignerto resynchronize to this new word boundary if the rx_std_wa_patternalignremains asserted; rx_std_wa_patternalign is level sensitive. If you deassertrx_std_wa_patternalign, the word aligner maintains the current word boundaryeven when it finds the alignment pattern in a new word boundary. When the wordaligner is synchronized to the new word boundary, rx_patterndetect andrx_syncstatus are asserted for one parallel clock cycle.

PCS-PMA Width =20

When the PMA-PCS width is 20, any alignment pattern found after the initial alignmentin a different word boundary causes the word aligner to resynchronize to this newword boundary on the rising edge of rx_std_wa_patternalign;rx_std_wa_patternalign is edge sensitive. The word aligner maintains the currentword boundary until the next rising edge of rx_std_wa_patternalign. When theword aligner is synchronized to the new word boundary, rx_patterndetect assertsfor one parallel clock cycle and rx_syncstatus remains asserted until the next risingedge of rx_std_wa_patternalign.

Figure 118. Word Aligner in Manual Alignment Mode Waveform

rx_std_wa_patternalignrx_parallel_datarx_patterndetect

rx_syncstatus

rx_clkout

0...00000

f1e4b6e4 b9dbf1db 915d061d e13f913f 7a4ae24a bcbc7b78 bcbcbcbc

11001100

11111111 0000

95cd3c50 91c295cd ded691c2

Related Information

Word Aligner on page 485

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide283

Page 284: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.8.4. How to Implement CPRI in Arria 10 Transceivers

You should be familiar with the Standard PCS and PMA architecture, PLL architecture,and the reset controller before implementing your CPRI protocol.

1. Instantiate the Arria 10 Transceiver Native PHY IP from the IP Catalog.Refer to Select and Instantiate the PHY IP Core on page 33 for more details.

2. Select CPRI (Auto) or CPRI (Manual) from the Transceiver configurationrules list located under Datapath Options, depending on which protocol you areimplementing.

3. Use the parameter values in the tables in Native PHY IP Parameter Settings forCPRI on page 285 as a starting point. Or, you can use the protocol presetsdescribed in Preset Configuration Options. You can then modify the setting to meetyour specific requirements.

4. Click Generate to generate the Native PHY IP (this is your RTL file).

Figure 119. Signals and Ports of Native PHY IP for CPRI

ReconfigurationRegisters

NIOSHard Calibration IP

TX PMA

Arria 10 Transceiver Native PHY

Serializertx_serial_data

tx_serial_clk0(from TX PLL)

rx_cal_busytx_cal_busy

rx_serial_data

rx_is_lockedtodatarx_is_lockedtoref

rx_cdr_refclk0

tx_dataktx_parallel_data

tx_coreclkintx_clkout

unused_tx_parallel_data[118:0]

tx_datak[1:0]tx_digital_reset

tx_parallel_data[15:0]

reconfig_clkreconfig_avmm

reconfig_reset

tx_coreclkintx_clkout

RX PMA

TX Standard PCS

RX Standard PCS

Deserializer

Local ClockGeneration

Block

CDR

rx_datakrx_parallel_data

rx_clkoutrx_coreclkinrx_errdetect

rx_disperrrx_runningdisp

rx_patterndetectrx_syncstatus

rx_std_wa_patternalignunused_rx_parallel_data[118:0]

rx_datak[1:0]rx_digital_reset

rx_analog_reset

tx_analog_reset

rx_parallel_data[15:0]rx_clkoutrx_coreclkinrx_errdetect[1:0]rx_disperr[1:0]rx_runningdisp[1:0]rx_patterndetect[1:0]rx_syncstatus[1:0]rx_std_wa_patternalign

10/20

10/20

unused_rx_parallel_data[118:0]

5. Instantiate and configure your PLL.

6. Create a transceiver reset controller.

You can use your own reset controller or use the Native PHY Reset Controller IP.

7. Connect the Native PHY IP to the PLL IP core and the reset controller. Use theinformation in the following figure to connect the ports.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide284

Page 285: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 120. Connection Guidelines for a CPRI PHY Design

PLL IP Core

DataGenerator

DataVerifier

Arria 10 Transceiver Native PHY

Reset Controller

rx_cdr_refclk

tx_serialclk0

pll_locked

pll_sel

reset

clk

pll_refclk

tx_ready

rx_ready

tx_parallel_data

tx_clkout

rx_parallel_data

rx_clkout

tx_serial_data

rx_serial_data

rx_i

s_loc

kedt

odat

a

rx_c

al_bu

sy

tx_c

al_bu

sy

tx_a

nalog

rese

t

tx_d

igita

lrese

t

rx_a

nalog

rese

t

rx_d

igita

lrese

t

pll_cal_busy

8. Simulate your design to verify its functionality.

Related Information

• Arria 10 Standard PCS Architecture on page 479For more information about Standard PCS architecture

• Arria 10 PMA Architecture on page 447For more information about PMA architecture

• Using PLLs and Clock Networks on page 398For more information about implementing PLLs and clocks

• PLLs on page 349PLL architecture and implementation details

• Resetting Transceiver Channels on page 416Reset controller general information and implementation details

• Standard PCS Ports on page 86Port definitions for the Transceiver Native PHY Standard Datapath

2.8.5. Native PHY IP Parameter Settings for CPRI

Table 205. General and Datapath OptionsThe first two sections of the Parameter Editor for the Native PHY IP provide a list of general and datapathoptions to customize the transceiver.

Parameter Value

Message level for rule violations errorcontinued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide285

Page 286: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Value

warning

Transceiver configuration rules CPRI (Auto)CPRI (Manual)

PMA configuration rules basic

Transceiver mode TX/RX Duplex

Number of data channels 1-36

Data rate 1228.8 Mbps

2457.6 Mbps

3072 Mbps

4915.2 Mbps

6144 Mbps

8110.08 Mbps

9830.4 Mbps

10137.6 Mbps(46)

12165.12 Mbps(46)

Enable datapath and interface reconfiguration Off

Enable simplified data interface On

Table 206. TX PMA Parameters

Parameter Value

TX channel bonding mode Not Bonded / PMA Bonding Only / PMAand PCS Bonding

TX local clock division factor 1

Number of TX PLL clock inputs per channel 1

Initial TX PLL clock input selection 0

Enable tx_pma_clkout port Off

Enable tx_pma_div_clkout port On

tx_pma_div_clkout division factor 2

Enable tx_pma_elecidle port Off

Enable tx_pma_qpipullup port (QPI) Off

Enable tx_pma_qpipulldn port (QPI) Off

Enable tx_pma_txdetectrx port (QPI) Off

Enable tx_pma_rxfound port (QPI) Off

Enable rx_seriallpbken port Off

(46) 10137.6 Mbps and 12165.12 Mbps are implemented by selecting 10GBase-R or 10GBase-R1588 under Transceiver configuration rules. The Enhanced PCS is selected when the CPRI datarate is 10137.6 Mbps and above.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide286

Page 287: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 207. RX PMA Parameters

Parameter Value

Number of CDR reference clocks 1

Selected CDR reference clock 0

Selected CDR reference clock frequency Select legal range defined by the Quartus Primesoftware

PPM detector threshold 1000

CTLE adaptation mode manual

DFE adaptation mode disabled

Number of fixed dfe taps 3

Enable rx_pma_clkout port Off

Enable rx_pma_div_clkout port On

rx_pma_div_clkout division factor 2

Enable rx_pma_clkslip port Off

Enable rx_pma_qpipulldn port (QPI) Off

Enable rx_is_lockedtodata port On

Enable rx_is_lockedtoref port On

Enable rx_set_locktodata and rx_set_locktoref ports Off

Enable rx_seriallpbken port Off

Enable PRBS verifier control and status ports Off

Table 208. Standard PCS Parameters

Parameters Value

Standard PCS / PMA interface width 20

FPGA fabric / Standard TX PCS interface width 32

FPGA fabric / Standard RX PCS interface width 32

Enable 'Standard PCS' low latency mode Off

TX FIFO mode register_fifo

RX FIFO mode register_fifo

Enable tx_std_pcfifo_full port Off

Enable tx_std_pcfifo_empty port Off

Enable rx_std_pcfifo_full port Off

Enable rx_std_pcfifo_empty port Off

TX byte serializer mode Serialize x2

RX byte deserializer mode Deserialize x2

Enable TX 8B/10B encoder On

Enable TX 8B/10B disparity control Off

Enable RX 8B/10B decoder Oncontinued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide287

Page 288: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameters Value

RX rate match FIFO mode Disabled

RX rate match insert / delete -ve pattern (hex) 0x00000000

RX rate match insert / delete +ve pattern (hex) 0x00000000

Enable rx_std_rmfifo_full port Off

Enable rx_std_rmfifo_empty port Off

PCI Express Gen3 rate match FIFO mode Bypass

Enable TX bit slip Off (CPRI Auto configuration)On (CPRI Manual configuration)

Enable tx_std_bitslipboundarysel port Off (CPRI Auto configuration)On (CPRI Manual configuration)

RX word aligner mode deterministic latency (CPRI Autoconfiguration)

manual (FPGA fabric controlled) (CPRIManual configuration)

RX word aligner pattern length 10

RX word aligner pattern (hex) 0x000000000000017c

Number of word alignment patterns to achieve sync 3 (47)

Number of invalid data words to lose sync 3 (47)

Number of valid data words to decrement error count 3 (47)

Enable fast sync status reporting for deterministic latency SM On / Off

Enable rx_std_wa_patternalign port On / Off

Enable rx_std_wa_a1a2size port Off

Enable rx_std_bitslipboundarysel port Off (CPRI Auto configuration)On (CPRI Manual configuration)

Enable rx_bitslip port Off (CPRI Auto configuration)On (CPRI Manual configuration)

All options under Bit Reversal and Polarity Inversion Off

All options under PCIe Ports Off

Table 209. Dynamic Reconfiguration

Parameter Value

Enable dynamic reconfiguration Off

Share reconfiguration interface Off

Enable Altera Debug Master Endpoint Off

Enable embedded debug Off

Enable capability registers Off

Set user-defined IP identifier 0continued...

(47) These are unused when the transceiver PHY is in CPRI mode.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide288

Page 289: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Value

Enable control and status registers Off

Enable prbs soft accumulators Off

Configuration file prefix altera_xcvr_native_a10

Generate SystemVerilog package file Off

Generate C header file Off

Generate MIF (Memory Initialization File) Off

Table 210. Generation Options

Parameter Value

Generate parameter documentation file On

2.9. Other Protocols

2.9.1. Using the "Basic (Enhanced PCS)" and "Basic with KR FEC"Configurations of Enhanced PCS

You can use Arria 10 transceivers to configure the Enhanced PCS to support other 10Gor 10G-like protocols. The Basic (Enhanced PCS) transceiver configuration rule allowsaccess to the Enhanced PCS with full user control over the transceiver interfaces,parameters, and ports.

You can configure the transceivers for Basic functionality using the Native PHY IPBasic (Enhanced PCS) transceiver configuration rule.

Basic with KR FEC is a KR FEC sublayer support with a low latency physical codingsublayer (PCS). The KR FEC sublayer increases the bit error rate (BER) performance ofa link. This mode can run up to a data rate of 25.8 Gbps. Use this configuration toimplement applications with low latency or low BER requirements or applications suchas 10 Gbps, 40 Gbps or 100 Gbps Ethernet over backplane (10GBASE-KR protocol).

The Forward Error Correction (FEC) function is defined in Clause 74 of IEEE802.3ap-2007. FEC provides an error detection and correction mechanism that allowsnoisy channels to achieve the Ethernet-mandated Bit Error Rate (BER) of 10-12. TheFEC sublayer provides additional link margin by compensating for variations inmanufacturing and environmental conditions. To distinguish it from other FECmechanisms (for example, Optical Transport Network FEC), FEC as defined in Clause74 of IEEE 802.3ap-2007 is called KR FEC.

Note: This configuration supports the FIFO in phase compensation and register modes, andKR FEC PCS blocks. You can implement all other required logic for your specificapplication, such as standard or proprietary protocol multi-channel alignment, eitherin the FPGA fabric in soft IP or use Intel's 10GBASE-KR PHY IP core product as fullsolutions in the FPGA.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide289

Page 290: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 121. Transceiver Channel Datapath and Clocking for Basic (Enhanced PCS)Configuration

Transmitter Enhanced PCSTransmitter PMA

Receiver PMA Receiver Enhanced PCS

TXGe

arbo

x

tx_s

erial

_dat

a(1

0.312

5 Gbp

s)

Seria

lizer

Inte

rlake

n Di

spar

ity G

ener

ator

Scra

mble

r (3)

Descr

amble

r (3)

PRBSGenerator

PRPGenerator

rx_s

erial

_dat

a

Dese

rializ

er

CDR

Inte

rlake

n Di

spar

ity Ch

ecke

r

Bloc

kSy

nchr

onize

r (1

)

Inte

rlake

n Fra

me S

ync

RXGe

arbo

x

PRBSVerifier

Trans

code

Deco

der

KR FE

C RX

Gear

box

KR FE

CDe

code

r

KR FE

CBl

ock S

ync

KR FE

CDe

scram

bler

Parallel ClockSerial ClockParallel and Serial Clocks

Clock Divider

Parallel and Serial Clocks

Clock Generation Block (CGB)

Serial Clock

ATX PLLfPLL

CMU PLL

64B/

66B D

ecod

eran

d RX S

M

10GBASE-R BER Checker

PRP

rx_pma_div_clkout

tx_pma_div_clkout

Verifier

rx_clkout

Enha

nced

PCS

TX FI

FOEn

hanc

ed PC

S RX

FIFO

Inte

rlake

n Fra

me G

ener

ator

Inte

rlake

n CR

C32 G

ener

ator

Inte

rlake

n CR

C32 C

heck

er

64B/

66B E

ncod

eran

d TX S

M

FPGAFabric

tx_clkout

KR FE

CTX

Gea

rbox

KR FE

CSc

ram

bler

KR FE

CEn

code

r

Trans

code

Enco

der

Parallel Clock (322.265625 MHz)

Parallel Clock (322.265625 MHz)

(5156.25 MHz) =Data rate/2 (2)

Input Reference Clock

32-bitdata

32-bitdata

tx_c

orec

lkin

322.2

6562

5 M

Hzrx

_cor

eclki

n32

2.265

625

MHz

32

32 32

32

Notes:1. Can be enabled or disabled based on the gearbox ratio selected2. Depends on the value of the clock division factor chosen3. To use the Scrambler and Descrambler, you must use a 66:32, 66:40, or 66:64 gear ratio and the Block Synchronizer must be enabled

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide290

Page 291: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 122. Transceiver Channel Datapath and Clocking for a Basic with KR FECConfigurationClock frequencies in this figure are examples based on a 10.3125 Gbps data rate.

Transmitter Enhanced PCSTransmitter PMA

Receiver PMA Receiver Enhanced PCS

TXGe

arbo

x

tx_s

erial

_dat

a

Seria

lizer

Inte

rlake

n Di

spar

ity G

ener

ator

Scra

mble

r

KR FEC

KR FEC

tx_pma_clk tx_krfec_clk

PRBSGenerator

PRPGenerator

rx_s

erial

_dat

a

Dese

rializ

er

CDR

Descr

amble

r

Inte

rlake

n Di

spar

ity Ch

ecke

r

Bloc

kSy

nchr

onize

r

Inte

rlake

n Fra

me S

ync

RXGe

arbo

x

PRBSVerifier

Trans

code

Deco

der

KR FE

C RX

Gear

box

KR FE

CDe

code

r

KR FE

CBl

ock S

ync

KR FE

CDe

scram

bler

rx_pma_clk rx_krfec_clk

Parallel ClockSerial ClockParallel and Serial Clocks

Clock Divider

/64

Parallel and Serial Clocks

Clock Generation Block (CGB)

Serial Clock

rx_rcvd_clk

tx_hf_clk

tx_serial_clk0(5156.25 MHz) =Data rate/2

Input Reference Clock

ATX PLLfPLL

CMU PLL

64B/

66B D

ecod

eran

d RX S

M

10GBASE-R BER Checker

PRP

rx_pma_div_clkout

tx_pma_div_clkout

Verifier

rx_c

orec

lkin

rx_clkout

Enha

nced

PCS

TX FI

FOEn

hanc

ed PC

S RX

FIFO

Inte

rlake

n Fra

me G

ener

ator

Inte

rlake

n CR

C32 G

ener

ator

Inte

rlake

n CR

C32 C

heck

er

64B/

66B E

ncod

eran

d TX S

M

FPGAFabric

tx_c

orec

lkin

tx_clkout

KR FE

CTX

Gea

rbox

KR FE

CSc

ram

bler

KR FE

CEn

code

r

Trans

code

Enco

der

64

Notes:1. Value is based on the clock division factor chosen2. Value is calculated as data rate on parallel interface/FPGA fabric - PCS interface width3. Value is calculated as data rate on serial interface/PCS-PMA interface width

5156

.25 M

Hz (1

0.312

5 Gbp

s dat

a rat

e/2)

(1)

TX Data & Control

64 + 2

RX Data & Control

64 + 2

Parallel Clock (161.13 MHz) (3)

Parallel Clock (161.13 MHz) (3) @ 15

6.25 M

Hz@

156.2

5 MHz

156.25 MHz (2)

156.25 MHz (2)

2.9.1.1. How to Implement the Basic (Enhanced PCS) and Basic with KR FECTransceiver Configuration Rules in Arria 10 Transceivers

You should be familiar with the Basic (Enhanced PCS) and PMA architecture, PLLarchitecture, and the reset controller before implementing the Basic (Enhanced PCS)or Basic with KR FEC Transceiver Configuration Rule.

1. Open the IP Catalog and select the Arria 10 Transceiver Native PHY IP.Refer to Select and Instantiate the PHY IP Core on page 33 for more details.

2. Select Basic (Enhanced PCS) or Basic with KR FEC from the TransceiverConfiguration Rules list located under Datapath Options.

3. Use the parameter values in the tables in Transceiver Native PHY IP Parameters forBasic (Enhanced PCS) and Basic with KR FEC Transceiver Configuration Rules as astarting point. Or, you can use the protocol presets described in Transceiver NativePHY Presets. You can then modify the settings to meet your specific requirements.

4. Click Finish to generate the Native PHY IP (this is your RTL file).

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide291

Page 292: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 123. Signals and Ports of Native PHY IP for Basic (Enhanced PCS) and Basic withKR FEC Configurations

ReconfigurationRegisters

NIOSHard Calibration IP

TX PMA

Serializertx_serial_data

tx_serial_clk0(from TX PLL)

rx_cal_busytx_cal_busy

rx_serial_data

rx_control[19:0]rx_cdr_refclk0rx_is_lockedtodata

rx_is_lockedtoref

rx_parallel_data[127:0]

tx_control[17:0] tx_control[17:0]tx_digital_resettx_digital_reset

tx_parallel_data[127:0]

reconfig_clkreconfig_avmm

reconfig_reset

tx_coreclkintx_clkouttx_enh_data_valid

tx_parallel_data[127:0]tx_coreclkin

tx_clkouttx_enh_data_valid

RX PMA

TX Enhanced PCS

RX Enhanced PCS

Deserializer

ClockGeneration

Block

rx_cdr_refclk0

CDR

rx_clkoutrx_coreclkin

rx_clkoutrx_coreclkin

rx_analog_reset

tx_analog_reset

rx_digital_resetrx_digital_reset

rx_parallel_data[127:0]rx_control[19:0]

5. Configure and instantiate the PLL.

6. Create a transceiver reset controller. You can use your own reset controller or usethe Transceiver PHY Reset Controller.

7. Connect the Native PHY IP core to the PLL IP core and the reset controller.

Figure 124. Connection Guidelines for a Basic (Enhanced PCS) Transceiver Design

Reset Controller

Arria 10 Transceiver Native PHY

DesignTestbench 32-bit data

(32:32 gearbox ratio)

PLL IP Core

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide292

Page 293: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 125. Connection Guidelines for a Basic with KR FEC Transceiver Design

DesignTestbench

64d + 2c

PLL IP Core Reset Controller

Arria 10 Transceiver Native PHY

8. Simulate your design to verify its functionality.

Related Information

• Arria 10 Enhanced PCS Architecture on page 461For more information about Enhanced PCS architecture

• Arria 10 PMA Architecture on page 447For more information about PMA architecture

• Using PLLs and Clock Networks on page 398For more information about implementing PLLs and clocks

• PLLs on page 349PLL architecture and implementation details

• Resetting Transceiver Channels on page 416Reset controller general information and implementation details

• Enhanced PCS Ports on page 76For detailed information about the available ports in the Basic protocol.

2.9.1.2. Native PHY IP Parameter Settings for Basic (Enhanced PCS) and Basicwith KR FEC

This section contains the recommended parameter values for this protocol. Refer toUsing the Arria 10 Transceiver Native PHY IP Core for the full range of parametervalues.

Table 211. General and Datapath ParametersThe first two sections of the Parameter Editor for the Transceiver Native PHY provide a list of general anddatapath options to customize the transceiver.

Parameter Range

Message level for rule violations error, warning

Transceiver configuration rules Basic (Enhanced PCS), Basic w/KR FEC

PMA configuration rules Basic, QPI, GPON

Transceiver mode TX / RX Duplex, TX Simplex, RX Simplex

Number of data channels 1 to 96

Data rate GX transceiver channel: 1 Gbps (48) to 17.4 Gbpscontinued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide293

Page 294: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Range

GT transceiver channel: 1 Gbps (48) to 25.8 Gbps (49)

Enable datapath and interface reconfiguration On / Off

Enable simplified data interface On / Off

Table 212. TX PMA Parameters

Parameter Range

TX channel bonding mode Not bonded, PMA only bonding, PMA and PCS bonding

PCS TX channel bonding master Auto, 0 to n-1, n (where n = the number of data channels)

Actual PCS TX channel bonding master n-1 (where n = the number of data channels)

TX local clock division factor 1, 2, 4, 8

Number of TX PLL clock inputs per channel 1, 2, 3, 4

Initial TX PLL clock input selection 0

Enable tx_pma_clkout port On / Off

Enable tx_pma_div_clkout port On / Off

tx_pma_div_clkout division factor Disabled, 1, 2, 33, 40, 66

Enable tx_pma_elecidle port On / Off

Enable tx_pma_qpipullup port (QPI) On / Off

Enable tx_pma_qpipulldn port (QPI) On / Off

Enable tx_pma_txdetectrx port (QPI) On / Off

Enable tx_pma_rxfound port (QPI) On / Off

Enable rx_serialpbken port On / Off

Table 213. RX PMA Parameters

Parameter Range

Number of CDR reference clocks 1 to 5

Selected CDR reference clock 0 to 4

Selected CDR reference clock frequency For Basic (Enhanced PCS): Depends on the data rateparameterFor Basic with KR FEC: 50 to 800

PPM detector threshold 100, 300, 500, 1000

CTLE adaptation mode manual

DFE adaptation mode adaptation enabled, manual, disabled

Number of fixed dfe taps 3, 7

Enable rx_pma_clkout port On / Offcontinued...

(48) Applies when operating in reduced power modes. For standard power modes, the EnhancedPCS minimum data rate is 1600 Mbps.

(49) The Enhanced PCS must be configured in basic mode to support this data rate range.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide294

Page 295: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Range

Enable rx_pma_div_clkout port On / Off

rx_pma_div_clkout division factor Disabled, 1, 2, 33, 40, 66

Enable rx_pma_clkslip port On / Off

Enable rx_pma_qpipulldn port (QPI) On / Off

Enable rx_is_lockedtodata port On / Off

Enable rx_is_lockedtoref port On / Off

Enable rx_set_locktodata and rx_set_locktoref ports On / Off

Enable rx_serialpbken port On / Off

Enable PRBS verifier control and status ports On / Off

Table 214. Enhanced PCS Parameters

Parameter Range

Enhanced PCS/PMA interface width 32, 40, 64Note: Basic with KR FEC allows 64 only

FPGA fabric/Enhanced PCS interface width 32, 40, 50, 64, 66, 67Note: Basic with KR FEC allows 66 only

Enable Enhanced PCS low latency mode On / Off

Enable RX/TX FIFO double width mode On / Off

TX FIFO mode Phase compensation, Register, Interlaken, Basic, FastregisterNote: Only Basic Enhanced and Basic Enhanced with

KRFEC are valid.

TX FIFO partially full threshold 10, 11, 12, 13, 14, 15

TX FIFO partially empty threshold 1, 2, 3, 4, 5

Enable tx_enh_fifo_full port On / Off

Enable tx_enh_fifo_pfull port On / Off

Enable tx_enh_fifo_empty port On / Off

Enable tx_enh_fifo_pempty port On / Off

RX FIFO mode Phase Compensation, Register, Basic

RX FIFO partially full threshold 0 to 31

RX FIFO partially empty threshold 0 to 31

Enable RX FIFO alignment word deletion (Interlaken) On / Off

Enable RX FIFO control word deletion (Interlaken) On / Off

Enable rx_enh_data_valid port On / Off

Enable rx_enh_fifo_full port On / Off

Enable rx_enh_fifo_pfull port On / Off

Enable rx_enh_fifo_empty port On / Off

Enable rx_enh_fifo_pempty port On / Offcontinued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide295

Page 296: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Range

Enable rx_enh_fifo_del port (10GBASE-R) On / Off

Enable rx_enh_fifo_insert port (10GBASE-R) On / Off

Enable rx_enh_fifo_rd_en port (Interlaken) On / Off

Enable rx_enh_fifo_align_val port (Interlaken) On / Off

Enable rx_enh_fifo_align_cir port (Interlaken) On / Off

Enable TX 64b/66b encoder On / Off

Enable RX 64b/66b decoder On / Off

Enable TX sync header error insertion On / Off

Enable RX block synchronizer On / Off

Enable rx_enh_blk_lock port On / Off

Enable TX data bitslip On / Off

Enable TX data polarity inversion On / Off

Enable RX data bitslip On / Off

Enable RX data polarity inversion On / Off

Enable tx_enh_bitslip port On / Off

Enable rx_bitslip port On / Off

Enable RX KR-FEC error marking On / Off

Error marking type 10G, 40G

Enable KR-FEC TX error insertion On / Off

KR-FEC TX error insertion spacing On / Off

Enable tx_enh_frame port On / Off

Enable rx_enh_frame port On / Off

Enable rx_enh_frame_dian_status port On / Off

Table 215. Dynamic Reconfiguration Parameters

Parameter Range

Enable dynamic reconfiguration On / Off

Share reconfiguration interface On / Off

Enable Altera Debug Master Endpoint On / Off

Enable embedded debug On / Off

Enable capability registers On / Off

Set user-defined IP identifier number

Enable control and status registers On / Off

Enable prbs soft accumulators On / Off

Configuration file prefix text string

Generate SystemVerilog package file On / Off

Generate C header file On / Off

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide296

Page 297: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 216. Generate Options Parameters

Parameter Range

Generate parameter documentation file On / Off

Related Information

Using the Arria 10 Transceiver Native PHY IP Core on page 45

2.9.1.3. How to Enable Low Latency in Basic Enhanced PCS

In the Parameter Editor, use the following settings to enable low latency:

1. Select the Enable 'Enhanced PCS' low latency mode option.

2. Select one of the following gear ratios:

Single-width mode: 32:32, 40:40, 64:64, 66:40, 66:64, or 64:32

Double-width mode: 40:40, 64:64, or 66:64

3. Select Phase_compensation in the TX and RX FIFO mode list.

4. If you need the Scrambler and Descrambler features, enable Block Synchronizeand use the 66:32, 66:40, or 66:64 gear ratio.

2.9.1.4. Enhanced PCS FIFO Operation

Phase Compensation Mode

Phase compensation mode ensures correct data transfer between the core clock andparallel clock domains. The read and write sides of the TX Core or RX Core FIFO mustbe driven by the same clock frequency. The depth of the TX or RX FIFO is constant inthis mode. Therefore, the TX Core or RX Core FIFO flag status can be ignored. You cantie tx_fifo_wr_en or rx_data_valid to 1.

Basic Mode

Basic mode allows you to drive the write and read side of a FIFO with different clockfrequencies. tx_coreclkin or rx_coreclkin must have a minimum frequency ofthe lane data rate divided by 66. The frequency range for tx_coreclkin orrx_coreclkin is (data rate/32) to (data rate/66). For best results, Intelrecommends that tx_coreclkin or rx_coreclkin be set to (data rate/32). Monitorthe FIFO flag to control write and read operations.

For TX FIFO, assert tx_enh_data_valid with the tx_fifo_pfull signal going low.This can be done with the following example assignment:

assign tx_enh_data_valid = ~tx_fifo_pfull;

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide297

Page 298: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 126. TX FIFO Basic Mode Operation

64’d0 64’d1 64’d2 64’d3 64’d4 64’d5 64’d6 64’d7 64’d8 64’d9 64’ha

tx_clkout (read side)

tx_coreclk (write side)

tx_parallel_data[63:0]

tx_digitalreset

tx_enh_datavalid

tx_fifo_pempty

tx_fifo_full

tx_fifo_pfull

For RX FIFO, assert rx_enh_read_en with the rx_fifo_pempty signal going low.This can be done with the following example assignment:

assign rx_enh_read_en = ~rx_fifo_pempty;

Figure 127. RX FIFO Basic Mode Operation

64’d0 64’d1 64’d2 64’d3 64’d4 64’d5 64’d6 64’d7 64’d8 64’d9 64’ha

rx_clkout (write side)

rx_coreclkin (read side)

rx_parallel_data[63:0]

rx_digitalreset

rx_enh_read_en

rx_fifo_pfull

rx_fifo_empty

rx_fifo_pempty

If you are using even gear ratios, the rx_enh_data_valid signal is always high. Foruneven gear ratios, rx_enh_data_valid toggles. RX parallel data is valid whenrx_enh_data_valid is high. Discard invalid RX parallel data when therx_enh_datavalid signal is low.

Register and Fast Register Mode

This FIFO mode is used for protocols, which require deterministic latency. You can tietx_fifo_wr_en to 1.

2.9.1.5. TX Data Bitslip

The bit slip feature in the TX gearbox allows you to slip the transmitter bits beforethey are sent to the serializer.

The value specified on the TX bit slip bus indicates the number of bit slips. Theminimum slip is one UI. The maximum number of bits slipped is equal to the FPGAfabric-to-transceiver interface width minus 1. For example, if the FPGA fabric-to-transceiver interface width is 64 bits, the bit slip logic can slip a maximum of 63 bits.Each channel has 6 bits to determine the number of bits to slip. The TX bit slip bus isa level-sensitive port, so the TX serial data is bit slipped statically by TX bit slip port

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide298

Page 299: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

assignments. Each TX channel has its own TX bit slip assignment and the bit slipamount is relative to the other TX channels. You can improve lane-to-lane skew byassigning TX bit slip ports with proper values.

The following figure shows the effect of slipping tx_serial_data[0] by one UI toreduce the skew with tx_serial_data[1]. After the bit slip, tx_serial_data[0]and tx_serial_data[1] are aligned.

Figure 128. TX Bit Slip

tx_serial_clock

tx_enh_bitslip[0]

tx_serial_data[0] (Before)

tx_enh_bitslip[0]

tx_serial_data[0] (After)

tx_serial_data[1]

0000000

0000001

1 UI

2.9.1.6. TX Data Polarity Inversion

Use the TX data polarity inversion feature to swap the positive and negative signals ofa serial differential link if they were erroneously swapped during board layout. Toenable TX data polarity inversion, select the Enable TX data polarity inversionoption in the Gearbox section of Platform Designer (Standard). It can also bedynamically controlled with dynamic reconfiguration.

2.9.1.7. RX Data Bitslip

The RX data bit slip in the RX gearbox allows you to slip the recovered data. Anasynchronous active high edge on the rx_bitslip port changes the word boundary,shifting rx_parallel_data one bit at a time. Use the rx_bitslip port with its ownword aligning logic. Assert the rx_bitslip signal for at least two parallel clock cyclesto allow synchronization. You can verify the word alignment by monitoringrx_parallel_data. Using the RX data bit slip feature is optional.

Figure 129. RX Bit Slip

rx_clkout

rx_bitslip

rx_parallel_data[63:0] 64’d164’d0

2.9.1.8. RX Data Polarity Inversion

Use the RX data polarity inversion feature to swap the positive and negative signals ofa serial differential link if they were erroneously swapped during board layout. Toenable RX data polarity inversion, select the Enable RX data polarity inversionoption in the Gearbox section of Platform Designer (Standard). It can also bedynamically controlled with dynamic reconfiguration.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide299

Page 300: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.9.2. Using the Basic/Custom, Basic/Custom with Rate MatchConfigurations of Standard PCS

Use one of the following transceiver configuration rules to implement protocols such asSONET/SDH, SDI/HD, SATA, or your own custom protocol:

• Basic protocol

• Basic protocol with low latency enabled

• Basic with rate match protocol

Figure 130. Transceiver Channel Datapath and Clocking for the Basic and Basic with RateMatch ConfigurationsThe clocking calculations in this figure are for an example when the data rate is 1250 Mbps and the PMA widthis 10 bits.

RX FIFO

ByteDeserializer

8B/10B Decoder

Rate Match FIFO (3)

Receiver PMA

Word Aligner

Deserializer

CDR

Receiver Standard PCS

Transmitter Standard PCS Transmitter PMA

Serializer

tx_serial_datarx_serial_data

FPGAFabric

TX FIFO

Byte Serializer

8B/10B Encoder

PRBSGenerator

TX Bit Slip

/2

/2

Parallel Clock

Serial Clock

Parallel and Serial Clock Parallel and Serial Clock

Clock Divider

rx_pma_div_clkout

Serial Clock

Clock Generation Block (CGB)ATX PLL

CMU PLL fPLL

tx_coreclkin

rx_coreclkin

rx_clkout ortx_clkout

Parallel Clock(Recovered)

Parallel Clock(From Clock

Divider)

tx_clkout

tx_clkout

tx_clkout

rx_clkout

PRBSVerifier

tx_pma_div_clkout

16

1610

10

125 MHz (1)

Notes:1. The parallel clock (tx_clkout or rx_clkout) is calculated as data rate/PCS-PMA interface width =1250/10 = 125 MHz. When the Byte Serializer is set to Serialize x2 mode, tx_clkout and rx_clkout become 1250/20 = 62.5 MHz.2. The serial clock is calculated as data rate/2. The PMA runs on a dual data rate clock.3. This block is only enabled when using the Basic with Rate Match transceiver configuration rule.

125 MHz (1)

62.5 MHz (1)

62.5 MHz (1)

625 MHz (2)

In low latency modes, the transmitter and receiver FIFOs are always enabled.Depending on the targeted data rate, you can optionally bypass the byte serializer anddeserializer blocks.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide300

Page 301: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 131. Transceiver Channel Datapath and Clocking for Basic Configuration with LowLatency EnabledThe clocking calculations in this figure are for an example when the data rate is 1250 Mbps and the PMA widthis 10 bits.

RX FIFO

ByteDeserializer

8B/10B Decoder

Rate Match FIFO

Receiver PMA

Word Aligner

Deserializer

CDR

Receiver Standard PCS

Transmitter Standard PCS Transmitter PMA

Serializer

tx_serial_datarx_serial_data

FPGAFabric

TX FIFO

Byte Serializer

8B/10B Encoder

PRBSGenerator

TX Bit Slip

/2

/2

Parallel Clock

Serial Clock

Parallel and Serial Clock Parallel and Serial Clock

Clock Divider

rx_pma_div_clkout

Serial Clock

Clock Generation Block (CGB)ATX PLL

CMU PLL fPLL

tx_coreclkin

rx_coreclkinParallel Clock(Recovered)

Parallel Clock(From Clock

Divider)PRBS

Verifier

tx_pma_div_clkout

10

10 16

16

62.5 MHz (1)

62.5 MHz (1)

Notes:1. The parallel clock (tx_clkout or rx_clkout) is calculated as data rate/PCS-PMA interface width = 1250/10 = 125 MHz. When the Byte Serializer is set to Serialize x2 mode, tx_clkout and rx_clkout become 1250/20 = 62.5 MHz.2. The serial clock is calculated as data rate/2. The PMA runs on a dual data rate clock.

tx_clkout

rx_clkout

tx_clkout125 MHz (1)

125 MHz (1)

rx_clkout ortx_clkout

tx_clkout

625 MHz (2)

In low latency modes, the transmitter and receiver FIFOs are always enabled.Depending on the targeted data rate, you can optionally bypass the byte serializer anddeserializer blocks.

Related Information

Arria 10 Standard PCS Architecture on page 479

2.9.2.1. Word Aligner Manual Mode

To use this mode:

1. Set the RX word aligner mode to Manual (FPGA Fabric controlled).

2. Set the RX word aligner pattern length option according to the PCS-PMAinterface width.

3. Enter a hexadecimal value in the RX word aligner pattern (hex) field.

This mode adds rx_patterndetect and rx_syncstatus. You can select theEnable rx_std_wa_patternalign port option to enablerx_std_wa_patternalign. An active high on rx_std_wa_patternalign re-alignsthe word aligner one time.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide301

Page 302: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Note: • rx_patterndetect is asserted whenever there is a pattern match.

• rx_syncstatus is asserted after the word aligner achieves synchronization.

• rx_std_wa_patternalign is asserted to re-align and resynchronize.

• If there is more than one channel in the design, rx_patterndetect,rx_syncstatus and rx_std_wa_patternalign become buses in which eachbit corresponds to one channel.

You can verify this feature by monitoring rx_parallel_data.

The following timing diagrams demonstrate how to use the ports and show therelationship between the various control and status signals. In the top waveform,rx_parallel_data is initially misaligned. After asserting therx_std_wa_patternalign signal, it becomes aligned. The bottom waveform showsthe behavior of the rx_syncstatus signal when rx_parallel_data is alreadyaligned.

Figure 132. Manual Mode when the PCS-PMA Interface Width is 8 Bitstx_parallel_data = 8'hBC and the word aligner pattern = 8'hBC

rx_std_wa_patternalign

tx_parallel_data

rx_parallel_data

rx_patterndetect

rx_syncstatus

rx_std_wa_patternaligntx_parallel_data

rx_parallel_data

rx_patterndetectrx_syncstatus

bc

00 bc

bc

bc

In manual alignment mode, the word alignment operation is manually controlled withthe rx_std_wa_patternalign input signal or the rx_enapatternalign register.The word aligner operation is level-sensitive to rx_enapatternalign. The wordaligner asserts the rx_syncstatus signal for one parallel clock cycle whenever it re-aligns to the new word boundary.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide302

Page 303: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 133. Manual Mode when the PCS-PMA Interface Width is 10 Bitstx_parallel_data = 10'h3BC and the word aligner pattern = 10'h3BC

rx_std_wa_patternalign

tx_parallel_data

rx_parallel_data

rx_patterndetect

rx_syncstatus

3bc000

3bc

rx_std_wa_patternalign

tx_parallel_data

rx_parallel_data

rx_patterndetectrx_syncstatus

3bc

3bc

Figure 134. Manual Mode when the PCS-PMA Interface Width is 16 Bitstx_parallel_data = 16'hF3BC and the word aligner pattern = 16'hF3BC

rx_std_wa_patternaligntx_parallel_datarx_parallel_datarx_patterndetect

rx_syncstatus

rx_std_wa_patternaligntx_parallel_datarx_parallel_datarx_patterndetect

rx_syncstatus

f3bc00000000

0111 11

f3bc

f3bc

000111

f3bc

11

Figure 135. Manual Mode when the PCS-PMA Interface Width is 20 Bitstx_parallel_data = 20'hFC3BC and the word aligner pattern = 20'hFC3BC

rx_std_wa_patternalign

tx_parallel_data

rx_parallel_data

rx_patterndetect

rx_syncstatus

rx_std_wa_patternalign

tx_parallel_data

rx_parallel_data

rx_patterndetect

rx_syncstatus

fc3bc

0000

00 01

1100 11 11

fc3bc

fc3bc

00

01

11

fc3bc

11

2.9.2.2. Word Aligner Synchronous State Machine Mode

To use this mode:

• Select the Enable TX 8B/10B encoder option.

• Select the Enable RX 8B/10B decoder option.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide303

Page 304: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The 8B/10B encoder and decoder add the following additional ports:

• tx_datak

• rx_datak

• rx_errdetect

• rx_disperr

• rx_runningdisp

1. Set the RX word aligner mode to synchronous state machine.

2. Set the RX word aligner pattern length option according to the PCS-PMAinterface width.

3. Enter a hexadecimal value in the RX word aligner pattern (hex) field.

The RX word aligner pattern is the 8B/10B encoded version of the data pattern. Youcan also specify the number of word alignment patterns to achieve synchronization,the number of invalid data words to lose synchronization, and the number of validdata words to decrement error count. This mode adds two additional ports:rx_patterndetect and rx_syncstatus.

Note: • rx_patterndetect is asserted whenever there is a pattern match.

• rx_syncstatus is asserted after the word aligner achieves synchronization.

• rx_std_wa_patternalign is asserted to re-align and re-synchronize.

• If there is more than one channel in the design, tx_datak, rx_datak,rx_errdetect, rx_disperr, rx_runningdisp, rx_patterndetect, andrx_syncstatus become buses in which each bit corresponds to one channel.

You can verify this feature by monitoring rx_parallel_data.

Figure 136. Synchronization State Machine Mode when the PCS-PMA Interface Width is20 Bits

tx_datak

tx_parallel_data

rx_parallel_data

rx_datak

rx_errdetect

rx_disperr

rx_runningdisp

rx_patterndetect

rx_syncstatus

11

bc02

0000

00

11

11

00

00

00

02bc

01

11

00

00

00

01

11 00 11 00 11

11

rx_std_wa_patternalign

2.9.2.3. RX Bit Slip

To use the RX bit slip, select Enable rx_bitslip port and set the word aligner mode tobit slip. This adds rx_bitslip as an input control port. An active high edge onrx_bitslip slips one bit at a time. When rx_bitslip is toggled, the word alignerslips one bit at a time on every active high edge. Assert the rx_bitslip signal for atleast two parallel clock cycles to allow synchronization. You can verify this feature bymonitoring rx_parallel_data.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide304

Page 305: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The RX bit slip feature is optional and may or may not be enabled.

Figure 137. RX Bit Slip in 8-bit Modetx_parallel_data = 8'hbc

rx_std_bitslipboundarysel

rx_bitslip

tx_parallel_data

rx_parallel_data

01111

bc

00 97 cb e5 f2 79 bc

Figure 138. RX Bit Slip in 10-bit Modetx_parallel_data = 10'h3bc

000 1de 0ef 277 33b 39d

3bc

01111rx_std_bitslipboundarysel

rx_bitsliptx_parallel_data

rx_parallel_data 3ce 1e7 2f3 379 3bc

Figure 139. RX Bit Slip in 16-bit Modetx_parallel_data = 16'hfcbc

979f cbcf e5e7 f2f3 f979 fcbc

fcbc

rx_std_bitslipboundarysel

rx_bitslip

tx_parallel_data

rx_parallel_data

00001 00010 00011 00100 00101 00110

Figure 140. RX Bit Slip in 20-bit Modetx_parallel_data = 20'h3fcbc

3fcbc

rx_std_bitslipboundarysel

rx_bitslip

tx_parallel_data

rx_parallel_data

00001 00010 00011 00100 00101 00110 00111 01000

e5e1f f2f0f f9787 fcbc3 de5e1 ff2f0 7f978 3fcbc

2.9.2.4. RX Polarity Inversion

Receiver polarity inversion can be enabled in low latency, basic, and basic rate matchmodes.

To enable the RX polarity inversion feature, select the Enable RX polarity inversionand Enable rx_polinv port options.

This mode adds rx_polinv. If there is more than one channel in the design,rx_polinv is a bus in which each bit corresponds to a channel. As long asrx_polinv is asserted, the RX data received has a reverse polarity.

You can verify this feature by monitoring rx_parallel_data.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide305

Page 306: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 141. RX Polarity Inversion

tx_parallel_data

rx_parallel_data

11111100001110111100

11111100001... 00000011110001000011

01

11

11111100001110111100

rx_polinv

rx_patterndetect

rx_syncstatus

2.9.2.5. RX Bit Reversal

The RX bit reversal feature can be enabled in low latency, basic, and basic rate matchmode. The word aligner is available in any mode, bit slip, manual, or synchronousstate machine.

To enable this feature, select the Enable RX bit reversal and Enablerx_std_bitrev_ena port options. This adds rx_std_bitrev_ena. If there is morethan one channel in the design, rx_std_bitrev_ena becomes a bus in which eachbit corresponds to a channel. As long as rx_std_bitrev_ena is asserted, the RXdata received by the core shows bit reversal.

You can verify this feature by monitoring rx_parallel_data.

Figure 142. RX Bit Reversal

tx_parallel_data

rx_parallel_data

11111100001110111100

01

11

rx_std_bitrev_ena

rx_patterndetect

rx_syncstatus

00 01

11111100001110111100 1111110000111011110000111101110000111111

2.9.2.6. RX Byte Reversal

The RX byte reversal feature can be enabled in low latency, basic, and basic ratematch mode. The word aligner is available in any mode.

To enable this feature, select the Enable RX byte reversal and Enablerx_std_byterev_ena port options. This adds rx_std_byterev_ena. If there ismore than one channel in the design, rx_std_byterev_ena becomes a bus in whicheach bit corresponds to a channel. As long as rx_std_byterev_ena is asserted, theRX data received by the core shows byte reversal.

You can verify this feature by monitoring rx_parallel_data.

Figure 143. RX Byte Reversal

tx_parallel_data

rx_parallel_data

11111100001110111100

01

11

rx_std_byterev_ena

rx_patterndetect

rx_syncstatus

01

111111... 11111100001110111100

10

11101111001111110000

2.9.2.7. Rate Match FIFO in Basic (Single Width) Mode

Only the rate match FIFO operation is covered in these steps.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide306

Page 307: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

1. Select basic (single width) in the RX rate match FIFO mode list.

2. Enter values for the following parameters.

Parameter Value Description

RX rate match insert/delete +vepattern (hex)

20 bits of data specifiedas a hexadecimal string

The first 10 bits correspond to the skip pattern and thelast 10 bits correspond to the control pattern. The skippattern must have neutral disparity.

RX rate match insert/delete –vepattern (hex)

20 bits of data specifiedas a hexadecimal string

The first 10 bits correspond to the skip pattern and thelast 10 bits correspond to the control pattern. The skippattern must have neutral disparity.

ve (volt encodes) are NRZ_L conditions where +ve encodes 0 and –ve encodes 1.ve is a running disparity (+/–RD) specifically used with the rate matcher.Depending on the ppm difference (which is defined by protocol) between therecovered clock and the local clock, the rate matcher adds or deletes a maximumof four skip patterns (neutral disparity). The net neutrality is conserved even afterthe skip word insertion or deletion because the control words alternate betweenpositive and negative disparity.

In the following figure, the first skip cluster has a /K28.5/ control pattern followedby two /K28.0/ skip patterns. The second skip cluster has a /K28.5/ controlpattern followed by four /K28.0/ skip patterns. The rate match FIFO deletes onlyone /K28.0/ skip pattern from the first skip cluster to maintain at least one skippattern in the cluster after deletion. Two /K28.0/ skip patterns are deleted fromthe second cluster for a total of three skip patterns deletion requirement.

The rate match FIFO can insert a maximum of four skip patterns in a cluster, ifthere are no more than five skip patterns in the cluster after insertion.

Figure 144. Rate Match FIFO Deletion with Three Skip Patterns Required for Deletion

tx_parallel_data

rx_parallel_data

First Skip Cluster Second Skip Cluster

Three Skip Patterns Deleted

K28.5 K28.0 K28.0 K28.5 K28.0 K28.0 K28.0 K28.0

K28.5 K28.0 K28.5 K28.0 K28.0 K28.0

Note: /K28.5/ is the control pattern and /K28.0/ is the skip pattern

In the following figure, /K28.5/ is the control pattern and neutral disparity /K28.0/is the skip pattern. The first skip cluster has a /K28.5/ control pattern followed bythree /K28.0/ skip patterns. The second skip cluster has a /K28.5/ control patternfollowed by two /K28.0/ skip patterns. The rate match FIFO inserts only two /K28.0/ skip patterns into the first skip cluster to maintain a maximum of five skippatterns in the cluster after insertion. One /K28.0/ skip pattern is inserted into thesecond cluster for a total of three skip patterns to meet the insertion requirement.

Figure 145. Rate Match FIFO Insertion with Three Skip Patterns Required for Insertion

tx_parallel_data

rx_parallel_data

First Skip Cluster Second Skip Cluster

Three Skip Patterns Inserted

K28.5 K28.0 K28.0 K28.0 K28.5 K28.0 K28.0 Dx.y

K28.5 K28.0 K28.0 K28.0 K28.0 K28.0 K28.5 K28.0 K28.0 K28.0 Dx.y

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide307

Page 308: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The following figure shows the deletion of D5 when the upstream transmitterreference clock frequency is greater than the local receiver reference clockfrequency. It asserts rx_std_rmfifo_full for one parallel clock cycle while thedeletion takes place.

Figure 146. Rate Match FIFO Becoming Full After Receiving D5

D1 D2 D3 D4 D5 D6 D7 D8

D1 D2 D3 D4 D8 xx xx xxD6 D7

tx_parallel_data

rx_parallel_data

rx_std_rmfifo_full

The following figure shows the insertion of skip symbols when the local receiverreference clock frequency is greater than the upstream transmitter reference clockfrequency. It asserts rx_std_rmfifo_empty for one parallel clock cycle whilethe insertion takes place.

Figure 147. Rate Match FIFO Becoming Empty After Receiving D3

D1 D2 D3 D4 D5 D6

D1 D2 D3 /K30.7/ D4 D5

tx_parallel_data

rx_parallel_data

rx_std_rmfifo_empty

2.9.2.8. Rate Match FIFO Basic (Double Width) Mode

1. Select basic (double width) in the RX rate match FIFO mode list.

2. Enter values for the following parameters.

Parameter Value Description

RX rate match insert/delete +vepattern (hex)

20 bits of data specifiedas a hexadecimal string

The first 10 bits correspond to the skip pattern and thelast 10 bits correspond to the control pattern. The skippattern must have neutral disparity.

RX rate match insert/delete -vepattern (hex)

20 bits of data specifiedas a hexadecimal string

The first 10 bits correspond to the skip pattern and thelast 10 bits correspond to the control pattern. The skippattern must have neutral disparity.

The rate match FIFO can delete as many pairs of skip patterns from a cluster asnecessary to avoid the rate match FIFO from overflowing. The rate match FIFOcan delete a pair of skip patterns only if the two 10-bit skip patterns appear in thesame clock cycle on the LSByte and MSByte of the 20-bit word. If the two skippatterns appear straddled on the MSByte of a clock cycle and the LSByte of thenext clock cycle, the rate match FIFO cannot delete the pair of skip patterns.

In the following figure, the first skip cluster has a /K28.5/ control pattern in theLSByte and /K28.0/ skip pattern in the MSByte of a clock cycle followed by one /K28.0/ skip pattern in the LSByte of the next clock cycle. The rate match FIFOcannot delete the two skip patterns in this skip cluster because they do not appearin the same clock cycle. The second skip cluster has a /K28.5/ control pattern inthe MSByte of a clock cycle followed by two pairs of /K28.0/ skip patterns in thenext two cycles. The rate match FIFO deletes both pairs of /K28.0/ skip patterns(for a total of four skip patterns deleted) from the second skip cluster to meet thethree skip pattern deletion requirement.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide308

Page 309: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The rate match FIFO can insert as many pairs of skip patterns into a clusternecessary to avoid the rate match FIFO from under running. The 10-bit skippattern can appear on the MSByte, the LSByte, or both, of the 20-bit word.

Figure 148. Rate Match FIFO Deletion with Four Skip Patterns Required for Deletion/K28.5/ is the control pattern and neutral disparity /K28.0/ is the skip pattern.

Dx.y K28.0 Dx.y K28.5 K28.0 K28.0 Dx.y

Dx.y K28.5 K28.0 Dx.y Dx.y

tx_parallel_data[19:10]

rx_parallel_data[9:0]

First Skip Cluster Second Skip ClusterTwo Pairs of SkipPatterns Deleted

Dx.y K28.5

K28.5

K28.0 K28.0 Dx.ytx_parallel_data[9:0]

Dx.y K28.0 Dx.y

Dx.y

Dx.yrx_parallel_data[19:0]

K28.0

In the following figure, /K28.5/ is the control pattern and neutral disparity /K28.0/is the skip pattern. The first skip cluster has a /K28.5/ control pattern in theLSByte and /K28.0/ skip pattern in the MSByte of a clock cycle. The rate matchFIFO inserts pairs of skip patterns in this skip cluster to meet the three skippattern insertion requirement.

Figure 149. Rate Match FIFO Insertion with Four Skip Patterns Required for Insertion

Dx.y K28.0 Dx.y K28.5 K28.0 K28.0tx_parallel_data[19:10]

rx_parallel_data[9:0]

First Skip Cluster Second Skip Cluster

Dx.y K28.5 Dx.y Dx.y K28.0 K28.0tx_parallel_data[9:0]

rx_parallel_data[19:0] Dx.y K28.0 K28.0 K28.0 Dx.y K28.5 K28.0

Dx.y K28.5 K28.0 K28.0 Dx.y Dx.y K28.0

K28.0

K28.0

The following figure shows the deletion of the 20-bit word D7D8.

Figure 150. Rate Match FIFO Becoming Full After Receiving the 20-Bit Word D5D6

D2 D4 D6 D10 D12 xx

D1 D3 D5 D9 D11 xx

rx_parallel_data[19:10]

rx_parallel_data[9:0]

rx_std_rmfifo_full

D2 D4 D6 D8 D10 D12

D1 D3 D5 D7 D9 D11

tx_parallel_data[19:0]

tx_parallel_data[9:0]

The following figure shows the insertion of two skip symbols.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide309

Page 310: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 151. Rate Match FIFO Becoming Empty After Reading out the 20-Bit Word D5D6

D2 D4 D6 /K30.7/ D8 D10

D1 D3 D5 /K30.7/ D7 D9

rx_parallel_data[19:10]

rx_parallel_data[9:0]

rx_std_rmfifo_empty

D2 D4 D6 D8 D10 D12

D1 D3 D5 D7 D9 D11

tx_parallel_data[19:0]

tx_parallel_data[9:0]

2.9.2.9. 8B/10B Encoder and Decoder

To enable the 8B/10B Encoder and the 8B/10B Decoder, select the Enable TX8B/10B Encoder and Enable RX 8B/10B Decoder options on the Standard PCStab in the IP Editor. Platform Designer (Standard) allows implementing the 8B/10Bdecoder in RX-only mode.

The following ports are added:

• tx_datak

• rx_datak

• rx_runningdisp

• rx_disperr

• rx_errdetect

rx_datak and tx_datak indicate whether the parallel data is a control word or adata word. The incoming 8-bit data (tx_parallel_data) and the control identifier(tx_datak) are converted into a 10-bit data. After a power on reset, the 8B/10Bencoder takes the 10-bit data from the RD- column. Next, the encoder chooses the10-bit data from the RD+ column to maintain neutral disparity. The running disparityis shown by rx_runningdisp.

2.9.2.10. 8B/10B TX Disparity Control

The Disparity Control feature controls the running disparity of the output from the8B/10B Decoder.

To enable TX Disparity Control, select the Enable TX 8B/10B Disparity Controloption. The following ports are added:

• tx_forcedisp—a control signal that indicates whether a disparity value has tobe forced or not

• tx_dispval—a signal that indicates the value of the running disparity that isbeing forced

When the number of data channels is more than 1, tx_forcedisp and tx_dispvalare shown as buses in which each bit corresponds to one channel.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide310

Page 311: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The following figure shows the current running disparity being altered in Basic single-width mode by forcing a positive disparity /K28.5/ when it was supposed to be anegative disparity /K28.5/. In this example, a series of /K28.5/ code groups arecontinuously being sent. The stream alternates between a positive running disparity(RD+) /K28.5/ and a negative running disparity (RD-) /K28.5/ to maintain a neutraloverall disparity. The current running disparity at time n + 3 indicates that the /K28.5/in time n + 4 should be encoded with a negative disparity. Because tx_forcedisp ishigh at time n + 4, and tx_dispval is low, the /K28.5/ at time n + 4 is encoded as apositive disparity code group.

Figure 152. 8B/10B TX Disparity Control

Current Running Disparity

clock

tx_in[7:0]

tx_forcedisp

BC BC BC BC BC BC BC

tx_ctrlenable

BC

dataout[9:0] 17C 283

RD–

17C

RD–RD+

283

RD+

283

RD+

283

RD+

17C

RD–

17C

RD–

n n + 1 n + 2 n + 3 n + 4 n + 5 n + 6 n + 7

tx_dispval

2.9.2.11. How to Enable Low Latency in Basic

In the Arria 10 Transceiver Native PHY IP Parameter Editor, use the following settingsto enable low latency:

1. Select the Enable 'Standard PCS' low latency mode option.

2. Select either low_latency or register FIFO in the TX FIFO mode list.

3. Select either low_latency or register FIFO in the RX FIFO mode list.

4. Select either Disabled or Serialize x2 in the TX byte serializer mode list.

5. Select either Disabled or Serialize x2 in the RX byte deserializer mode list.

6. Ensure that RX rate match FIFO mode is disabled.

7. Set the RX word aligner mode to bitslip.

8. Set the RX word aligner pattern length to 7 or 16.

Note: TX bitslip, RX bitslip, bit reversal, and polarity inversion modes aresupported.

2.9.2.12. TX Bit Slip

To use the TX bit slip, select the Enable TX bitslip and Enabletx_std_bitslipboundarysel port options. This adds thetx_std_bitslipboundarysel input port. The TX PCS automatically slips thenumber of bits specified by tx_std_bitslipboundarysel. There is no port for TXbit slip. If there is more than one channel in the design,tx_std_bitslipboundarysel ports are multiplied by the number of channels. Youcan verify this feature by monitoring the tx_parallel_data port.

Enabling the TX bit slip feature is optional.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide311

Page 312: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Note: The rx_parallel_data values in the following figures are based on the TX and RXbit reversal features being disabled.

Figure 153. TX Bit Slip in 8-bit Mode

tx_parallel_data = 8'hbc. tx_std_bitslipboundarysel = 5'b00001 (bit slip by 1 bit).

tx_std_bitslipboundarysel

tx_parallel_data

rx_parallel_data

00001

bc

79

Figure 154. TX Bit Slip in 10-bit Mode

tx_parallel_data = 10'h3bc. tx_std_bitslipboundarysel = 5'b00011 (bit slip by 3 bits).

tx_std_bitslipboundarysel

tx_parallel_data

rx_parallel_data

00011

3bc

1e7

Figure 155. TX Bit Slip in 16-bit Mode

tx_parallel_data = 16'hfcbc. tx_std_bitslipboundarysel =5'b00011 (bit slip by 3 bits).

tx_std_bitslipboundarysel

tx_parallel_data

rx_parallel_data

00011

fcbc

5e7f

Figure 156. TX Bit Slip in 20-bit Modetx_parallel_data = 20'hF3CBC. tx_std_bitslipboundarysel = 5'b00111 (bit slip by 7 bits).

tx_std_bitslipboundarysel

tx_parallel_data

rx_parallel_data

00111

f3cbc

e5e1f

2.9.2.13. TX Polarity Inversion

The positive and negative signals of a serial differential link might accidentally beswapped during board layout. Solutions such as a board respin or major updates tothe PLD logic can be expensive. The transmitter polarity inversion feature is providedto correct this situation.

Transmitter polarity inversion can be enabled in low latency, basic, and basic ratematch modes.

To enable TX polarity inversion, select the Enable tx_polinv port option in PlatformDesigner (Standard). It can also be dynamically controlled with dynamicreconfiguration.

This mode adds tx_polinv. If there is more than one channel in the design,tx_polinv is a bus with each bit corresponding to a channel. As long as tx_polinvis asserted, the TX data transmitted has a reverse polarity.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide312

Page 313: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.9.2.14. TX Bit Reversal

The TX bit reversal feature can be enabled in low latency, basic, and basic rate matchmode. The word aligner is available in any mode. This feature is parameter-based, andcreates no additional ports. If there is more than one channel in the design, allchannels have TX bit reversal.

To enable TX bit reversal, select the Enable TX bit reversal option in PlatformDesigner (Standard). It can also be dynamically controlled with dynamicreconfiguration.

Figure 157. TX Bit Reversal

tx_parallel_data

rx_parallel_data

11111100001110111100

00000... 00111101110000111111

2.9.2.15. TX Byte Reversal

The TX byte reversal feature can be enabled in low latency, basic, and basic ratematch mode. The word aligner is available in any mode. This feature is parameter-based, and creates no additional ports. If there is more than one channel in thedesign, all channels have TX byte reversal.

To enable TX byte reversal, select the Enable TX byte reversal option in PlatformDesigner (Standard). It can also be dynamically controlled with dynamicreconfiguration.

Figure 158. TX Byte Reversal

tx_parallel_data

rx_parallel_data

11111100001110111100

00000000... 11101111001111110000

2.9.2.16. How to Implement the Basic, Basic with Rate Match TransceiverConfiguration Rules in Arria 10 Transceivers

You should be familiar with the Standard PCS and PMA architecture, PLL architecture,and the reset controller before implementing your Basic protocol IP.

1. Open the IP Catalog and select the Native PHY IP.Refer to Select and Instantiate the PHY IP Core on page 33.

2. Select Basic/Custom (Standard PCS) or Basic/Custom w/Rate Match(Standard PCS) from the Transceiver configuration rules list located underDatapath Options depending on which configuration you want to use.

3. Use the parameter values in the tables in Transceiver Native PHY IP ParameterSettings for the Basic Protocol as a starting point. Or, you can use the protocolpresets described in Transceiver Native PHY Presets. You can then modify thesetting to meet your specific requirements.

4. Click Finish to generate the Native PHY IP (this is your RTL file).

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide313

Page 314: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 159. Signals and Ports of Native PHY IP for Basic, Basic with Rate MatchConfigurations

reconfig_resetreconfig_clk

reconfig_avmm

tx_digital_resettx_datak

tx_parallel_data[7:0]

tx_clkout

tx_dataktx_parallel_data[7:0]tx_coreclkintx_clkoutunused_tx_parallel_data[118:0]

ReconfigurationRegisters

TX Standard PCS

rx_datakrx_parallel_data[7:0]rx_clkoutrx_coreclkinrx_errdetectrx_disperrrx_runningdisprx_patterndetectrx_syncstatusrx_rmfifostatus (1)unused_rx_parallel_data[113:0]

RX Standard PCS

Nios HardCalibration IP

TX PMA

Serializer

RX PMA

Deserializer CDR

tx_cal_busyrx_cal_busy

tx_serial_data

rx_serial_datarx_cdr_refclk0rx_is_lockedtodatarx_is_lockedtoref

Central/LocalClock Divider tx_serial_clk0 (from TX PLL)tx_analog_reset

rx_analog_reset

rx_digital_resetrx_datak

rx_parallel_data[7:0]rx_clkout

rx_errdetectrx_disperr

rx_runningdisprx_patterndetect

rx_syncstatusrx_rmfifostatus (1)

10

10

Arria 10 Transceiver Native PHY

Note:1. Only applies when using the Basic with Rate Match transceiver configuration rule.

5. Instantiate and configure your PLL.

6. Create a transceiver reset controller.

7. Connect the Native PHY IP to the PLL IP and the reset controller. Use theinformation in Transceiver Native PHY Ports for the Protocol to connect the ports.

Figure 160. Connection Guidelines for a Basic/Custom Design

resetPattern

Generator

PatternChecker

PLL IP ResetController

Arria 10Transceiver

NativePHY

tx_parallel_data

tx_datak

tx_clkoutpll_ref_clk

reset

tx_serial_clk

pll_locked

pll_powerdown

rx_ready

tx_ready

clk

reset

tx_digital_reset

tx_analog_reset

rx_digital_reset

rx_analog_reset

rx_is_lockedtoref

rx_is_lockedtodata

rx_parallel_data

rx_datak

rx_clkout

reconfig_clkreconfig_resetreconfig_write

tx_serial_datarx_serial_data

ForReconfiguration

rx_cdr_refclk

reconfig_readreconfig_addressreconfig_writedatareconfig_readdatareconfig_waitrequest

cal_busy

8. Simulate your design to verify its functionality.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide314

Page 315: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Related Information

• Arria 10 Standard PCS Architecture on page 479For more information about Standard PCS architecture

• Arria 10 PMA Architecture on page 447For more information about PMA architecture

• Using PLLs and Clock Networks on page 398For more information about implementing PLLs and clocks

• PLLs on page 349PLL architecture and implementation details

• Resetting Transceiver Channels on page 416Reset controller general information and implementation details

• Standard PCS Ports on page 86Port definitions for the Transceiver Native PHY Standard Datapath

2.9.2.17. Native PHY IP Parameter Settings for Basic, Basic with Rate MatchConfigurations

This section contains the recommended parameter values for this protocol. Refer toUsing the Arria 10 Transceiver Native PHY IP Core for the full range of parametervalues.

Table 217. General and Datapath Options Parameters

Parameter Range

Message level for rule violationserror

warning

Transceiver configuration rulesBasic/Custom (Standard PCS)

Basic/Custom w/Rate Match (StandardPCS)

PMA configuration rules basic

Transceiver modeTX/RX Duplex

TX SimplexRX Simplex

Number of data channels 1 to 96

Data rate 611 Mbps to 12 Gbps

Enable datapath and interface reconfiguration On/Off

Enable simplified data interface On/Off

Table 218. TX PMA Parameters

Parameter Range

TX channel bonding mode Not bondedPMA-only bonding

PMA and PCS bonding

PCS TX channel bonding master Auto, n-1 (where n = the number of datachannels)

Actual PCS TX channel bonding master n-1 (where n = the number of data channels)

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide315

Page 316: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Range

TX local clock division factor 1, 2, 4, 8

Number of TX PLL clock inputs per channel 1, 2, 3, 4

Initial TX PLL clock input selection 0 (Depends on the Number of TX PLL clockinputs per channel value)

Enable tx_pma_clkout port On/Off

Enable tx_pma_div_clkout port On/Off

tx_pma_div_clkout division factor Disabled, 1, 2, 33, 40, 66

Enable tx_pma_elecidle port On/Off

Enable tx_pma_qpipullup port (QPI) On/Off

Enable tx_pma_qpipulldn port (QPI) On/Off

Enable tx_pma_txdetectrx port (QPI) On/Off

Enable tx_pma_rxfound port (QPI) On/Off

Enable rx_seriallpbken port On/Off

Table 219. RX PMA Parameters

Parameter Range

Number of CDR reference clocks 1, 2, 3, 4, 5

Selected CDR reference clock 0, 1, 2, 3, 4

Selected CDR reference clock frequency Legal range defined by Quartus Prime software

PPM detector threshold 100, 300, 500, 1000

CTLE adaptation mode manual

DFE adaptation mode disabled

Number of fixed dfe taps 3, 7

Enable rx_pma_clkout port On/Off

Enable rx_pma_div_clkout port On/Off

rx_pma_div_clkout division factor Disabled, 1, 2, 33, 40, 50, 66

Enable rx_pma_clkslip port On/Off

Enable rx_pma_qpipulldn port (QPI) On/Off

Enable rx_is_lockedtodata port On/Off

Enable rx_is_lockedtoref port On/Off

Enable rx_set_locktodata and rx_set_locktoref ports On/Off

Enable rx_seriallpbken port On/Off

Enable PRBS verifier control and status ports On/Off

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide316

Page 317: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 220. Standard PCS Parameters

Parameter Range

Standard PCS / PMA interface width 8, 10, 16, 20

FPGA fabric / Standard TX PCS interface width 8, 10, 16, 20, 32, 40

FPGA fabric / Standard RX PCS interface width 8, 10, 16, 20, 32, 40

Enable 'Standard PCS' low latency modeOn/Off

Off (for Basic with Rate Match)

TX FIFO modelow_latencyregister_fifofast_register

RX FIFO Modelow_latencyregister_fifo

Enable tx_std_pcfifo_full port On/Off

Enable tx_std_pcfifo_empty port On/Off

Enable rx_std_pcfifo_full port On/Off

Enable rx_std_pcfifo_empty port On/Off

TX byte serializer modeDisabled

Serialize x2Serialize x4

RX byte deserializer modeDisabled

Deserialize x2Deserialize x4

Enable TX 8B/10B encoder On/Off

Enable TX 8B/10B disparity control On/Off

Enable RX 8B/10B decoder On/Off

RX rate match FIFO modeDisabled

Basic 10-bit PMA (for Basic with Rate Match)Basic 20-bit PMA (for Basic with Rate Match)

RX rate match insert/delete -ve pattern (hex) User-defined value

RX rate match insert/delete +ve pattern (hex) User-defined value

Enable rx_std_rmfifo_full port On/Off

Enable rx_std_rmfifo_empty port On/Off

PCI Express* Gen 3 rate match FIFO mode Bypass

Enable TX bit slip On/Off

Enable tx_std_bitslipboundarysel port On/Off

RX word aligner modebitslip

manual (PLD controlled)synchronous state machine

RX word aligner pattern length 7, 8, 10, 16, 20, 32, 40

RX word aligner pattern (hex) User-defined value

Number of word alignment patterns to achieve sync 0-255

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide317

Page 318: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Range

Number of invalid data words to lose sync 0-63

Number of valid data words to decrement error count 0-255

Enable fast sync status reporting for deterministic latency SM On/Off

Enable rx_std_wa_patternalign port On/Off

Enable rx_std_wa_a1a2size port On/Off

Enable rx_std_bitslipboundarysel port On/Off

Enable rx_bitslip port On/Off

Enable TX bit reversal On/Off

Enable TX byte reversal On/Off

Enable TX polarity inversion On/Off

Enable tx_polinv port On/Off

Enable RX bit reversal On/Off

Enable rx_std_bitrev_ena port On/Off

Enable RX byte reversal On/Off

Enable rx_std_byterev_ena port On/Off

Enable RX polarity inversion On/Off

Enable rx_polinv port On/Off

Enable rx_std_signaldetect port On/Off

Enable PCIe dynamic datarate switch ports Off

Enable PCIe pipe_hclk_in and pipe_hclk_out ports Off

Enable PCIe Gen 3 analog control ports Off

Enable PCIe electrical idle control and status ports Off

Enable PCIe pipe_rx_polarity port Off

Table 221. Dynamic Reconfiguration Parameters

Parameter Range

Enable dynamic reconfiguration On/Off

Share reconfiguration interface On/Off

Enable Altera Debug Master Endpoint On/Off

Table 222. Generation Options Parameters

Parameter Range

Generate parameter documentation file On/Off

Related Information

Using the Arria 10 Transceiver Native PHY IP Core on page 45

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide318

Page 319: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.9.3. Design Considerations for Implementing Arria 10 GT Channels

This section provides information on using the Arria 10 GT transceiver channels .

GT channels can be used in Enhanced PCS basic mode and PCS-Direct configuration tosupport 25.8 Gbps. When GT channels are used in PCS-Direct configuration, the PCSblocks are bypassed. The serializer/deserializer in GT channels supports 64 bit and128 bit serialization factors.

2.9.3.1. Transceiver PHY IP

Arria 10 GT transceiver channels are implemented using the Native PHY IP with theBasic (Enhanced PCS) transceiver configuration rule.

• To support 25.8 Gbps, the Enhanced PCS must be configured in basic mode withthe low latency check box unselected. To configure the Enhanced PCS, do notenable any functional blocks in the Enhanced PCS (that is, disable BlockSynchronizer, Gearbox, Scrambler, and Encoder).

• You can also use the PCS-Direct mode for 25.8 Gbps.

You can bundle several GT transceiver channels with one Native PHY IP instantiation,but you must instantiate a separate ATX PLL IP for every ATX PLL used.

2.9.3.2. PLL and GT Transceiver Channel Clock Lines

The ATX PLL is used to provide the clock source for the GT transceiver channels. EachATX PLL has two dedicated GT clock lines which connect the PLL directly to the GTtransceiver channels within a transceiver bank. The top ATX PLL drives channels 3 and4, and the bottom ATX PLL drives channels 0 and 1. These connections bypass the restof the clock network for higher performance.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide319

Page 320: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 161. GT Channel Configuration

CMU or CDR

CGBCh 4

CDR

CGBCh 3

CDR

CGBCh 2

CGBCh 1

CDR

CGBCh 0

CDR

CGBCh 5

ATX PLL1

ATX PLL0 CMU or CDR

When both the channels 0 and 1 are configured as GT channels, they are driven bythe same ATX PLL and have to be configured to run at the same data rates. This isalso true for channels 3 and 4 when they are configured as GT channels.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide320

Page 321: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Note: • GT channel bonding is not supported.

• For optimum performance of GT channel, the reference clock of ATX PLL isrecommended to be from a dedicated reference clock pin in the same bank.

Related Information

Input Reference Clock Sources on page 372

2.9.3.3. Reset Controller

Each GT channel instantiated has independent analog and digital reset ports. Refer tothe Resetting Transceiver Channels chapter for more details on designing a resetcontroller to reset these ports.

Related Information

Resetting Transceiver Channels on page 416Reset controller general information and implementation details

2.9.3.4. How to Implement Designs for Data Rates Above 17.4 Gbps UsingEnhanced PCS in Low Latency Mode

• You should be familiar with the Enhanced PCS and PMA architecture, PLLarchitecture, and the reset controller.

• Make sure you have selected an Arria 10 GT device for the project

1. Select Tools ➤ IP Catalog ➤ Interface Protocols ➤ Transceiver PHY ➤ Arria10 Transceiver Native PHY. Refer to Select and Instantiate the PHY IP Core onpage 33 for detailed steps.

2. Set VCCR_GXB and VCCT_GXB to 1.1V. Note these settings are overridden bythe QSF file settings which should also be set to 1.1V. QII makes sure the actualvoltage prescribed is in line with pin connection guidelines and the Arria10 DataSheet.

3. Select Basic (Enhanced PCS) from the Transceiver configuration rules listlocated under Datapath Options.

4. Use the parameter values in the tables in Transceiver Native PHY IP ParametersSettings for Basic (Enhanced PCS) and Basic with KR FEC for each input of theArria 10 Transceiver Native PHY Parameter Editor as a starting point. Or, you canuse the protocol presets described in Transceiver Native PHY Presets. You can thenmodify the settings to meet your specific requirements.

• Ensure that the data rate is set to 25781.25 Mbps. To achieve the higher datarates, use Enhanced PCS basic mode with the low latency option unchecked.Select a CDR reference clock to match your data rate. Use Phasecompensation FIFO modes.

• Make sure DFE is disabled from Rx PMA settings.

• Set the Enhanced PCS / PMA interface width to 64 bits.

• Set the FPGA fabric / Enhanced PCS interface width to 64 bits.

• You can enable RX/TX FIFO double width mode to create a FPGA fabric / PCSinterface width of 128 bits.

• Click Finish to generate the Native PHY IP (this is your RTL file).

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide321

Page 322: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 162. Signals and Ports of the Native PHY for Basic (Enhanced PCS) TransceiverConfiguration Rule for Data Rates Above 17.4 Gbps and FPGA Fabric / PCSInterface width of 128 bits

ReconfigurationRegisters

NIOSHard Calibration IP

TX PMA

Serializertx_serial_data

tx_serial_clk0(from TX PLL)

rx_cal_busytx_cal_busy

rx_serial_data

rx_control[19:0]rx_cdr_refclk0rx_is_lockedtodata

rx_is_lockedtoref

rx_parallel_data[127:0]

tx_control[17:0] tx_control[17:0]tx_digital_resettx_digital_reset

tx_parallel_data[127:0]

reconfig_clkreconfig_avmm

reconfig_reset

tx_coreclkintx_clkouttx_enh_data_valid

tx_parallel_data[127:0]tx_coreclkin

tx_clkouttx_enh_data_valid

RX PMA

TX Enhanced PCS

RX Enhanced PCS

Deserializer

refclk

CDR

rx_clkoutrx_coreclkin

rx_clkoutrx_coreclkin

rx_analog_reset

tx_analog_reset

rx_digital_resetrx_digital_reset

rx_parallel_data[127:0]rx_control[19:0]

5. Select Tools ➤ IP Catalog ➤ Basic Functions ➤ Clocks ➤ PLLs and Resets ➤PLL ➤ Arria 10 Transceiver ATX PLL. Refer to Instantiating the ATX PLL IP Coreon page 354 for detailed steps.

6. Configure the ATX PLL IP using the Parameter Editor.

• Select the GT clock output buffer.

• Enable the PLL GT clock output port.

• Set the PLL output clock frequency to the Native PHY IP recommendedfrequency.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide322

Page 323: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 163. ATX PLL IP with GT Clock Lines Enabled

7. Create a transceiver reset controller. Refer to Resetting Transceiver Channels onpage 416 for more details about configuring the reset IP core.

8. Connect the Native PHY IP core to the PLL IP core and the reset controller.

The ATX PLL's port tx_serial_clk_gt represents the dedicated GT clock lines.Connect this port to the Native PHY IP core's tx_serial_clk0 port. The QuartusPrime software automatically uses the dedicated GT clocks instead of the x1 clocknetwork.

2.9.3.5. Arria 10 GT Channel Usage

All Arria 10 GT devices have a total of six GT transceiver channels to support 25.8Gbps.

Arria 10 GT devices have three transceiver banks that support up to two GT channels.Each channel can operate as a duplex channel, TX only, or RX only channel.Transceiver banks GXBL1E and GXBL1H each contain two GT transceiver channels:Ch3 and Ch4. Transceiver bank GXBL1G contains two GT transceiver channels: Ch0and Ch1. Channels 2 and 5 on any bank can only be configured as GX transceiverchannels.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide323

Page 324: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 223. Valid Permutations for GT and GX Channel Configuration in Transceiver BankGXBL1G for Channels 0, 1, and 2

GT Transceiver Channel Configuration A Configuration B Configuration C Configuration D

Ch2 Unusable Unusable Unusable GX

Ch1 GT GT Unusable GX

Ch0 GT Unusable GT GX

Notes on grouping channels Ch0, Ch1, and Ch2:

• If channels 0 and 1 are configured as GT channels, channel 2 is unusable(Configuration A).

• If either channel 0 or 1 is configured as a GT channel, the remaining channels areunusable (Configurations B and C).

• If channels 0 and 1 are not configured as GT channels, this grouping can be allconfigured as GX channels (Configuration D).

• If either channel 0 or 1 is used as a GT channel, then the ATX PLL adjacent tochannel 0 and 1 must be reserved for GT channel configurations.

Table 224. Valid Permutations for GT and GX Channel Configuration in Transceiver BanksGXBL1E and GXBL1H for Channels 3, 4, and 5

GT Transceiver Channel Configuration A Configuration B Configuration C Configuration D

Ch5 Unusable Unusable Unusable GX

Ch4 GT GT Unusable GX

Ch3 GT Unusable GT GX

Notes on grouping channels Ch3, Ch4, and Ch5:

• If channels 3 and 4 are configured as GT channels, channel 5 is unusable(Configuration A).

• If either channel 3 or 4 is configured as a GT channel, the remaining channels areunusable (Configurations B and C).

• If channels 3 and 4 are not configured as GT channels, this grouping can be allconfigured as GX channels (Configuration D).

• If either channel 3 or 4 is used as a GT channel, then the ATX PLL adjacent tochannel 3 and 4 must be reserved for GT channel configurations.

2.9.4. How to Implement PCS Direct Transceiver Configuration Rule

You should be familiar with PCS Direct architecture, PMA architecture, PLLarchitecture, and the reset controller before implementing PCS Direct TransceiverConfiguration Rule.

1. Open the IP Catalog and select Arria 10 Transceiver Native PHY IP. Refer to Select and Instantiate the PHY IP Core on page 33 for detailed steps.

2. Select PCS Direct from the Transceiver configuration rules list located underDatapath Options.

3. Configure your Native PHY IP.

4. Click Generate to generate the Native PHY IP (this is your RTL file).

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide324

Page 325: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

5. Instantiate and configure your PLL.

6. Create a transceiver reset controller. You can use your own controller or use theTransceiver PHY Reset Controller.

7. Connect the Native PHY IP to the PLL IP and the reset controller.

Figure 164. Connection Guidelines for a PCS Direct PHY Design

PLL IP Core

DataGenerator

DataVerifier

Arria 10 Transceiver Native PHY

Reset Controller

rx_cdr_refclk

tx_serialclk0

pll_locked

pll_sel

reset

clk

pll_refclk

tx_ready

rx_ready

tx_parallel_data

tx_clkout

rx_parallel_data

rx_clkout

tx_serial_data

rx_serial_data

rx_i

s_loc

kedt

odat

a

rx_c

al_bu

sy

tx_c

al_bu

sy

tx_a

nalog

rese

t

tx_d

igita

lrese

t

rx_a

nalog

rese

t

rx_d

igita

lrese

t

pll_cal_busy

8. Simulate your design to verify its functionality.

2.10. Simulating the Transceiver Native PHY IP Core

Use simulation to verify the Native PHY transceiver functionality. The Quartus Primesoftware supports register transfer level (RTL) and gate-level simulation in bothModelSim - Intel FPGA Edition and third-party simulators. You run simulations usingyour Quartus Prime project files.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide325

Page 326: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The following simulation flows are available:

• NativeLink—This flow simplifies simulation by allowing you to start a simulationfrom the Quartus Prime software. This flow automatically creates a simulationscript and compiles design files, IP simulation model files, and Intel simulationlibrary models.

Note: The Quartus Prime Pro Edition software does not support NativeLink RTLsimulation

• Scripting IP Simulation—In this flow you perform the following actions:

1. Run the ip-setup-simulation utility to generate a single simulation script thatcompiles simulation files for all the underlying IPs in your design. This scriptneeds to be regenerated whenever you upgrade or modify IPs in the design.

2. You create a top-level simulation script for compiling your testbench files andsimulating the testbench. It sources the script generated in the first action.You do not have to modify this script even if you upgrade or modify the IPs inyour design.

• Custom Flow—This flow allows you to customize simulation for more complexrequirements. You can use this flow to compile design files, IP simulation modelfiles, and Intel simulation library models manually.

You can simulate the following netlist:

• The RTL functional netlist—This netlist provides cycle-accurate simulation usingVerilog HDL, SystemVerilog, and VHDL design source code. Intel and third-partyEDA vendors provide the simulation models.

Prerequisites to Simulation

Before you can simulate your design, you must have successfully passed QuartusPrime Analysis and Synthesis.

Related Information

Simulating Intel FPGA Designs

2.10.1. NativeLink Simulation Flow

The NativeLink settings available in the Quartus Prime software allow you to specifyyour simulation environment, simulation scripts, and testbenches. The Quartus Primesoftware saves these settings in your project. After you specify the NativeLinksettings, you can start simulations easily from the Quartus Prime software.

2.10.1.1. How to Use NativeLink to Specify a ModelSim Simulation

Complete the following steps to specify the directory path and testbench settings foryour simulator:

1. On the Tools menu, click Options, and then click EDA Tool Options.

2. Browse to the directory for your simulator. The following table lists the directoriesfor supported simulators:

Table 225. Simulator Path

Simulator Path

Mentor Graphics* ModelSim - Intel FPGA Edition <drive>:\<simulator install path>\win32aloem (Windows)

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide326

Page 327: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Simulator Path

/<simulator install path>/bin (Linux*)

3. On the Assignments menu, click Settings.

4. In the Category list, under EDA Tool Settings select Simulation.

5. In the Tool name list, select your simulator.

Note: ModelSim refers to ModelSim SE and PE. These simulators use the samecommands as QuestaSim.ModelSim - Intel FPGA Edition refers to ModelSim - IntelFPGA Edition Starter Edition and ModelSim - Intel FPGA Edition SubscriptionEdition.

6. In the Output directory, browse to the directory for your output files.

7. To map illegal HDL characters, turn on Map illegal HDL characters.

8. To filter netlist glitches , turn on Enable glitch filtering.

9. Complete the following steps to specify additional options for NativeLinkautomation:

a. Turn on Compile test bench.

b. Click Test Benches.The Test Benches dialog box appears.

c. Click New.

d. Under Create new test bench settings, for Test bench name type the testbench name. For Top level module in the test bench, type the top-level modulename. These names should match the actual test bench module names.

e. Select Use test bench to perform VHDL timing simulation and specify thename of your design instance under Design instance name in test bench.

f. Under the Simulation period, turn on Run simulation until all vectorstimuli are used.

g. Under Test bench and simulation files, select your test bench file from yourfolder. Click Add.

h. Click OK.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide327

Page 328: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.10.1.2. How to Use NativeLink to Run a ModelSim RTL Simulation

Figure 165. NativeLink Simulation Flow Diagram

Specify EDA Simulator &Simulator Directory

Run RTL Functional orGate-Level Simulation

Debug Design &Make RTL Changes

Does Simulation Give

Expected Results?Yes

Does Simulation Give

Expected Results?

No

Simulation CompleteNo

Run Quartus PrimeAnalysis and Elaboration

Define Control Signals UsingIn-System Sources & Probes

Run Simulation

Does Simulation Give

Expected Results?

Yes

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide328

Page 329: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Complete the following steps to run an RTL functional simulation:

1. Open your Quartus Prime project.

2. On the Tools menu, select Run Simulation Tool, then select RTL Simulation orGate Level Simulation.

3. Run Quartus Prime Analysis and Elaboration and re-instantiate control signals thatyou defined using the In-System Sources and Probe Editor. The In-System Sourcesand Probe Editor can only access the pins of the device. Consequently, you mustroute any signal that you want to observe to the top-level of your design.

4. To monitor additional signals, highlight the desired instances or nodes inInstance, and right-click Add wave.

5. Select Simulate and then Run.

6. Specify the simulation duration.

7. Complete the following steps to restart the simulation:

a. On the Simulate menu, select restart, then click ok.This action clears the existing waves.

b. Highlight run and select the appropriate options to run the simulation.

Related Information

Simulating the Transceiver Native PHY IP Core on page 325

2.10.1.3. How to Use NativeLink to Specify Third-Party RTL Simulators

The following figure illustrates the high-level steps for using the NativeLink with Third-Party EDA RTL simulator.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide329

Page 330: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 166. Using NativeLink with Third-Party Simulators

Specify EDA Simulator &Simulator Directory

Perform Functional Simulation

Debug Design &Make RTL Changes

Does Simulation Give

Expected Results?Yes

Does Simulation Give

Expected Results?

No

Simulation Complete

No

Run Quartus PrimeAnalysis and Elaboration

Start Simulator, CompileDesign and Testbench

Load Design &Run Simulation

Does Simulation Give

Expected Results?

Yes

Complete the following steps to specify the directory path and testbench settings foryour simulator:

1. On the Tools menu, click Options, and then click EDA Tool Options.

2. Browse to the directory for your simulator. The following table lists the directoriesfor supported third-party simulators:

Table 226. Simulator Path

Simulator Path

Mentor Graphics ModelSimMentor Graphics QuestaSim*

<drive>:\<simulator install path>\win32 (Windows)/<simulator install path>/bin (Linux)

Synopsys VCS/VCS MX /<simulator install path>/bin (Linux)

Cadence Incisive Enterprise /<simulator install path>/tools/bin (Linux)

Aldec Active-HDLAldec Riviera-Pro

<drive>:\<simulator install path>\bin (Windows)/<simulator install path>/bin (Linux)

3. On Assignments menu, click Settings.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide330

Page 331: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

4. In the Category list, under EDA Tool Settings, select Simulation.

5. In the Tool name list, select your simulator.

6. To enable your simulator, on the Tools menu, click Options and then clickLicense Setup . Make necessary changes for EDA tool licenses.

7. Compile your design and testbench files.

8. Load the design and run the simulation in the EDA tool.

To learn more about third-party simulators, click on the appropriate link below.

Related Information

• Mentor Graphics ModelSim and QuestaSim Support

• Synopsys VCS and VCS MX Support

• Cadence Incisive Enterprise Simulator Support

• Aldec Active-HDL and Riviera-Pro Support

2.10.2. Scripting IP Simulation

The Intel Quartus Prime software supports the use of scripts to automate simulationprocessing in your preferred simulation environment. You can use your preferredscripting methodology to control simulation.

Intel recommends the use of a version-independent top-level simulation script tocontrol design, testbench, and IP core simulation. Because Quartus Prime-generatedsimulation file names may change.

You can use the ip-setup simulation utility to generate or regenerate underlying setupscripts after any software or IP version upgrade or regeneration. Use of a top-levelscript and ip-setup-simulation eliminates the requirement to manually updatesimulation scripts.

2.10.2.1. Generating a Combined Simulator Setup Script

Platform Designer (Standard) system generation creates the interconnect betweencomponents. It also generates files for synthesis and simulation, including the .spdfiles necessary for the ip-setup-simulation utility.

The Intel Quartus Prime software provides utilities to help you generate and update IPsimulation scripts. You can use the ip-setup-simulation utility to generate a combinedsimulator setup script, for all Intel FPGA IP in your design, for each supportedsimulator. You can subsequently rerun ip-setup-simulation to automatically update thecombined script. Each simulator's combined script file contains a rudimentary templatethat you can adapt for integration of the setup script into a top-level simulation script.

Related Information

Intel Quartus Prime Standard Edition Handbook Volume 3: VerificationProvides more information about the steps to generate top-level simulation script.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide331

Page 332: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

2.10.3. Custom Simulation Flow

The custom simulation flow allows you to customize the simulation process for morecomplex simulation requirements. This flow allows you to control the following aspectsof your design:

• Component binding

• Compilation order

• Run commands

• IP cores

• Simulation library model files

The following figure illustrates the steps for custom flow simulation. If you use asimulation script, you can automate some of the steps.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide332

Page 333: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 167. Custom flow Simulation

Start Simulator & OpenQuartus Prime Project

Debug Design &Make RTL Changes

Does Simulation Give

Expected Results?Yes

Compile Design, Testbench, & Simulation Libraries

Load Design & Run Simulation

Does Simulation Give

Expected Results?

No

Simulation CompleteNo

Compile Sim Model LibsUsing Sim Lib Compiler

Load Design &Run Simulation

Does Simulation Give

Expected Results?

Yes

Compile Design, Testbench, & Simulation Libraries

2.10.3.1. How to Use the Simulation Library Compiler

The Simulation Library Compiler compiles Intel simulation libraries for supportedsimulation tools, and saves the simulation files in the output directory you specify.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide333

Page 334: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Note: Because the ModelSim - Intel FPGA Edition software provides precompiled simulationlibraries, you do not have to compile simulation libraries if you are using the software.

Complete the following steps to compile the simulation model libraries using theSimulation Library Compiler:

1. On the Tools menu, click Launch Simulation Library Compiler.

2. Under EDA simulation tool, for the Tool name, select your simulation tool.

3. Under Executable location, browse to the location of the simulation tool youspecified. You must specify this location before you can run the EDA SimulationLibrary Compiler.

4. Under Library families, select one or more family names and move them to theSelected families list.

5. Under Library language, select Verilog, VHDL, or both.

6. In the Output directory field, specify a location to store the compiled libraries.

7. Click Start Compilation.

Complete the following steps to add the simulation files to your project:

1. On the Assignments menu, click Settings.

2. In the Category list, select Files.

3. Click Browse to open the Select File dialog box and select one or more files inthe Files list to add to your project.

4. Click Open, and then Add to add the selected file(s) to your project.

5. Click OK to close the Settings dialog box.

Related Information

• Preparing for EDA Simulation

• Intel FPGA Simulation Models

2.10.3.2. Custom Simulation Scripts

You can automate simulations by creating customized scripts. You can generate scriptsmanually. In addition, you can use NativeLink to generate a simulation script as atemplate and then make the necessary changes. The following table shows a list ofscript directories NativeLink generates.

Table 227. Custom Simulation Scripts for Third Party RTL Simulation

Simulator Simulation File Use

Mentor GraphicsModelSim orQuestaSim

/simulation/ modelsim/modelsim_setup.doOrmentor/msim_setup.tcl

Source directly with your simulator. Run do msim_setup.tcl,followed by ld_debug. If you have more than one IP, each IP hasa dedicated msim_setup.tcl file. Make sure that you combineall the files included in the msim_setup.tcl files into onecommon msim_setup.tcl file.

Aldec Riviera Pro /simulation/ aldec/rivierapro_setup.tcl

Source directly with your simulator.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide334

Page 335: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Simulator Simulation File Use

Synopsys VCS /simulation/synopsys/vcs/vcs_setup.sh

Add your testbench file name to this file to pass the testbench fileto VCS using the –file option. If you specify a testbench file forNativeLink and do not choose to simulate, NativeLink generates ascript that runs VCS.

Synopsys VCS MX /simulation/synopsys/vcsmx/vcsmx_setup.sh

Run this script at the command line using quartus_sh–t<script>. Any testbench you specify with NativeLink is includedin this script.

Cadence Incisive(NCSim)

/simulation/cadence/ncsim_setup.sh

Run this script at the command line using quartus_sh –t<script>. Any testbench you specify with NativeLink is included inthis script.

2.11. Implementing Protocols in Intel Arria 10 TransceiversRevision History

DocumentVersion

Changes

2018.06.15 Made the following changes:• For the 1G/2.5G/5G/10G Multi-rate Ethernet status signal, added a Clock Domain column and

values for all except led_char_err.• Changed the Gen1 PIPE PLL output frequency from 1250MHz to 2500MHz in fPLL IP Parameter Core

Settings for PIPE and ATX PLL IP Parameter Core Settings for PIPE.• Updated Disabling/Enabling PRBS Pattern Inversion to address the hard PRBS generator and

checker pattern being inverted.• Updated the description of 0x4C0 bit 5 Override AN Parameters Enable to refer to 0x4C3 and

changed the start address of the reserved space to 0x4D7 in 10GBASE-KR PHY Register Definitions.• Changed the start address of the reserved space to 0x4D7 in 1G/10GbE Register Definitions.• Added a frequency to the description of and added a footnote to the Selected CDR reference

clock frequency parameter in the "RX PMA Parameters" table.• Added a note about bit slipping after the "Gearbox Parameters" table.• Added a reference and a link to Clock and Reset Interfaces in the "1-Gigabit/10-Gigabit Ethernet

(GbE) PHY IP Core" section.• Clarified the description of the Enable PCIe pipe_hclk_in and pipe_hclk_out ports parameter

in the "PCIe Ports" table.• Clarified the description of the pipe_hclk_out[0] port in the "Ports for Arria 10 Transceiver

Native PHY in PIPE Mode" table.• Changed the values for the Number of fixed dfe taps parameter in the "RX PMA Parameters"

table of the Interlaken section.• Changed the description of bit 15 of register address 0x4D0 in the "1G/10GbE Register Definitions"

table.• Changed the description of bit 5 of register address 0x4C0 in the "1G/10GbE Register Definitions"

table.

2017.11.06 Made the following changes to the CPRI section:• Removed a note from the "Transmitter and Receiver Latency" section.Made the following changes to the 1G/2.5G/5G/10G Multi-rate Ethernet PHY IP Core section:• Added the "Register Map" section.

2016.10.31 Made the following changes to the 1G/10 Gbps Ethernet PHY IP Core section:• Added MII Interface signals to the "1G/10GbE PHY Top-Level Signals" figure.• Added the MII section.• Added the tx_pcfifo_error_1g and rx_pcfifo_error_1g signals to the "Control and Status

Signals" table.• Removed bit addresses from the 0x494 register in the "GMII PCS Registers" table.• Changed the read/write description for the 0x495 register in the "GMII PCS Registers" table.• Changed the note for COPPER_DUPLEX_OPERATION in the "GMII PCS Registers" table.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide335

Page 336: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

DocumentVersion

Changes

Made the following changes to the Gigabit Ethernet (GbE) and GbE with IEEE 1588v2 section:• Added description about the RX FIFO and TX FIFO in the "GbE with IEEE 1588v2" section.• Added a note to the pll_powerdown signal in the "Connection Guidelines for a GbE/GbE with IEEE

1588v2 PHY Design" figure.• Updated the parameter descriptions for in the "Standard PCS Parameters" table.Made the following changes to the XAUI PHY IP Core section:• Added further description to the rx_channelaligned signal in the "Optional Control and Status

Signals—Soft IP Implementation" table.Made the following changes to the Using the Arria 10 Transceiver Native PHY IP Core section:• Added "Synchronous to rx_clkout" for rx_std_wa_patternalign[<n>-1:0] in the clock

domain column in Word Aligner and Bitslip table.• Added "Unused Transceiver Channels" section.Made the following changes to the CPRI section:• New table "Interface Width Options for 10.1376 Gbps and 12.16512 Gbps Data Rates" added.• Supported data rates updated for TX PLLs.• Data rate values updated in table "General and Datapath Options".Made the following changes to the PCI Express section:• Added PIPE Interface width number in the Port column in table "Ports for Arria 10 Transceiver

Native PHY in PIPE Mode".

2016.05.02 Made the following changes to the 10GBASE-KR PHY IP Core section:• Updated the version and release date in the "10GBASE-KR PHY Release Information" table.• Changed the definitions and parameters in the "General Options Parameters" table.• Added the "Speed Detection Parameters" table.• Added and removed parameters in the "Auto Negotiation and Link Training Settings" table.• Removed parameter from the "10GBASE-R Parameters" table.• Changed descriptions in the "10GBASE-KR Register Definitions" table for 0x4B0 and 0x4D0.• Added signals to the "Control and Status Signals" table.• Added a new bit field for 0x4D1 in the "10GBASE-KR Register Definitions" table.• Changed the default value for INITPOSTVAL Init Post tap Value in the "10GBASE-KR Optional

Parameters" table.Made the following changes to the 1G/2.5G/5G/10G Multi-rate Ethernet PHY IP Core section:• Changed the "Block Diagram of the PHY IP Core" figure.• Updated the version and release date in the "PHY Release Information" table.• Updated the "Resource Utilization" table.• Updated the "PHY Features" table.• Changed the "1G/2.5G/5G/10G Multi-rate Ethernet PHY IP Core Parameters" table.• Added signals to the "PHY Interface Signals" figure.• Added descriptions in the "Clock and Reset Signals" table.• Added descriptions in the "Transceiver Mode and Operating Speed Signals" table.• Changed the "Avalon-MM Interface Signals" table.• Added signals to the "XGMII Signals" table.• Added registers to the "PHY Register Definitions" table.• Added parameters to the "1G/2.5G/5G/10G Multi-rate Ethernet PHY IP Core Parameters" table.Made the following changes to the 1-Gigabit/10-Gigabit Ethernet (GbE) PHY IP Core section:• Updated the version and release date in the "1G/10GbE Release Information" table.• Added signals to the "1G/10GbE PHY Top-Level Signals" figure.• Added signals to the "PHY Interface Signals" figure.• Added signals to the "Control and Status Signals" table.• Changed descriptions in the "GMII Interface Ports " table.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide336

Page 337: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

DocumentVersion

Changes

Made the following changes to the Simulating the Transceiver Native PHY IP section:• Added a footnote to inform that the "NativeLink" mode is not supported by the "Quartus Prime Pro"

edition.• Added the “Scripting IP Simulation” flow..• Replaced the “Generation Version Agnostic IP" and "Platform DesignerPlatform Designer Simulation

Scripts", “Use the ip-make-simscript Utility”, and “How to Generate Scripts” sections with the“Scripting IP Simulation” section.

Made the following changes to the PCI Express section:• Updated the "How to Place Channels for PIPE Configuration" section.• Updated the“x4 Configuration with Master Channel Adjacent to a HIP”, “x4 Configuration with

Master Channel not Adjacent to a HIP”, “Rate Switch Change” figures.Made the following changes to the Other Protocols section:• Replaced the "Design Considerations for Data Rates above 17.4 Gbps Using Arria 10 GT Channels”

section.• Changed the title from "Design Considerations for Data Rates above 17.4 Gbps Using Arria 10 GT

Channels” to "Design Considerations for implementing Arria 10 GT Channels”.• Changed the data rate from a range of “17.4 Gbps to 28.3 Gbps” to 25.78125 Gbps.• Changed the titles of “Valid Permutations for GT and GX Channel Configuration in Transceiver Bank

GXBL1G for Channels 0, 1, and 2” and “Valid Permutations for GT and GX Channel Configuration inTransceiver Banks GXBL1E and GXBL1H for Channels 3, 4, and 5".

• Removed the “Native PHY IP Parameter Settings for PCS Direct Transceiver Configuration Rules”section.

• Changed “How to Implement Designs for Data Rates Above 17.4 Gbps Using Enhanced PCS in LowLatency Mode” section.

• Changed the “ATX PLL IP with GT Clock Lines Enabled” figure.• Updated the "Valid Permutations for GT and GX Channel Configuration in Transceiver Bank GXBL1G

for Channels 0, 1, and 2" and "Valid Permutations for GT and GX Channel Configuration inTransceiver Banks GXBL1E, and GXBL1H for Channels 3, 4, and 5"" tables.

Made the following changes to the CPRI section:• Updated the "Transceiver Channel Datapath and Clocking for CPRI" figure.• Added a note in the "Channel Width Options for Supported Serial Data Rates" table.• Changed the fPLL supported data rate in the "TX PLL Supported Data Rates" table.• Changed the "General and Datapath Options" table in the "Native PHY IP Parameter Settings for

CPRI" section.Made the following changes to the Arria 10 Transceiver Protocols and PHY IP Support section:• Moved the 19th footnote from "Protocol Preset" column to "Transceiver PHY IP Core" column.• Changed the footnote 14 to the following: “Link training, auto speed negotiation and sequencer

functions are not included in the Native PHY IP. The user would have to create soft logic toimplement these functions when using Native PHY IP”.

2016.02.11 Made the following changes to the Other Protocols section:• Removed the "Design Considerations for Data Rates Above 17.4 Gbps Using Arria 10 GT Channels"

section.• Updated the maximum data rate for GT channels to 25.8 Gbps.

2015.12.18 Made the following changes to the 1G/2.5G/10G Multi-rate Ethernet PHY IP Core section:• Removed signals from the "XGMII Signals" table.• Removed signals from the "PHY Interface Signals" figure.• Changed the ordering code in the "PHY Release Information" table.Made the following changes to the XAUI PHY IP Core section:• Added a description to the "Implementation of the XGMII Specification in Arria 10 Devices

Configuration" figure.Made the following changes to the 10GBASE-KR PHY IP with FEC Option section:• Added a note to the "Parameterizing the 10GBASE-KR PHY" section.• Added new signals to the "Control and Status Signals" table.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide337

Page 338: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

DocumentVersion

Changes

Made the following changes to the 1G/10 Gbps Ethernet PHY IP Core section:• Added a note to the "Parameterizing the 1G/10GbE PHY" section.• Added new signals to the "Control and Status Signals" table.• Changed the description for calc_clk_1g in the "Clock and Reset Signals" table.Made the following changes to the PCI Express (PIPE) section:• Updated the "Rate Switch Change" figure in the Gen3 features section.

2015.11.02 Made the following changes to the Using the Arria 10 Transceiver Native PHY IP Core section:• Changed the title of “TX and RX FIFO” to “Standard PCS FIFO” in the Standard PCS Ports table.• Updated the description and range for “Enable fast sync status reporting for deterministic Latency

SM” parameter in the Standard PCS Parameters table.• Changed the title of “TX and RX FIFO Parameters” to “Standard PCS FIFO Parameters” in the

Standard PCS Parameters table.• Updated the “Error marking type” range in the KR-FEC Parameters table in the Enhanced PCS

Parameters section.• Updated the “Number of fixed DFE taps” value in the Equalization table in the PMA Parameters

section.• Added a new parameter “Provide separate interface for each channel” in the General and Datapath

Options table in the General and Datapath Parameters section.• Updated the “PMA configuration rules” value in the General and Datapath Options table in the

General and Datapath Parameters section.• Removed footnote and added "Hard IP for PCI Express to Native PHY IP" in the “Arria 10 Transceiver

Protocols and PHY IP Support” table.• Updated the description for “Enable tx_pma_ rxfound port (QPI)” parameter in the TX PMA Optional

Ports table in the PMA Parameters section.• Updated the descriptions for “TX FIFO Mode”,“Enable tx_enh_fifo_full port”, “Enable

tx_enh_fifo_empty port” parameters in the Enhanced PCS TX FIFO Parameters table in theEnhanced PCS Parameters section.

• Updated the descriptions for “Enable rx_enh_fifo_full port”, “Enable rx_enh_fifo_empty port”parameters in the Enhanced PCS RX FIFO Parameters table in the Enhanced PCS Parameterssection.

• Updated the description for “Enable RX byte deserializer” parameter in the Byte Serializer andDeserializer Parameters table in the Standard PCS Parameters section.

• Updated the description for “Share reconfiguration interface” parameter in the DynamicReconfiguration table in the Dynamic Reconfiguration Parameters section.

• Updated the values and descriptions in the Configuration Profiles table in the DynamicReconfiguration Parameters section.

• Updated the foot note for “tx_pma_clkout” clock to suggest what to do with the clock.• Updated the description for “tx_dispval[<n>(<w>/<s>-1:0]” signal in the 8B/10B Encoder and

Decoder table in the Standard PCS Ports section.• Updated the values and descriptions in the Configuration Profiles table in the Dynamic

Reconfiguration Parameters section.• Updated the descriptions for “Enable tx_std_ pcfifo_full port”, “Enable tx_std_ pcfifo_empty port”,

“Enable rx_std_ pcfifo_full port”, “Enable rx_std_ pcfifo_empty port” in the TX and RX FIFOParameters table in the Standard PCS Parameters section.

• Added links to other sections which describe the RX rate match FIFO in Basic, GBE and Transceiverchannel datapath modes in the Rate Match FIFO Parameters table in the Standard PCS Parameterssection.

• Updated value for Transceiver Configuration rules parameter in the " General and Datapath Options"table in the General and Datapath Parameters section.

• Added a new parameter "Provide separate interface for each channel" in the " General and DatapathOptions" table in the General and Datapath Parameters section.

• Updated “Transceiver Native PHY IP Core Parameter Editor” figure.• Updated “General, Common PMA Options, and Datapath Options” table.• Added parameter “Enable tx_pma_analog_reset_ackport” in “TX PMA Optional Ports” table.• Updated parameter “Number of fixed DFE taps” in "Equalization" table.• Added parameter “Enable rx_analog_reset_ack port” in "RX PMA Optional Ports” table.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide338

Page 339: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

DocumentVersion

Changes

• Added parameter “Separate reconfig_waitrequest from the status of AVMM arbitration with PreSICE”in “Dynamic Reconfiguration” table.

• Added parameter “Include PMA analog settings in configuration Files”in “Configuration Files”.• Added “Analog PMA Settings (Optional) in Dynamic Reconfiguration” tabl.eMade the following changes to the 1G/10 Gbps Ethernet PHY IP Core section:• Changed the release date and version in the "1G/10GbE Release Information" table.• Changed the descriptions for tx_serial_clk_1g and rx_cdr_refclk_1g in the "Clock and

Reset Signals" table.• Changed descriptions in the "General Options Parameters" table.• Added the "1G Data Mode" table to the PMA Registers section.• Removed the "1G Data Mode" rows from the Arria 10 GMII PCS Registers section.Made the following changes to the 10GBASE-KR PHY IP Core with FEC Option section:• Added bit 12 to the 0x4B0 word address in the "10GBASE-KR Register Definitions" table.Made the following changes to the Gigabit Ethernet (GbE) and GbE with IEEE 1588v2 section:• Added a note to the "Transceiver Channel Datapath and Clocking at 1250 Mbps for GbE, GbE with

IEEE 1588v2" figure.• Changed the note in the "Gigabit Ethernet (GbE) and GbE with IEEE 1588v2" section.• Changed some signal names in the "Signals and Ports for Native PHY IP Configured for GbE or GbE

with IEEE 1588v2" figure.• Changed the values in the "TX PMA Parameters" table.• Added a parameter to and updated values in the "RX PMA Parameters" table.• Changed the values in the "Standard PCS Parameters" table.Made the following changes to the 10GBASE-R section:• Added description text to the "10GBASE-R, 10GBASE-R with IEEE 1588v2, and 10GBASE-R with

FEC Variants" section.• Changed steps in the "How to Implement 10GBASE-R, 10GBASE-R with IEEE 1588v2, and

10GBASE-R with FEC in Arria 10 Transceivers" section.• Changed signal names in the "Signals and Ports of Native PHY IP Core for the 10GBASE-R,

10GBASE-R with IEEE 1588v2, and 10GBASE-R with FEC" figure.• Updated parameters in the "General and Datapath Parameters" table.• Updated parameters in the "RX PMA Parameters" table.• Updated parameters in the "Enhanced PCS Parameters" table.• Updated parameters in the "Block Sync Parameters" table.• Updated parameters in the "Dynamic Reconfiguration Parameters" table.Made the following changes to the XAUI PHY IP Core section:• Changed the release date and version in the "XAUI Release Information" table.• Changed the descriptions in the "XAUI PHY IP Core Registers" table.• Added description in the "XAUI PHY IP Core" section.Made the following changes to the 1G/2.5G/10G Multi-rate Ethernet PHY IP Core section:• Added this section.Made the following changes to the PCI Express (PIPE) section:• Updated description for port "pipe_g3_txdeemph[17:0]" in the "Ports for Arria 10 Transceiver

Native PHY in PIPE Mode" table.• Updated "Ports for Arria 10 Transceiver Native PHY in PIPE Mode" table for presets to TX De-

emphasis mappings.• Updated x4 Configuration and x4 Alternate Configuration figures in the "Master Channel in Bonded

Configurations section".• Updated "PHY IP Core for PCIe (PIPE) Link Equalization for Gen3 Data Rate" section.• Updated “Connection Guidelines for a PIPE Gen3 Design” figure.• Added recommendations in the “How to Implement PCI Express (PIPE) in Arria 10 Transceivers”

section.• Updated description of parameter “PCS TX channel bonding master” in the “Parameters for Arria 10

Native PHY IP in PIPE Gen1, Gen2, Gen3 Modes - TX PMA” table.• Added table “Parameter Settings for Arria 10 fPLL IP in PIPE Gen1, Gen2, Gen3 modes” in the “fPLL

IP Parameter Settings for PIPE” section.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide339

Page 340: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

DocumentVersion

Changes

• Added table “Parameters for Arria 10 ATX PLL IP in PIPE Gen1, Gen2, Gen3 modes” in the “ATX PLLIP Parameter Settings for PIPE” section.

• Updated description of port pipe_tx_elecidle in the “Ports for Arria 10 Transceiver Native PHY inPIPE Mode” table.

• Updated description of port pipe_tx_compliance in the “Ports for Arria 10 Transceiver Native PHY inPIPE Mode” table.

• Updated description of port pipe_g3_txdeemph[17:0] in the “Ports for Arria 10 Transceiver NativePHY in PIPE Mode” table.

• Added table “fPLL Ports for PIPE” in the section “fPLL Ports for PIPE” section.• Added table” ATX PLL Ports for PIPE “ in the “ATX PLL Ports for PIPE” section.• Added table “Arria 10 Preset Mappings to TX De-emphasis” in the “Preset Mappings to TX De-

emphasis” section.• Updated figure “Alternate Configuration” figure in the “How to Place Channels for PIPE

Configurations” section.• Updated the “PHY IP Core for PCIe (PIPE) Link Equalization for Gen3 Data Rate” section.Made the following changes to the Other Protocols section:• Added the "Enhanced PCS FIFO Operation" section.• Changed the minimum data rate from 960 Mbps to 1.0 Gbps in the "General and Datapath

Parameters" table.

2015.05.11 Made the following changes to the 10GBASE-KR PHY IP Core section:• Changed the register definitions for word address 0x4D0 in the "10GBASE-KR PHY Register

Definitions" section.Made the following changes to the 10GBASE-R section:• Added a parameter to the "RX PMA Parameters" table.Made the following changes to the 10GBASE-KR PHY IP Core section:• Changed the following bits and descriptions in the "10GBASE-KR PHY Register Definitions" section:

— Changed the bit and description for address 0x4D0[21:20].— Added address 0x4D0[22].— Removed address 0x4D0[26:24].— Added address 0x4D0[28:24].— Removed addresses 0x4D0[27] and 0x4D0[28].

Made the following changes to the Interlaken section:• Added available preset variations to the "Interlaken" and "How to Implement Interlaken in Arria 10

Transceivers" sections.• Updated the values for some parameters in the "TX PMA Parameters", "RX PMA Parameters",

"Enhanced PCS Parameters", "Interlaken Frame Generator Parameters", and "Interlaken FrameSynchronizer Parameters" tables.

Made the following changes to the 1G/10 Gbps Ethernet PHY IP Core section:• Changed the product ID in the "1G/10GbE Release Information" table.• Changed the descriptions in the "Clock and Reset Signals" table.• Removed the following bits from address 0x4D0 in the "Register Definitions" table:

— 19:18— 26:24— 27

Made the following changes to the PCI Express section:• Updated the "Transceiver Channel Datapath for PIPE Gen1/Gen2 Configurations", "PIPE Gen1/Gen2/

Gen3 Configurations", "PCIe Reverse Parallel Loopback Mode Datapath", and "Signals and Ports ofNative PHY IP for PIPE" figures.

• Updated "Rate Switch" Gen3 features.• Updated the "Enable simplified interface" and "Provide separate interface for each channel"

parameters in the "Parameters for Arria 10 Native PHY IP in PIPE Gen1, Gen2, Gen3 Modes" table.• Updated the "PCS TX channel; bonding master" parameters in the table "Parameters for Arria 10

Native PHY IP in PIPE Gen1, Gen2, Gen3 Modes - TX PMA" table.• Updated the "Selected CDR reference clock frequency" parameter in the "Parameters for Arria 10

Native PHY IP in PIPE Gen1, Gen2, Gen3 Modes - RX PMA" table.• Updated "How to place channels for PIPE configurations" section to include placement guidelines for

using Arria 10 PCIe Hard IP.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide340

Page 341: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

DocumentVersion

Changes

Made the following changes to the CPRI section:• Updated the "Connection Guidelines for a CPRI PHY Design" figure.• Added table for the "Behavior of word aligner status signals for varying interface widths", when in

Manual Mode.Made the following changes to the Other Protocols section:• Updated the "Connection Guidelines for a PCS Direct PHY Design" figure.• Updated the "Connection Guidelines for an Enhanced PCS in Low Latency Mode Design" figure.• Updated the description following the "Rate Match FIFO Insertion with Four Skip Patterns Required

for Insertion" figure.• Added a Note to the "TX Bit Slip" section.• Changed the value for rx_parallel_data in the "TX Bit Slip in 8-bit Mode" and "TX Bit Slip in 16-bit

Mode" figures.Made the following changes to the XAUI PHY IP Core section:• Removed the set_max_skew constraint from the "XAUI PHY Timing Analyzer SDC Constraints"

section.Made the following changes to the Using the Arria 10 Transceiver Native PHY IP Core section:• Updated the figure for Transceiver Native PHY IP Core Parameter Editor.• PMA parameters

— Updated the PMA parameter categorization in the TX PMA and RX PMA "Equalization" section.— Added parameters Enable tx_pma_iqtxrx_clkout port and Enable tx_seriallpbken

port in "TX PMA Optional Ports" table.— Added parameters Enable rx_pma_iqtxrx_clkout port in "RX PMA Optional Ports" table.— Updated "RX PMA Parameters" table into "RX CDR Options" and "Equalization" sections.— Removed the option Enable rx_pma_div_clkout division factor from RX PMA optional

ports table.— Updated the description of "CTLE Adaptation Mode" and "DFE Adaptation Mode" in "RX PMA"

parameter table.— Updated value and description for parameter Enable tx_pma_clkout port and Enable

tx_pma_div_clkout port in "TX Bonding Options" table.— Updated value and description for parameter Enable rx_pma_clkout port and Enable

rx_pma_div_clkout port in "RX PMA Optional Ports" table.

2014.12.15 Made the following changes to the Using the Arria 10 Transceiver Native PHY IP Core section:• Updated the description of tx_cal_busy and rx_cal_busy signals in the PMA Ports section.• Added a new section Enhanced PCS TX and RX Control Ports to better describe the tx_control

and rx_control bit encodings used for different protocols. Removed the bit encodings fortx_control and rx_control signals from Enhanced PCS Ports section.

• Updated the clock domain information about signals mentioned in Enhanced PCS Ports section.• Updated the description of rx_std_wa_patternalign signal in Standard PCS Ports section.• Updated the parameter descriptions in General Datapath Parameters and PMA Parameters sections.• Updated the port descriptions in PMA Ports section.Made the following changes to the Interlaken section:• Added another value to the "TX channel bonding mode" parameter in the "TX PMA Parameters"

table.• Added values to the "PCS TX channel bonding master" and "Actual PCS TX channel bonding master"

parameters in the "TX PMA Parameters" table.• Corrected the values to the "CTLE adaptation mode" parameter in the "RX PMA Parameters" table.• Added the "Enable Interlaken TX random disparity bit" parameter to the "Interlaken Disparity

Generator and Checker Parameters" table.• Changed the values to four parameters to "Off" in the "Gearbox Parameters" table.• Removed the "Enable embedded debug" parameter from the "Dynamic Reconfiguration Parameters"

table.Made the following changes to the Gigabit Ethernet (GbE) and GvE with IEEE 1588v2 section:• Added a figure description to the "Signals and Ports for Native PHY IP Configured for GbE or GbE

with IEEE 1588v2" figure.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide341

Page 342: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

DocumentVersion

Changes

Made the following changes to the 10GBASE-R section:• Added a figure description to the "Signals and Ports of Native PHY IP Core for the 10GBASE-R,

10GBASE-R with IEEE 1588v2, and 10GBASE-R with FEC" figure.Made the following changes to the 10GBASE-KR PHY IP with FEC Option section:• Changed the "10GBASE-KR PHY IP Core Block Diagram" figure to activate the Standard TX PCS,

Standard RX PCS, and GbE PCS blocks.• Added a note to the "10GBASE-KR Functional Description" section.• Added new parameters to the "General Options" table.• Changed the default values for VPOSTRULE, VPRERULE, INITPOSTVAL, and INITPREVAL in the

"Optional Parameters" table.• "10GBASE-KR PHY Register Definitions" table:

— Changed the default value for register address 0x4D0[7:4]— Changed the default value for register address 0x4D0[17].— Changed the descriptions for register address 0x4B2.— Changed the descriptions for register addresses 0x4D5 and 0x4D6.

• Changed the descriptions for the following signals in the in the "Clock and Reset Signals" table.— tx_pma_clkout

— rx_pma_clkout

— tx_pma_div_clkout

— rx_pma_div_clkout

• Changed the descriptions for the following signals in the in the "XGMII Signals" table.— xgmii_tx_clk

— xgmii_rx_clk

• Removed the 1588 Soft FIFOs block from the "PHY-Only Design Example with Two BackplaneEthernet and Two Line-Side (1G/10G) Ethernet Channels" figure

Made the following changes to the 1G/10 Gbps Ethernet PHY IP Core section:• Changed the descriptions for register address 0x4D5 in the "1G/10GbE Register Definitions" table.• Removed the Daisy Chain and μP I/F lines from the Link Training block in the "1G/10GbE PHY Block

Diagram" figure.• Changed the descriptions for 0x494 and 0x495, and added 0x4a4 bit 4 to the "GMII PCS Registers"

section.Made the following changes to the XAUI section:• Added a PMA width requirement in the "Transceiver Clocking and Channel Placement Guidelines in

XAUI Configuration" section.• Changed the figure description for the "Transceiver Clocking for XAUI Configuration" figure.• Changed the note in the "Transceiver Clocking and Channel Placement Guidelines in XAUI

Configuration" section.• Added a note to the "Transceiver Clocking for XAUI Configuration With Phase Compensation FIFO

Enabled" figure.• Added the "Transceiver Clocking for XAUI Configuration With Phase Compensation FIFO Enabled"

figure.• Removed the Data rate parameter from the "General Options" table.• Removed the tx_digitalreset signal from the "Clock and Reset Signals" table.• Changed the available signals in the "PMA Channel Controller Signals" table.• Added the Enable phase compensation FIFO parameter to the "Advanced Options" table.• Added the pll_cal_busy_i signal to the "XAUI Top-Level Signals—Soft PCS and PMA" figure.• Added the xgmii_rx_inclk port to the "XAUI Top-Level Signals—Soft PCS and PMA" figure.• Changed the description in the "Clock and Reset Signals" table.• Removed the following signals from the "PMA Channel Controller Signals" table:

— tx_bonding_clocks[5:0]

— pll_cal_busy_i

— pll_powerdown_o

— pll_locked_i

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide342

Page 343: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

DocumentVersion

Changes

• Made the following changes to the "XAUI PHY IP Core Registers" table.— Removed cal_blk_powerdown— Removed pma_tx_pll_is_locked— Removed Word Addresses 0x082, 0x083, 0x086, 0x087, 0x088, 0x089— Removed patterndetect[7:0]— Changed the description for syncstatus [7:0]

• Added the xgmii_rx_inclk port to the "SDR RX XGMII Interface " table.• Added the pll_cal_busy_i port to the "PMA Channel Controller Signals" table.• Added the "XAUI PHY Timing Analyzer SDC Constraint" section.Made the following changes to the PCI Express section:• Added PIPE Gen3 32 bit PCS Clock Rates table in the Gen3 Rate Switchsection.• Updated the Rate Switch Change figure.• Updated the Bit Mappings When the Simplified Interface Is Disabledtable.• Updated the figures in How to Place Channels for PIPE Configurations.• Updated the Parameters for Arria 10 Native PHY IP in PIPE Gen1, Gen2, Gen3 Modes - TX PMA

table.• Updated the clock domains in Signals and Ports of Native PHY IP for PIPE figure.• Updated the Ports for Arria 10 Transceiver Native PHY in PIPE Mode table.• Updated Logical PCS Master Channel for PIPE Configuration table.• Updated the PCIe Reverse Parallel Loopback in Gen1/Gen2 features with input signal name.• Updated the Rate Switch Change figure.• Updated the Gearbox Gen3 Transmission signals in the Gen3 Data Transmission figure.• Updated the PIPE Design Example section.• Updated the Gen3 Power State Management P1 to P0 Transition signals.• Updated the Supported Features for PIPE Configurations table.• Updated the Gen1/Gen2 Features section.Made the following changes to the CPRI section:• Updated the parameter values for “RX word aligner mode”.• Added a new option for Interlaken in the GUI "Enable Interlaken TX random disparity bit".• For PMA configuration rules changed the option “SATA” to “SATA/SAS”.• Changed the GUI option “CTLE adaptation mode” to “DFE adaptation mode”.Made the following changes to the Other Protocols section:• Added four new sections: "TX Bit Slip", "TX Polarity Inversion", "RX Bit Slip", and "RX Polarity

Inversion".• Changed the initial value of tx_parallel_data in the "Manual Mode when the PCS-PMA Interface

Width is 10 Bits" and "Manual Mode when the PCS-PMA Interface Width is 16 Bits" figures.• Changed the minimum value for the "Data rate" parameter to 1 Gbps in the "General and Datapath

Options Parameters" table.Made the following changes to the Simulating the Native Transceiver PHY section:• In the introductory section, removed the third bullet in the list of netlists you can simulate because

gate-level timing simulation is no longer supported.• Removed mention of the ModelSim DE simulator in the "How to Use NativeLink to Specify a

ModelSim Simulation" section.

2014.10.08 Made the following changes to the Ethernet section:• Changed the frequency for mgmt_clk in the "Avalon-MM Interface Signals" table for Document

Version10GBASE-KR PHY IP Core with FEC Option and for 1G/10 Gbps Ethernet PHY IP Core.Made the following changes to the Other Protocols section:• Removed an erroneous note regarding Quartus II software legality check restrictions.

2014.08.15 Made the following changes to the Transceiver Design Flow section:• Added "Make Pin Assignments Using Pin Planner and Assignment Editor" block to figure "Transceiver

Design Flow"• Updated Select and Instantiate PHY IP, Generate PHY IP, Select and Instantiate PLL IP, and

Generate PLL IP sections to indicate the new IP instantiation flow per ACDS 14.0A10 release.• Added a new section for Make Pin Assignments Using Pin Planner and Assignment Editor

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide343

Page 344: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

DocumentVersion

Changes

Made the following changes to the Arria 10 Transceiver Protocols and PHY IP Support section:• Updated table "Arria 10 Transceiver Protocols and PHY IP Support"

— Removed SFIS and 10G SDI from the table.— Updated Protocol Preset, Transceiver Configuration Rule, and PCS Support for protocols in the

table.Made the following changes to the Using the Arria 10 Transceiver Native PHY IP section:• Updated references of MegaWizard Plug-In Manager to IP Catalog and Parameter Editor.• Added PCS Direct block in figure "Transceiver Native PHY IP Top Level Interfaces and Functional

Blocks".• Updated figure "Transceiver Native PHY IP GUI" for 14.0A10 release IP GUI.• Updated General and Datapath Parameters section

— Updated parameter descriptions in table "General and Datapath Options".— Updated parameter descriptions in table "Transceiver Configuration Rule Parameters".

• Updated PMA Parameters section— Updated parameter descriptions in tables "TX PMA Bonding options", "TX PLL Options", "RX PMA

Parameters".— Added description for CTLE adaptation mode and updated description for DFE adaptation

mode.• Enhanced PCS Parameters section

— Added a new table "Enhanced PCS Parameters"— Updated the parameter descriptions in tables "Enhanced PCS TX FIFO Parameters", "Enhanced

PCS RX FIFO Parameters", "Interlaken Frame Generator Parameters", "Interlaken FrameSynchronizer Parameters", "10GBASE-R BER Checker Parameters", "Scrambler-DescramblerParameters", "Block Synchronizer Parameters", "Gearbox Parameters".

— Added descriptions in "KR-FEC Parameters" table.• Standard PCS Parameters

— Updated the descriptions in tables "TX and RX FIFO Parameters", "Rate Match FIFO Parameters","Word Aligner and Bitslip Parameters", and "PCIe Ports".

• Dynamic Reconfiguration Parameters— Removed Enable Embedded JTAG Avalon-MM Master parameter and added Altera Debug

Master Endpoint parameter and updated its description.— Added a table for "Embedded Debug Parameters".

• Updated the figure "Directory Structure for Generated Files" in IP Core File Locations section.• Changed "one-time" to "triggered" adaptation mode for DFE and CTLE.Made the following changes to the Interlaken section:• Changed parameter name in the "Signals and Ports of Native PHY IP for Interlaken" figure from

tx_bonding_clock to tx_bonding_clock[5:0].• Updated tables in the "Native PHY IP Parameter Settings for Interlaken" section:

— Added new tables: "10GBASE-R BER Checker Parameters", "KR-FEC Parameters".— Deleted table: "Configuration Profiles Parameters".— Added new parameters and updated existing ones to tables: "General and Datapath

Parameters", "TX PMA Parameters", "RX PMA Parameters", "Enhanced PCS Parameters","Dynamic Reconfiguration Parameters".

— Updated existing parameters to tables: "Interlaken Frame Generator Parameters", "InterlakenCRC-32 Generator and Checker Parameters".

Made the following changes to the Ethernet section:• Initial release of the XAUI PHY IP Core section.• Changed the bus width between the FPGA fabric and PCS, and added notes 3 and 4 to the

"Transceiver Channel Datapath and Clocking at 1250 Mbps for GbE, GbE with IEEE 1588v2" figure.• Provided the full hexadecimal values for rx_parallel_data, rx_patterndetect, and

rx_runningdisp in the "Decoding for GbE" figure description.• Changed the note in the Rate Match FIFO for GbE section to clarify the case where 200 ppm total is

valid.• Added the pll_cal_busy circuitry, updated signals, and added a note to the "Connection

Guidelines for a GbE/GbE with IEEE 1588v2 PHY Design" figure.• Removed the Device and speed grade parameter from the "General and Datapath Options" table.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide344

Page 345: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

DocumentVersion

Changes

• Changed the values for the PPM detector threshold parameter and removed the Decision feedbackequalization parameter in the "RX PMA Parameters" table.

• Changed the 10GBASE-R PHY grouping in the "10GBASE-R PHY as Part of the IEEE802.3-2008 OpenSystem Interconnection (OSI)" figure.

• Added that 10GBASE-R is compatible with the Altera 10-Gbps Ethernet MAC Intel FPGA IPCoreFunction in the 10GBASE-R, 10GBASE-R with IEEE 1588v2, and 10GBASE-R with FEC Variantssection.

• Added the "Transceiver Channel Datapath and Clocking for 10GBASE-R with IEEE 1588v2" figure.• Changed steps 1 and 4 in the How to Implement 10GBASE-R, 10GBASE-R with IEEE 1588v2, and

10GBASE-R with FEC in Arria 10 Transceivers section to match the GUI.• Specified the target BER of 10-12 in the 10GBASE-KR PHY IP Core section.• Removed the "Top Level Modules of the 1G/10GbE PHY Intel FPGA IP Core Function" figure.• Removed the 10GBASE-KR PHY with 1588 variant from the "10GBASE-KR PHY Performance and

Resource Utilization" table. This is not supported.• Replaced the "10GBASE-KR PHY IP Block Diagram" figure.• Added the Auto Negotiation, IEEE 802.3 Clause 73 section.• Substantially rewrote the Link Training (LT), IEEE 802.3 Clause 72 section.• Removed the "TX Equalization for Link Partners" figure.• Removed the "TX Equalization in Daisy Chain Mode" figure. Daisy chain is not supported.• Removed the Auto Negotiation section.• Replaced the "Reconfiguration Block Details" figure.• Removed the Initial Datapath, Enable internal PCS reconfiguration logic, and Enable IEEE

1588 Precision time Protocol parameters from the "General Options Parameters" table.• Added the Reference clock frequency, Enable additional control and status pins, Include

FEC sublayer, Set FEC_ability bit on power up and reset, and Set FEC_Enable bit on powerup and reset parameters to the "General Options Parameters" table.

• Removed the 10GBASE-R Parameters section.• Removed the 10M/100M/1Gb Ethernet Parameters section.• Removed the Speed Detection Parameters section.• Substantially changed the "Auto Negotiation and Link Training Settings" table, adding the

AN_PAUSE Pause Ability, CAPABLE_FEC ENABLE_FEC (request), AN_TECH TechnologyAbility, AN_SELECTOR Selector Field, and Width of the Training Wait Counter parameters.

• Updated all parameter names, values, and descriptions in the "Optional Parameters" table.• Updated the signals in the "10GBASE-KR Top-Level Signals" figure.• Removed the rx_serial_clk_1g and tx_serial_clk_1g signals, and removed all references to

"1G" from all descriptions in the "Clock and Reset Signals" table.• Removed references to GMII and MII interfaces from the Data Interfaces section.• Removed GMII and MII signals from the "XGMII Signals" table.• Updated the list of signals in the "Control and Status Signals" table.• Removed the Daisy-Chain Interface Signals section.• Removed the Embedded Processor Interface Signals section.• Updated the list of signals in the "Dynamic Reconfiguration Interface Signals" table.• Added new registers and updated descriptions of existing registers in the "10GBASE-KR Register

Definitions" table.• Updated the 0x482 registers in the "PCS Registers" table.• Updated and removed some addresses in the "PMA Registers" table.• Added the Speed Change Summary section.• Removed the 10GBASE-KR, Backplane, FEC, GMII PCS Registers section.• Removed the 1588 Delay Requirement section.• Removed the Channel Placement Guidelines section.• Removed the introductory paragraph from the Design Example section.• Removed the 1588 FIFO block from the "Top Level Modules of the 1G/10GbE PHY Intel FPGA IP

Core Function" figure.• Updated all values for ALMs, ALUTs, Registers, and M20K in the "1G/10GbE PHY Performance and

Resource Utilization" table.• Updated the blocks in the "Reconfiguration Block Details" figure.

continued...

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide345

Page 346: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

DocumentVersion

Changes

• Changed the blocks and clock connections in the "Clocks for Standard and 10G PCS and TX PLLs"figure.

• Changed signal names and descriptions in the "Clock and Reset Signals" table.• Changed the parameter name for 10GbE Reference Clock frequency and added the 1G

Reference clock frequency parameter in the "10GBASE-R Parameters" table.• Removed the Set FEC_ability bit on power up and reset and Set FEC_enable bit on power

up and resetparameters from the "FEC Options" table.• Updated the list of available signals in the "1G/10GbE PHY Top-Level Signals" figure.• Added new registers and updated descriptions of existing registers in the "10GBASE-KR Register

Definitions" table.• Added the 0x4A8 and 0x4A9 addresses and updated the name for address 0x4A2 and 0x4A3 in the

"10GBASE-KR, Backplane, FEC GMII PCS Registers" table.• Added the Speed Change Summary section.Made the following changes to the PCI Express section:• Added a new topic Pipe link equalization for Gen 3 data rate.• Changed "MegaWizard Plugin Manager" to "Parameter Editor"/"IP Catalog" in the How to Connect

TX PLLs for PIPE Gen1, Gen2 and Gen3 Mode section.• Changed "MegaWizard Plugin Manager" to "Parameter Editor"/"IP Catalog" in the How to Implement

PCI Express in Arria 10 Transceivers section.• Changed "MegaWizard Plugin Manager" to "Parameter Editor"/"IP Catalog" in the Supported Pipe

Features section.Made the following changes to the CPRI section:• Added new values to each row in the "TX PLL Supported Data Rates" table.Made the following changes to the Other Protocols section:• Updated the "How to Use NativeLink to Specify a ModelSim Simulation" section.• Updated the "NativeLink Generated Scripts for Third-Party RTL Simulation" table.• Changed references from MegaWizard to IP Catalog or Parameters Editor.• Using the Basic and Basic with KR FEC Configurations of Enhanced PCS

— Updated the "Transceiver Channel Datapath and Clocking for Basic (Enhanced PCS)Configuration" figure and added footnote 3.

— Updated the "General and Datapath Parameters", "TX PMA Parameters", "RX PMA Parameters",and "Enhanced PCS Parameters" tables.

— Added the "Equalization" table.— Added the "How to Enable Low Latency in Basic Enhanced PCS" section.

• Using the Basic/Custom, Basic/Custom with Rate Match Configurations of Standard PCS— Updated the values in the "Manual Mode when the PCS-PMA Interface is 8 Bits", "Manual Mode

when the PCS-PMA Interface is 10 Bits", and "Manual Mode when the PCS-PMA Interface is 16Bits" figures.

— Added the "8B/10B Encoder and Decoder" and "8B/10B TX Disparity Control" sections.— Updated the "Connection Guidelines for a Basic/Custom Design" figure.— Updated the "General and Datapath Options Parameters", "TX PMA Parameters", "RX PMA

Parameters", and "Standard PCS Parameters" tables.• Design Considerations for Data Rates Above 17.4 Gbps Using Arria 10 GT Channels

— Updated the maximum data rate for GT channels to 25.4 Gbps.— Added information about PCS Direct mode.— Updated "ATX PLL IP with GT Clock Lines Enabled" figure.

• Updated the How to Implement the Basic, Basic with Rate Match Transceiver Configuration Rules inArria 10 Transceivers section.

Made the following changes to the Simulating the Transceiver Native PHY IP Core section:• Updated the "How to Use NativeLink to Specify a ModelSim Simulation" section.• Updated the "NativeLink Generated Scripts for Third-Party RTL Simulation" table.

2013.12.02 Initial release.

2. Implementing Protocols in Arria 10 Transceivers

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide346

Page 347: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

3. PLLs and Clock NetworksThis chapter describes the transceiver phase locked loops (PLLs), internal clockingarchitecture, and the clocking options for the transceiver and the FPGA fabricinterface.

As shown in the following figure, transceiver banks can have either three or sixtransceiver channels. For every three channels, you get one advanced transmit (ATX)PLL, one fractional PLL (fPLL), and one Master clock generation block (CGB). Refer tothe Device Transceiver Layout section to identify which devices have three channeltransceiver banks.

The Arria 10 transceiver clocking architecture supports both bonded and non-bondedtransceiver channel configurations. Channel bonding is used to minimize the clockskew between multiple transceiver channels. For Arria 10 transceivers, the termbonding can refer to PMA bonding as well as PMA and PCS bonding. Refer to theChannel Bonding section for more details.

UG-01143 | 2018.06.15

Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartusand Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or othercountries. Intel warrants performance of its FPGA and semiconductor products to current specifications inaccordance with Intel's standard warranty, but reserves the right to make changes to any products and servicesat any time without notice. Intel assumes no responsibility or liability arising out of the application or use of anyinformation, product, or service described herein except as expressly agreed to in writing by Intel. Intelcustomers are advised to obtain the latest version of device specifications before relying on any publishedinformation and before placing orders for products or services.*Other names and brands may be claimed as the property of others.

ISO9001:2008Registered

Page 348: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 168. Arria 10 PLLs and Clock Networks

Local CGB

CDRCH2

Local CGB

CDR/CMUCH1

Local CGB

CDRCH0

fPLL

ATXPLL

MasterCGB

Local CGB

CDRCH5

Local CGB

CDR/CMUCH4

Local CGB

CDRCH3

fPLL

ATXPLL

MasterCGB

Local CGB

CDRCH2

Local CGB

CDR/CMUCH1

Local CGB

CDRCH0

fPLL

ATXPLL

MasterCGB

x1 Clock Lines x6 Clock Lines xN Clock LinesTransceiver

Bank

TransceiverBank

Related Information

• Channel Bonding on page 389

• Device Transceiver Layout on page 9

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide348

Page 349: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

• Using PLLs and Clock Networks on page 398Information on how to use PLL IP to implement bonded and non-bondedtransceiver designs.

3.1. PLLs

Table 228. Transmit PLLs in Arria 10 Devices

PLL Type Characteristics

Advanced Transmit (ATX) PLL • Best jitter performance• LC tank based voltage controlled oscillator (VCO)• Supports fractional synthesis mode (in cascade mode

only)• Used for both bonded and non-bonded channel

configurations

Fractional PLL (fPLL) • Ring oscillator based VCO• Supports fractional synthesis mode• Used for both bonded and non-bonded channel

configurations

Clock Multiplier Unit (CMU) PLL or Channel PLL (50) • Ring oscillator based VCO• Used as an additional clock source for non-bonded

applications

Figure 169. Transmit PLL Recommendation Based on Data Rates

Related Information

Refer to Using PLL and Clock Networks section for guidelines and usage on page 398

3.1.1. Transmit PLLs Spacing Guideline when using ATX PLLs and fPLLs

ATX PLL-to-ATX PLL Spacing Guidelines

For ATX PLL VCO frequencies between 7.2 GHz and 11.4 GHz, when two ATX PLLsoperate at the same VCO frequency (within 100 MHz), they must be placed 7 ATX PLLsapart (skip 6).

(50) The CMU PLL or Channel PLL of channel 1 and channel 4 can be used as a transmit PLL or as aclock data recovery (CDR) block. The channel PLL of all other channels (0, 2, 3, and 5) canonly be used as a CDR.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide349

Page 350: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

For ATX PLL VCO frequencies between 11.4 GHz and 14.4 GHz, when two ATX PLLsoperate at the same VCO frequency (within 100 MHz) and drive GX channels, theymust be placed 4 ATX PLLs apart (skip 3).

For ATX PLL VCO frequencies between 11.4 GHz and 14.4 GHz, when two ATX PLLsoperate at the same VCO frequency (within 100 MHz) and drive GT channels, theymust be placed 3 ATX PLLs apart (skip 2).

For two ATX PLLs providing the serial clock for PCIe/PIPE Gen3, they must be placed 4ATX PLL apart (skip 3).

Note: If these spacing rules are violated, Intel Quartus Prime issues a critical warning.

When two ATX PLLs are being used, and you meet the following two conditions in yourapplications:

• One of the ATX PLL re-calibration process is triggered.

• The other channel (that is clocked by another ATX PLL) is in data transmissionmode.

You must place the two ATX PLLs 7 ATX PLLs apart (skip 6). ATX PLLs between the 2active ATX PLLs should not be used.

ATX PLL-to-fPLL Spacing Guidelines

If you are using both ATX PLL and fPLL, and you meet the below two conditions inyour applications:

• When ATX PLL VCO frequency and fPLL VCO frequency is within 50MHz.

• ATX PLL is used to drive protocol which includes OTU2, OTU2e, SDH/Sonet_9953/OC192/STM64, 10G GPON or protocol that has jitter integration start range<1MHz and data rate > 3Gbps.

The ATX PLL and fPLL must be separated at least by 1 ATX PLL in between.

If you are using both ATX PLL and fPLL, and you meet the below two conditions inyour applications:

• fPLL user re-calibration process is triggered.

• ATX PLL is used to drive protocol which includes OTU2, OTU2e, SDH/Sonet_9953/OC192/STM64, 10G GPON or protocol that has jitter integration start range<1MHz and data rate > 3Gbps.

then the ATX PLL and fPLL must be separated at least by 1 ATX PLL in between(regardless of the ATX PLL and fPLL VCO frequency offset).

3.1.2. ATX PLL

The ATX PLL contains LC tank-based voltage controlled oscillators (VCOs). These LCVCOs have different frequency ranges to support a continuous range of operation.When driving the Transceiver directly, the ATX PLL only supports the integer mode. Incascade mode, the ATX PLL only supports fractional mode.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide350

Page 351: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 170. ATX PLL Block Diagram

VCO

LockDetector

PFD

CP &LF

Refclk

Multiplexer

2

2

/2

M Counter

Delta SigmaModulator (1)

N Counter

L Counter

Reference clock network

M Counter

Note: (1) The Delta Sigma Modulator is enaged only when the ATX PLL is used in fractional mode.

Receiver input pinOutput of another PLL with PLL cascading

Dedicated reference clock pin DownUp

Global clock or core clock

pll_locked

refclk fbclk

Input reference clock

Input Reference Clock

This is the dedicated input reference clock source for the PLL.

The input reference clock can be sourced from one of the following:

• Dedicated reference clock pin

• Reference clock network

• Receiver input pin

• Output of another PLL with PLL cascading

• Global clock or the core clock network

The input reference clock to the dedicated reference clock pin is a differential signal.Intel recommends using the dedicated reference clock pin as the input reference clocksource for the best jitter performance. The input reference clock must be stable andfree-running at device power-up for proper PLL operation and PLL calibration. If thereference clock is not available at device power-up, then you must recalibrate the PLLwhen the reference clock is available.

Note: Sourcing reference clock from a cascaded PLL output, global clock or core clocknetwork introduces additional jitter to the ATX PLL output. Refer to KDB "How do Icompensate for the jitter of PLL cascading or non-dedicated clock path for Arria 10 PLLreference clock?" for more details.

The ATX PLL calibration is clocked by the CLKUSR clock which must be stable andavailable for calibration to proceed. Refer to the Calibration section for more detailsabout the CLKUSR clock.

Reference Clock Multiplexer

The reference clock (refclk) multiplexer selects the reference clock to the PLL fromthe various reference clock sources available.

N Counter

The N counter divides the refclk mux's output. The division factors supported are 1,2, 4, and 8.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide351

Page 352: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Phase Frequency Detector (PFD)

The reference clock(refclk) signal at the output of the N counter block and thefeedback clock (fbclk) signal at the output of the M counter block are supplied asinputs to the PFD. The output of the PFD is proportional to the phase differencebetween the refclk and fbclk inputs. It is used to align the refclk signal at theoutput of the N counter to the feedback clock (fbclk) signal. The PFD generates an"Up" signal when the reference clock's falling edge occurs before the feedback clock'sfalling edge. Conversely, the PFD generates a "Down" signal when the feedback clock'sfalling edge occurs before the reference clock's falling edge.

Charge Pump and Loop Filter

The PFD output is used by the charge pump and loop filter (CP and LF) to generate acontrol voltage for the VCO. The charge pump translates the "Up" or "Down" pulsesfrom the PFD into current pulses. The current pulses are filtered through a low passfilter into a control voltage that drives the VCO frequency. The charge pump, loopfilter, and VCO settings determine the bandwidth of the ATX PLL.

Lock Detector

The lock detector block indicates when the reference clock and the feedback clock arephase aligned. The lock detector generates an active high pll_locked signal toindicate that the PLL is locked to its input reference clock.

Voltage Controlled Oscillator

The voltage controlled oscillator (VCO) used in the ATX PLL is LC tank based. Theoutput of charge pump and loop filter serves as an input to the VCO. The outputfrequency of the VCO depends on the input control voltage. The output frequency isadjusted based on the output voltage of the charge pump and loop filter.

L CounterThe L counter divides the differential clocks generated by the ATX PLL. The L counteris not in the feedback path of the PLL.

M CounterThe M counter's output is the same frequency as the N counter's output. The VCOfrequency is governed by the equation:

VCO freq = 2 * M * input reference clock/N

An additional divider divides the high speed serial clock output of the VCO by 2 beforeit reaches the M counter.

The M counter supports division factors in a continuous range from 8 to 127 in integerfrequency synthesis mode and 11 to 123 in fractional mode.

Delta Sigma Modulator

The fractional mode is only supported when the ATX PLL is configured as a cascadesource for OTN and SDI protocols. The delta sigma modulator is used in fractionalmode. It modulates the M counter divide value over time so that the PLL can performfractional frequency synthesis. In fractional mode, the M value is as follows: .

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide352

Page 353: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

M (integer) + K/2^32, where K is the Fractional multiply factor (K) in the ATX PLL IPParameter Editor

K legal values are 1 through 2^32-1 and can only be manually entered in the ATX PLLIP Parameter Editor in Quartus Prime software.

The output frequencies can be exact when the ATX PLL is configured in fractionalmode. Due to the K value 32-bit resolution, translating to 1.63 Hz step for a 7 GHzVCO frequency, not all desired fractional values can be achieved exactly. The locksignal is not available, when configured in fractional mode in k-precision mode (K <0.1 or K > 0.9).

Multiple Reconfiguration Profiles

Under the ATX PLL IP Parameter Editor Dynamic Reconfiguration tab, in theConfiguration Profiles section, multiple reconfiguration profiles can be enabled. Thisallows to create, store, and analyze the parameter settings for multiple configurationsor profiles of the ATX PLL IP.

The ATX PLL IP GUI can generate configuration files (SystemVerilog, C header or MIF)for a given configuration. With the multi reconfiguration profile options enabled, theATX PLL IP Parameter Editor can produce configuration files for all of the profilessimultaneously. In addition, by enabling the reduced reconfiguration files generation,the IP Parameter Editor produces a reduced configuration file by internally comparingthe corresponding parameter settings of all the profiles and identifying the differences.

Embedded Reconfiguration Streamer

This option enables a push-button flow to reconfigure between multiple configurationsor profiles. Here are the steps to follow:

1. Multiple reconfiguration profiles creation

• In the ATX PLL IP GUI, create configurations for each profiles using the multi-profile feature.

2. Reconfiguration report files

• The IP GUI generates the reconfiguration report files that contain parameterand register settings for all the selected profiles. If the reduced reconfigurationfiles option is selected, the IP parameter editor compares the settings betweenthe profiles and generate reduced report files which only contain thedifferences.

3. Select “Enable embedded reconfiguration streamer logic” in the GUI to generatethe following:

• Necessary HDL files to perform streaming.

• The individual report files for each profile, an SystemVerilog package file withconfiguration data for all the profiles concatenated together which is used toinitialize the configuration ROM

4. Generate the ATX PLL IP and control the reconfiguration streamer using the AVMMmaster.

Related Information

• Calibration on page 567

• How do I compensate for the jitter of PLL cascading or non-dedicated clock pathfor Arria 10 PLL reference clock?

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide353

Page 354: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

3.1.2.1. Instantiating the ATX PLL IP Core

The Arria 10 transceiver ATX PLL IP core provides access to the ATX PLLs in thehardware. One instance of the PLL IP core represents one ATX PLL in the hardware.

1. Open the Quartus Prime software.

2. Click Tools ➤ IP Catalog.

3. In IP Catalog, under Library ➤ Transceiver PLL ➤ , select Arria 10Transceiver ATX PLL and click Add.

4. In the New IP Instance dialog box, provide the IP instance name.

5. Select the Arria 10 device family.

6. Select the appropriate device and click OK.

The ATX PLL IP core Parameter Editor window opens.

3.1.2.2. ATX PLL IP Core

Table 229. ATX PLL Configuration Options, Parameters, and Settings

Parameter Range Description

Message level for rule violations ErrorWarning

Specifies the messaging level to use for parameter ruleviolations.• Error—Causes all rule violations to prevent IP

generation.• Warning—Displays all rule violations as warnings and

allows IP generation in spite of violations.

Protocol mode BasicPCIe* Gen1PCIe Gen2PCIe Gen3

SDI_cascadeOTN_cascade

UPI TXSAS TX

Governs the internal setting rules for the VCO.This parameter is not a preset. You must set all otherparameters for your protocol.

Bandwidth LowMedium

High

Specifies the VCO bandwidth.Higher bandwidth reduces PLL lock time, at the expense ofdecreased jitter rejection.

Number of PLL reference clocks 1 to 5 Specifies the number of input reference clocks for the ATXPLL.You can use this parameter for data rate reconfiguration.

Selected reference clock source 0 to 4 Specifies the initially selected reference clock input to theATX PLL.

Primary PLL clock output buffer GX clock outputbufferGT clock outputbuffer

Specifies which PLL output is active initially.• If GX is selected, turn ON "Enable PLL GX clock

output port".• If GT is selected, turn ON “Enable PLL GT clock

output port".

continued...

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide354

Page 355: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Range Description

Enable PLL GX clock output port (51) On/Off Enables the GX output port which feeds x1 clock lines.You must select this parameter for PLL output frequencyless than 8.7 GHz, or if you intend to reconfigure the PLL toa frequency below 8.7 GHz.Turn ON this port if GX is selected in the "Primary PLLclock output buffer".

Enable PCIe clock output port On/Off Exposes the pll_pcie_clk port used for PCI Express.The port should be connected to the pipe_hclk_inputport.

Enable ATX to FPLL cascade clockoutput port

On/Off Enables the ATX to FPLL cascade clock output port.

Enable fref and clklow port(52). On/Off Enables fref and clklow ports for external lock detector.

PLL output frequency Refer to IntelArria 10 DeviceDatasheet .

Use this parameter to specify the target output frequencyfor the PLL.

PLL integer reference clockfrequency

Refer to the GUI Selects the input reference clock frequency for the PLL.

Multiply factor (M-Counter) Read onlyForOTN_cascade orSDI_cascade,refer to the GUI.

Displays the M-counter value.Specifies the M-counter value (In SDI_cascade orOTN_cascade Protocol mode only).

Divide factor (N-Counter) Read onlyForSDI_cascade orOTN_cascade,refer to the GUI.

Displays the N-counter value.For SDI_cascade or OTN_cascade, refer to the GUI.

Divide factor (L-Counter) Read only Displays the L-counter value.

Predivide factor (L-CascadePredivider)

Refer to the GUI Specifies the L-cascade predivider value. This value must be2 for a VCO frequency greater than 10.46 GHz and 1 for aVCO frequency less than 10.46GHz. (In SDI_cascade orOTN_cascade Protocol mode only).

Fractional multiply factor (K) Read only Displays the actual K-counter value. This parameter is onlyavailable in fractional mode.

Table 230. ATX PLL—Master Clock Generation Block Parameters and Settings

Parameter Range Description

Include Master Clock GenerationBlock (53)

On/Off When enabled, includes a master CGB as a part of the ATXPLL IP core. The PLL output drives the Master CGB.

continued...

(51) You can enable both the GX clock output port and the GT clock output port. However, only oneport can be in operation at any given time. You can switch between the two ports using PLLreconfiguration.

(52) The fPLL fref and clklow signals should only be used with the Intel external soft lockdetection logic.

(53) Manually enable the MCGB for bonding applications.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide355

Page 356: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Range Description

This is used for x6/xN bonded and non-bonded modes.

Clock division factor 1, 2, 4, 8 Divides the master CGB clock input before generatingbonding clocks.

Enable x6/xN non-bonded high-speed clock output port

On/Off Enables the master CGB serial clock output port used forx6/xN non-bonded modes.

Enable PCIe clock switch interface On/Off Enables the control signals for the PCIe clock switchcircuitry. Used for PCIe clock rate switching.

Number of auxiliary MCGB clockinput ports

0, 1 Auxiliary input is used to implement the PCIe Gen3protocol.

MCGB input clock frequency Read only Displays the master CGB's input clock frequency.

MCGB output data rate. Read only Displays the master CGB's output data rate.

Enable bonding clock output ports On/Off Enables the tx_bonding_clocks output ports of themaster CGB used for channel bonding.This option should be turned ON for bonded designs.

Enable feedback compensationbonding

On/Off Enables this setting when using feedback compensationbonding. For more details about feedback compensationbonding, refer to the PLL Feedback Compensation Bondingsection later in the document.

PMA interface width 8, 10, 16, 20,32, 40, 64

Specifies PMA-PCS interface width.Match this value with the PMA interface width selected forthe Native PHY IP core. You must select a proper value forgenerating bonding clocks for the Native PHY IP core.

Table 231. ATX PLL—Dynamic Reconfiguration

Parameter Range Description

Enable reconfiguration On/Off Enables the PLL reconfiguration interface. Enables thesimulation models and adds Avalon compliant ports forreconfiguration.

Enable Altera Debug MasterEndpoint

On/Off When you turn on this option, the Transceiver PLL IP coreincludes an embedded Altera Debug Master Endpoint(ADME) that connects internally to the Avalon-MM slaveinterface for dynamic reconfiguration. The ADME can accessthe reconfiguration space of the transceiver. It can performcertain test and debug functions via JTAG using the SystemConsole. Refer to the Reconfiguration Interface andDynamic Reconfiguration chapter for more details.

Separate reconfig_waitrequestfrom the status of AVMMarbitration with PreSICE

On/Off When enabled, the reconfig_waitrequest does notindicate the status of AVMM arbitration with PreSICE. TheAVMM arbitration status is reflected in a soft status registerbit. (Only available if "Enable control and status registersfeature" is enabled).

Enable capability registers On/Off Enables capability registers that provide high-levelinformation about the ATX PLL's configuration.

Set user-defined IP identifier User-defined Sets a user-defined numeric identifier that can be read fromthe user_identifier offset when the capability registersare enabled.

Enable control and status registers On/Off Enables soft registers for reading status signals and writingcontrol signals on the PLL interface through the embeddeddebug logic.

Configuration file prefix Enter the prefix name for the configuration files to begenerated.

continued...

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide356

Page 357: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Range Description

Generate SystemVerilog packagefile

On/Off Generates a SystemVerilog package file containing allrelevant parameters used by the PLL.

Generate C header file On/Off Generates a C header file containing all relevant parametersused by the PLL.

Enable multiple reconfigurationprofiles

On/Off Enables multiple configuration profiles to be stored.

Enable embedded reconfigurationstreamer

On/Off Enables embedded reconfiguration streamer whichautomates the dynamic reconfiguration process betweenmultiple predefined configuration profiles.

Generate reduced reconfigurationfiles

On/Off When enabled, the IP generates reconfiguration report filescontaining only the setting differences between the multiplereconfiguration profiles

Number of reconfiguration profiles 1 to 8 Specifies the number of reconfiguration profiles

Store current configuration toprofile

0 to 7 Specifies which configuration profile to modify (store, load,clear or refresh) when clicking the corresponding actionbutton.

Generate MIF (Memory InitializeFile)

On/Off Generates a MIF file which contains the currentconfiguration.Use this option for reconfiguration purposes in order toswitch between different PLL configurations.

Table 232. ATX PLL—Generation Options

Parameter Range Description

Generate parameterdocumentation file

On/Off Generates a .csv file which contains descriptions of ATX PLLIP core parameters and values.

Table 233. ATX PLL IP Core Ports

Port Direction Clock Domain Description

pll_powerdown Input Asynchronous Resets the PLL when asserted high.Needs to be connected to adynamically controlled signal (theTransceiver PHY Reset Controllerpll_powerdown output if using thisIntel FPGA IP).

pll_refclk0 Input N/A Reference clock input port 0.There are a total of five reference clockinput ports. The number of referenceclock ports available depends on theNumber of PLL reference clocksparameter.

pll_refclk1 Input N/A Reference clock input port 1.

pll_refclk2 Input N/A Reference clock input port 2.

pll_refclk3 Input N/A Reference clock input port 3.

pll_refclk4 Input N/A Reference clock input port 4.

tx_serial_clk Output N/A High speed serial clock output port forGX channels. Represents the x1 clocknetwork.

continued...

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide357

Page 358: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Port Direction Clock Domain Description

tx_serial_clk_gt Output N/A High speed serial clock output port forGT channels. Represents the GT clocknetwork.

pll_locked Output Asynchronous Active high status signal whichindicates if the PLL is locked.

pll_pcie_clk Output N/A Used for PCIe. (54)

reconfig_clk0 Input N/A Optional Avalon interface clock. Usedfor PLL reconfiguration. Thereconfiguration ports appear only if theEnable Reconfiguration parameter isselected in the PLL IP Core GUI. Whenthis parameter is not selected, theports are set to OFF internally.

reconfig_reset0 Input reconfig_clk0 Used to reset the Avalon interface.Asynchronous to assertion andsynchronous to deassertion.

reconfig_write0 Input reconfig_clk0 Active high write enable signal.

reconfig_read0 Input reconfig_clk0 Active high read enable signal.

reconfig_address0[9:0] Input reconfig_clk0 10-bit address bus used to specifyaddress to be accessed for both readand write operations.

reconfig_writedata0[31:0] Input reconfig_clk0 32-bit data bus. Carries the write datato the specified address.

reconfig_readdata0[31:0] Output reconfig_clk0 32-bit data bus. Carries the read datafrom the specified address.

reconfig_waitrequest0 Output reconfig_clk0 Indicates when the Avalon interfacesignal is busy. When asserted, allinputs must be held constant.

pll_cal_busy Output Asynchronous Status signal which is asserted highwhen PLL calibration is in progress.OR this signal with tx_cal_busy portbefore connecting to the resetcontroller IP.

mcgb_rst Input Asynchronous Master CGB reset control.Deassert this reset at the same time aspll_powerdown .

mcgb_aux_clk0 Input N/A Used for PCIe implementation to switchbetween fPLL and ATX PLL during linkspeed negotiation.

tx_bonding_clocks[5:0] Output N/A Optional 6-bit bus which carries thelow speed parallel clock outputs fromthe master CGB. Each transceiverchannel in a bonded group has this 6-bit bus.Used for channel bonding, andrepresents the x6/xN clock network.

mcgb_serial_clk Output N/A High speed serial clock output forx6/xN non-bonded configurations.

continued...

(54) Connect this clock to hclk in PCIe applications.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide358

Page 359: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Port Direction Clock Domain Description

pcie_sw[1:0] Input Asynchronous 2-bit rate switch control input used forPCIe protocol implementation.

pcie_sw_done[1:0] Output Asynchronous 2-bit rate switch status output used forPCIe protocol implementation.

atx_to_fpll_cascade_clk Output N/A The ATX PLL output clock is used todrive fPLL reference clock input (onlyavailable in SDI_cascade orOTN_cascade protocol mode).

ext_lock_detect_clklow (55) Output N/A Clklow output for external lockdetection. It can be exposed byselecting the Enable clklow and frefport

ext_lock_detect_fref (55) Output N/A Fref output for external lock detection.It can be exposed by selecting theEnable clklow and fref port.

Related Information

• Calibration on page 29

• Avalon Interface SpecificationsThe ports related to reconfiguration are compliant with the Avalon specification.Refer to the Avalon specification for more details about these ports.

• Intel Arria 10 Device DatasheetRefer to the Intel Arria 10 Device Datasheet for more details about the PLLoutput frequency range.

• Reconfiguration Interface and Dynamic Reconfiguration on page 502

• Reconfiguring Channel and PLL Blocks on page 503

• Steps to Perform Dynamic Reconfiguration on page 516

• Intel Arria 10 device fPLL reports an unlocked condition

3.1.3. fPLL

There are two fPLLs in each transceiver bank with six channels (one located at the topand the other at the bottom of the bank). Transceiver banks with three channels haveonly one fPLL.

Figure 171. fPLL Block Diagram

VCOPFDUp

Down

Delta SigmaModulator

L Counter/1, 2, 4, 8

N Counter M CounterCharge

Pump andLoop Filter

C Counter

RefclkMultiplexer

Reference Clock NetworkReceiver Input Pin

Output of Another PLL with PLL Cascading

Dedicated Reference Clock Pin

Global Clock or Core Clock

refclk

InputReference

Clock

fbclk

/2

/2

(55) The fPLL fref and clklow signals should only be used with the Intel external soft lockdetection logic.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide359

Page 360: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

When in core mode, for the fPLL to generate output clocks with a fixed frequency andphase relation to an input reference clock, the Enable phase alignment option mustbe selected. In the fractional frequency mode, the fPLL supports data rates from 1Gbps to 12.5 Gbps.

Input Reference Clock

This is the dedicated input reference clock source for the PLL.

The input reference clock can be sourced from one of the following:

• Dedicated reference clock pin

• Reference clock network

• Receiver input pin

• Output of another PLL with PLL cascading

• Global clock or the core clock network

The input reference clock is a differential signal. Intel recommends using thededicated reference clock pin as the input reference clock source for best jitterperformance. For protocol jitter compliance at data rates > 10 Gbps, Intelrecommends using the dedicated reference clock pin in the same triplet with the fPLLas the input reference clock source.The input reference clock must be stable and free-running at device power-up for proper PLL operation. If the reference clock is notavailable at device power-up, then you must recalibrate the PLL when the referenceclock is available.

Note: Sourcing reference clock from a cascaded PLL output, global clock or core clocknetwork introduces additional jitter to the fPLL output. Refer to KDB "How do Icompensate for the jitter of PLL cascading or non-dedicated clock path for Arria 10 PLLreference clock?" for more details.

The fPLL calibration is clocked by the CLKUSR clock, which must be stable andavailable for the calibration to proceed. Refer to the Calibration on page 567 sectionfor details about PLL calibration and CLKUSR clock.

Reference Clock MultiplexerThe refclk mux selects the reference clock to the PLL from the various availablereference clock sources.

N CounterThe N counter divides the reference clock (refclk) mux's output. The N counterdivision helps lower the loop bandwidth or reduce the frequency within the phasefrequency detector's (PFD) operating range. The N counter supports division factorsfrom 1 to 32.

Phase Frequency DetectorThe reference clock (refclk) signal at the output of the N counter block and thefeedback clock (fbclk) signal at the output of the M counter block are supplied as aninputs to the PFD. The output of the PFD is proportional to the phase differencebetween the refclk and fbclk inputs. The PFD aligns the fbclk to the refclk.The PFD generates an "Up" signal when the reference clock's falling edge occurs

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide360

Page 361: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

before the feedback clock's falling edge. Conversely, the PFD generates a "Down"signal when the feedback clock's falling edge occurs before the reference clock's fallingedge.

Charge Pump and Loop Filter (CP + LF)

The PFD output is used by the charge pump and loop filter to generate a controlvoltage for the VCO. The charge pump translates the "Up"/"Down" pulses from thePFD into current pulses. The current pulses are filtered through a low pass filter into acontrol voltage that drives the VCO frequency.

Voltage Controlled Oscillator

The fPLL has a ring oscillator based VCO. The VCO transforms the input controlvoltage into an adjustable frequency clock.

VCO freq = 2 * M * Input reference clock/N. (N and M are the N counter and Mcounter division factors.)

L CounterThe L counter divides the VCO's clock output. When the fPLL acts as a transmit PLL,the output of the L counter drives the clock generation block (CGB) and the TX PMAvia the X1 clock lines.

M Counter

The M counter divides the VCO's clock output. The M counter can select any VCOphase. The outputs of the M counter and N counter have same frequency. M counterrange is 8 to 127 in integer mode and 11 to 123 in fractional mode.

Delta Sigma Modulator

The delta sigma modulator is used in fractional mode. It modulates the M counterdivide value over time so that the PLL can perform fractional frequency synthesis.

In fractional mode, the M value is as follows:

M (integer) + K/2^32, where K is the fractional multiply factor (K) in the fPLL IPParameter Editor. The legal values of K are greater than 1% and less than 99% of thefull range of 2^32 and can only be manually entered in the fPLL IP Parameter Editor inthe Quartus Prime software.

The output frequencies can be exact when the fPLL is configured in fractional mode.Due to the K value 32-bit resolution, translating to 1.63 Hz step for a 7 GHz VCOfrequency, not all desired fractional values can be achieved exactly. The lock signal isnot available, when configured in fractional mode in the K-precision mode (K < 0.1 orK > 0.9).

C Counter

The fPLL C counter division factors range from 1 to 512.

Dynamic Phase Shift

The dynamic phase shift block allows you to adjust the phase of the C counters in usermode. In fractional mode, dynamic phase shift is only available for the C counters.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide361

Page 362: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Latency

The C counters can be configured to select any VCO phase and a delay of up to 128clock cycles. The selected VCO phase can be changed dynamically.

Related Information

• Calibration on page 567

• Calibration on page 567Details about PLL calibration

• How do I compensate for the jitter of PLL cascading or non-dedicated clock pathfor Arria 10 PLL reference clock?

3.1.3.1. Instantiating the fPLL IP Core

The fPLL IP core for Arria 10 transceivers provides access to fPLLs in hardware. Oneinstance of the fPLL IP core represents one fPLL in the hardware.

1. Open the Quartus Prime software.

2. Click Tools ➤ IP Catalog.

3. In IP Catalog, under Library ➤ Transceiver PLL , select Arria 10 TransceiverfPLL IP core and click Add.

4. In the New IP Instance dialog box, provide the IP instance name.

5. Select the Arria 10 device family.

6. Select the appropriate device and click OK.

The fPLL IP core Parameter Editor window opens.

3.1.3.2. fPLL IP Core

Table 234. fPLL IP Core Configuration Options, Parameters, and Settings

Parameters Range Description

fPLL Mode CoreCascade Source

Transceiver

Specifies the fPLL mode of operation.Select Core to use fPLL as a general purpose PLL to drivethe FPGA core clock network.Select Cascade Source to connect an fPLL to another PLLas a cascading source.Select Transceiver to use an fPLL as a transmit PLL for thetransceiver block.

Protocol Mode BasicPCIe* Gen1PCIe Gen2PCIe Gen3

SDI_cascadeOTN_cascade

SDI_directSATA TX

OTN_directSATA_Gen3

HDMI

Governs the internal setting rules for the VCO.This parameter is not a preset. You must set all parametersfor your protocol.

Enable fractional mode On/Off Enables the fractional frequency mode.

continued...

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide362

Page 363: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameters Range Description

This enables the PLL to output frequencies which are notintegral multiples of the input reference clock.

Enable physical output clockparameters

On/Off Selecting this option allows you to manually specify M, N, Cand L counter values.

Enable clklow and fref ports(56) On/Off Enables fref and clklow clock ports for external lockdetector. In Transceiver mode when "enable fractionalmode" and "SDI_direct" prot_mode are selected,pll_locked port is not available and user can createexternal lock detector using fref and clklow clock ports.

Desired Reference clock frequency Refer to the GUI Specifies the desired PLL input reference clock frequency.

Actual reference clock frequency Read-only Displays the actual PLL input reference clock frequency.

Number of PLL reference clocks 1 to 5 Specify the number of input reference clocks for the fPLL.

New parameter: Selected referenceclock source

0 to 4 Specifies the initially selected reference clock input to thefPLL.

Bandwidth LowMedium

High

Specifies the VCO bandwidth.Higher bandwidth reduces PLL lock time, at the expense ofdecreased jitter rejection.

Operation mode DirectFeedback

compensationbonding

Specifies the feedback operation mode for the fPLL.

Multiply factor (M-counter) 8 to 127(integer mode)

11 to 123(fractional

mode)

Specifies the multiply factor (M-counter).

Divide factor (N-counter) 1 to 31 Specifies the divide factor (N-counter).

Divide factor (L-counter) 1, 2, 4, 8 Specifies the divide factor (L-counter).

Divide factor (K-counter) User defined Specifies the divide factor (K-counter).

PLL output frequency Read-only Displays the target output frequency for the PLL.

PLL Datarate Read-only Displays the PLL datarate.

Table 235. fPLL—Master Clock Generation Block Parameters and Settings

Parameters Range Description

Include Master Clock GenerationBlock

On/Off When enabled, includes a master CGB as a part of the fPLLIP core. The PLL output drives the master CGB.This is used for x6/xN bonded and non-bonded modes.

Clock division factor 1, 2, 4, 8 Divides the master CGB clock input before generatingbonding clocks.

Enable x6/xN non-bonded high-speed clock output port

On/Off Enables the master CGB serial clock output port used forx6/xN non-bonded modes.

Enable PCIe clock switch interface On/Off Enables the control signals used for PCIe clock switchcircuitry.

continued...

(56) The fPLL fref and clklow signals should only be used with the Intel external soft lockdetection logic.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide363

Page 364: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameters Range Description

MCGB input clock frequency Read only Displays the master CGB’s required input clock frequency.You cannot set this parameter.

MCGB output data rate Read only Displays the master CGB’s output data rate. You cannot setthis parameter.This value is calculated based on MCGB input clockfrequency and MCGB clock division factor.

Enable bonding clock output ports On/Off Enables the tx_bonding_clocks output ports of theMaster CGB used for channel bonding.You must enable this parameter for bonded designs.

Enable feedback compensationbonding

On/Off Enables the feedback output path of the master CGB usedfor feedback compensation bonding. When enabled, thefeedback connections are automatically handled by the PLLIP.

PMA interface width 8, 10, 16, 20,32, 40, 64

Specifies the PMA-PCS interface width.Match this value with the PMA interface width selected forthe Native PHY IP core. You must select a proper value forgenerating bonding clocks for the Native PHY IP core.

Table 236. fPLL—Dynamic Reconfiguration Parameters and Settings

Parameter Range Description

Enable reconfiguration On/Off Enables the PLL reconfiguration interface. Enables thesimulation models and adds more ports for reconfiguration.

Enable Altera Debug MasterEndpoint

On/Off When you turn this option ON, the transceiver PLL IP coreincludes an embedded Altera Debug Master Endpoint(ADME) that connects internally to the Avalon-MM slaveinterface for dynamic reconfiguration. The ADME can accessthe reconfiguration space of the transceiver. It can performcertain test and debug functions via JTAG using the SystemConsole. Refer to the Reconfiguration Interface andDynamic Reconfiguration chapter for more details.

Separate reconfig_waitrequestfrom the status of AVMMarbitration with PreSICE

On/Off When enabled, the reconfig_waitrequest does notindicate the status of AVMM arbitration with PreSICE. TheAVMM arbitration status is reflected in a soft status registerbit. (Only available if "Enable control and status registersfeature" is enabled).

Enable capability registers On/Off Enables capability registers that provide high-levelinformation about the fPLL's configuration.

Set user-defined IP identifier Sets a user-defined numeric identifier that can be read fromthe user_identifier offset when the capability registersare enabled.

Enable control and status registers On/Off Enables soft registers for reading status signals and writingcontrol signals on the PLL interface through the embeddeddebug logic.

Configuration file prefix Enter the prefix name for the configuration files to begenerated.

Generate SystemVerilog packagefile

On/Off Generates a SystemVerilog package file containing allrelevant parameters used by the PLL.

Generate C header file On/Off Generates a C header file containing all relevant parametersused by the PLL.

Generate MIF (Memory InitializeFile)

On/Off Generates a MIF file that contains the current configuration.Use this option for reconfiguration purposes in order toswitch between different PLL configurations.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide364

Page 365: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 237. Clock Switchover (between Dynamic Reconfiguration and General Options)

Clock Switchover Parameter Range Description

Create a second input clockpllrefclk1

On/Off Turn on this parameter to have abackup clock attached to your fPLL thatcan switch with your original referenceclock

Second Reference Clock Frequency User Defined Specifies the second reference clockfrequency for fPLL

Switchover Mode Automatic Switchover

Manual Switchover

Automatic Switchover with ManualOverride

Specifies how Input frequencyswitchover is handled. AutomaticSwitchover uses built in circuitry todetect if one of your input clocks hasstopped toggling and switch to theother.Manual Switchover creates anEXTSWITCH signal which can be usedto manually switch the clock byasserting high for at least 3 cycles.Automatic Switchover with ManualOverride acts as Automatic Switchoveruntil the EXTSWITCH goes high, inwhich case it switches and ignores anyautomatic switches as long asEXTSWITCH stays high.

Switchover Delays 0 to 7 Adds a specific amount of cycle delayto the Switchover Process.

Create an active_clk signal toindicate the input clock in use

On/Off This parameter creates an output thatindicates which input clock is currentlyin use by the PLL. Low indicatesrefclk, High indicates refclk1.

Create a clkbad signal for each ofthe input clocks

On/Off This parameter creates two clkbadoutputs, one for each input clock. Lowindicates the CLK is working, Highindicates the CLK is not working.

Table 238. fPLL - Generation Options

Parameter Direction Description

Generates parameterdocumentation file

On/Off Generates a .csv file that contains descriptions of all thefPLL parameters and values.

Table 239. fPLL IP Core Ports

Port Direction Clock Domain Description

pll_powerdown input Asynchronous Resets the PLL when asserted high.Needs to be connected to adynamically controlled signal (theTransceiver PHY Reset Controllerpll_powerdown output if using thisIntel FPGA IP).

pll_refclk0 input N/A Reference clock input port 0.There are five reference clock inputports. The number of reference clockports available depends on theNumber of PLL reference clocksparameter.

pll_refclk1 input N/A Reference clock input port 1.

continued...

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide365

Page 366: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Port Direction Clock Domain Description

pll_refclk2 input N/A Reference clock input port 2.

pll_refclk3 input N/A Reference clock input port 3.

pll_refclk4 input N/A Reference clock input port 4.

tx_serial_clk output N/A High speed serial clock output portfor GX channels. Represents the x1clock network.

pll_locked output Asynchronous Active high status signal whichindicates if PLL is locked.

hssi_pll_cascade_clk output N/A fPLL cascade clock output port

pll_pcie_clk output N/A Used for PCIe.

reconfig_clk0 input N/A Optional Avalon interface clock. Usedfor PLL reconfiguration.

reconfig_reset0 input reconfig_clk0 Used to reset the Avalon interface.Asynchronous to assertion andsynchronous to deassertion.

reconfig_write0 input reconfig_clk0 Active high write enable signal.

reconfig_read0 input reconfig_clk0 Active high read enable signal.

reconfig_address0[9:0] input reconfig_clk0 10-bit address bus used to specifyaddress to be accessed for both readand write operations.

reconfig_writedata0[31:0] input reconfig_clk0 32-bit data bus. Carries the writedata to the specified address.

reconfig_readdata0[31:0] output reconfig_clk0 32-bit data bus. Carries the readdata from the specified address.

reconfig_waitrequest0 output reconfig_clk0 Indicates when the Avalon interfacesignal is busy. When asserted, allinputs must be held constant.

pll_cal_busy output Asynchronous Status signal which is asserted highwhen PLL calibration is in progress.Perform logical OR with this signaland the tx_cal_busy port on thereset controller IP.

mcgb_rst input Asynchronous Master CGB reset control.Deassert this reset at the same timeas pll_powerdown .

mcgb_aux_clk0 input N/A Used for PCIe to switch betweenfPLL/ATX PLL during link speednegotiation.

tx_bonding_clocks[5:0] output N/A Optional 6-bit bus which carries thelow speed parallel clock outputs fromthe Master CGB.Used for channel bonding, andrepresents the x6/xN clock network.

mcgb_serial_clk output N/A High speed serial clock output forx6/xN non-bonded configurations.

pcie_sw[1:0] input Asynchronous 2-bit rate switch control input usedfor PCIe protocol implementation.

continued...

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide366

Page 367: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Port Direction Clock Domain Description

pcie_sw_done[1:0] output Asynchronous 2-bit rate switch status output usedfor PCIe protocol implementation.

atx_to_fpll_cascade_clk input N/A Enables fPLL to ATX PLL cascadingclock input port.

fpll_to_fpll_cascade_clk output N/A fPLL to fPLL cascade output port(only in Core mode)

active_clk output N/A Creates an output signal thatindicates the input clock being usedby the PLL. A logic Low on this signalindicates refclk0 is being used anda logic High indicates refclk1 isbeing used (only in Core mode withClock Switchover enabled)

outclk0 output N/A Core output clock 0. (only in Coremode)There are four core fPLL output clockoutput ports. The number of outputclock available depends on theSelected reference clock source

outclk1 output N/A Core output clock 1. (only in Coremode)

outclk2 output N/A Core output clock 2. (only in Coremode)

outclk3 output N/A Core output clock 3. (only in Coremode)

ext_lock_detect_clklow (57) output N/A Clklow output for external lockdetection. It can be exposed byselecting the Enable clklow andfref port.

ext_lock_detect_fref (57) output N/A Fref output for external lockdetection It can be exposed byselecting the Enable clklow andfref port.

phase_reset input N/A Dynamic phase shift reset inputsignal. To be connected to DPS softIP phase_reset output.

phase_en input N/A Dynamic phase shift enable inputsignal. To be connected to DPS softIP phase_en output.

updn input N/A Dynamic phase shift updn inputsignal. To be connected to DPS softIP updn output.

cntsel[3:0] input N/A Dynamic phase shift counter bus. Tobe connected to DPS soft IP cntseloutput bus.

Related Information

• Calibration on page 29

• Reconfiguration Interface and Dynamic Reconfiguration on page 502

(57) The fPLL fref and clklow signals should only be used with the Intel external soft lockdetection logic.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide367

Page 368: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

• Avalon Interface SpecificationsThe ports related to reconfiguration are compliant with the AvalonSpecification. Refer to the Avalon Specification for more details about theseports.

• Intel Arria 10 device fPLL reports an unlocked condition

3.1.4. CMU PLL

The clock multiplier unit (CMU) PLL resides locally within each transceiver channel.Thechannel PLL's primary function is to recover the receiver clock and data in thetransceiver channel. In this case the PLL is used in clock and data recovery (CDR)mode.

When the channel PLL of channels 1 or 4 is configured in the CMU mode, the channelPLL can drive the local clock generation block (CGB) of its own channel, then thechannel cannot be used as a receiver.

The CMU PLL from transceiver channel 1 and channel 4 can also be used to drive othertransceiver channels within the same transceiver bank. The CDR of channels 0, 2, 3,and 5 cannot be configured as a CMU PLL.

For datarates lower than 6 Gbps, the local CGB divider has to be engaged (TX localdivision factor in transceiver PHY IP under the TX PMA tab) .

Figure 172. CMU PLL Block Diagram

VCO

PFD

CP &LF

M Counter

N Counter

L Counter

Lock toReferenceController

User Control(LTR/LTD) Lock to Reference

PLL Lock Status

Output

LockDetector

Reference clock networkUp DownInput reference

clock refclk

fbclk

Receiver input pin

RefclkMultiplexer

Input Reference Clock

The input reference clock for a CMU PLL can be sourced from either the referenceclock network or a receiver input pin. The input reference clock is a differential signal.For protocol jitter compliance at data rates > 10 Gbps, Intel recommends using thededicated reference clock pin in the same triplet with the CMU PLL as the inputreference clock source.The input reference clock must be stable and free-running atdevice power-up for proper PLL operation. If the reference clock is not available atdevice power-up, then you must recalibrate the PLL when the reference clock isavailable. Refer to the Calibration section for details about PLL calibration and theCLKUSR clock requirement.

Note: The CMU PLL calibration is clocked by the CLKUSR clock which must be stable andavailable for calibration to proceed. Refer to the Calibration section for more detailsabout the CLKUSR clock.

Reference Clock Multiplexer (Refclk Mux)

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide368

Page 369: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The refclk mux selects the input reference clock to the PLL from the various referenceclock sources available.

N Counter

The N counter divides the refclk mux's output. The N counter division helps lower theloop bandwidth or reduce the frequency to within the phase frequency detector's(PFD) operating range. Possible divide ratios are 1 (bypass), 2, 4, and 8.

Phase Frequency Detector (PFD)

The reference clock (refclk) signal at the output of the N counter block and thefeedback clock (fbclk) signal at the output of the M counter block is supplied as aninput to the PFD. The PFD output is proportional to the phase difference between thetwo inputs. It aligns the input reference clock (refclk) to the feedback clock(fbclk). The PFD generates an "Up" signal when the reference clock's falling edgeoccurs before the feedback clock's falling edge. Conversely, the PFD generates a"Down" signal when feedback clock's falling edge occurs before the reference clock'sfalling edge.

Charge Pump and Loop Filter (CP + LF)

The PFD output is used by the charge pump and loop filter to generate a controlvoltage for the VCO. The charge pump translates the "Up"/"Down" pulses from thePFD into current pulses. The current pulses are filtered through a low pass filter into acontrol voltage which drives the VCO frequency.

Voltage Controlled Oscillator (VCO)

The CMU PLL has a ring oscillator based VCO. For VCO frequency range, refer to thedatasheet.

L Counter

The L counter divides the differential clocks generated by the CMU PLL.

M Counter

The M counter is used in the PFD's feedback path. The output of the L counter isconnected to the M counter. The combined division ratios of the L counter and the Mcounter determine the overall division factor in the PFD's feedback path.

Lock Detector (LD)

The lock detector indicates when the CMU PLL is locked to the desired output's phaseand frequency. The lock detector XORs the "Up"/"Down" pulses and indicates when theM counter's output and N counter's output are phase-aligned.

The reference clock (refclk) and feedback clock (fbclk) are sent to the PCS's ppmdetector block. There is a pre-divider to lower the frequency in case the frequency istoo high.

Related Information

• Calibration on page 567

• IntelArria 10 Device Datasheet

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide369

Page 370: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

3.1.4.1. Instantiating CMU PLL IP Core

The CMU PLL IP core for Arria 10 transceivers provides access to the CMU PLLs inhardware. One instance of the CMU PLL IP core represents one CMU PLL in hardware.

1. Open the Quartus Prime software.

2. Click Tools ➤ IP Catalog.

3. In IP Catalog, under Library ➤ Transceiver PLL , select Arria 10 TransceiverCMU PLL and click Add.

4. In the New IP Instance Dialog Box, provide the IP instance name.

5. Select Arria 10 device family.

6. Select the appropriate device and click OK.

The CMU PLL IP core Parameter Editor window opens.

3.1.4.2. CMU PLL IP Core

Table 240. CMU PLL Parameters and Settings

Parameters Range Description

Message level for rule violations ErrorWarning

Specifies the messaging level to use for parameter ruleviolations.• Error - Causes all rule violations to prevent IP

generation.• Warning - Displays all rule violations as warnings and

allows IP generation in spite of violations.

Bandwidth LowMedium

High

Specifies the VCO bandwidth.Higher bandwidth reduces PLL lock time, at the expense ofdecreased jitter rejection.

Number of PLL reference clocks 1 to 5 Specifies the number of input reference clocks for the CMUPLL.You can use this parameter for data rate reconfiguration.

Selected reference clock source 0 to 4 Specifies the initially selected reference clock input to theCMU PLL.

TX PLL Protocol mode BASICPCIe

This parameter governs the rules for correct protocolspecific settings. Certain features of the PLL are onlyavailable for specific protocol configuration rules. Thisparameter is not a preset .You must set all the other parameters for your protocol.

PLL reference clock frequency Refer to the GUI Selects the input reference clock frequency for the PLL.

PLL output frequency Refer to the GUI Specify the target output frequency for the PLL.

Multiply factor (M-Counter) Read only Displays the M-multiplier value.

Divide factor (N-Counter) Read only

Displays the N-counter value.

Divide factor (L-Counter) Read only Displays the L-counter value.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide370

Page 371: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 241. CMU PLL—Dynamic Reconfiguration

Parameters Range Description

Enable dynamic reconfiguration On/Off Enables the PLL reconfiguration interface. Enables thesimulation models and adds more ports for reconfiguration.

Enable Altera Debug MasterEndpoint

On/Off When you turn this option On, the transceiver PLL IP coreincludes an embedded Altera Debug Master Endpoint thatconnects internally to the Avalon-MM slave interface fordynamic reconfiguration. The ADME can access thereconfiguration space of the transceiver. It can performcertain test and debug functions via JTAG using the SystemConsole. Refer to the Reconfiguration Interface andDynamic Reconfiguration chapter for more details.

Separate reconfig_waitrequestfrom the status of AVMMarbitration with PreSICE

On/Off When enabled, the reconfig_waitrequest does notindicate the status of AVMM arbitration with PreSICE. TheAVMM arbitration status is reflected in a soft status registerbit. (Only available if "Enable control and status registersfeature" is enabled).

Enable capability registers On/Off Enables capability registers that provide high- levelinformation about the CMU PLL's configuration.

Set user-defined IP identifier Sets a user-defined numeric identifier that can be read fromthe user_identifier offset when the capability registersare enabled.

Enable control and status registers On/Off Enables soft registers for reading status signals and writingcontrol signals on the PLL interface through the embeddeddebug logic.

Configuration file prefix Enter the prefix name for the configuration files to begenerated.

Generate SystemVerilog packagefile

On/Off Generates a SystemVerilog package file containing allrelevant parameters used by the PLL.

Generate C header file On/Off Generates a C header file containing all relevant parametersused by the PLL.

Generate MIF (Memory InitializeFile)

On/Off Generates a MIF file that contains the current configuration.Use this option for reconfiguration purposes in order toswitch between different PLL configurations.

Table 242. CMU PLL—Generation Options

Parameters Range Description

Generate parameterdocumentation file

On/Off Generates a .csv file which contains the descriptions of allCMU PLL parameters and values.

Table 243. CMU PLL IP Ports

Port Range Clock Domain Description

pll_powerdown input Asynchronous Resets the PLL when asserted high.

pll_refclk0 input N/A Reference clock input port 0.There are 5 reference clock inputports. The number of reference clockports available depends on theNumber of PLL reference clocksparameter.

pll_refclk1 input N/A Reference clock input port 1.

pll_refclk2 input N/A Reference clock input port 2.

continued...

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide371

Page 372: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Port Range Clock Domain Description

pll_refclk3 input N/A Reference clock input port 3.

pll_refclk4 input N/A Reference clock input port 4.

tx_serial_clk output N/A High speed serial clock output port forGX channels. Represents the x1 clocknetwork.

pll_locked output Asynchronous Active high status signal whichindicates if PLL is locked.

reconfig_clk0 input N/A Optional Avalon interface clock. Usedfor PLL reconfiguration. Thereconfiguration ports appear only if theEnable Reconfiguration parameter isselected in the PLL IP Core GUI. Whenthis parameter is not selected, theports are set to OFF internally.

reconfig_reset0 input reconfig_clk0 Used to reset the Avalon interface.Asynchronous to assertion andsynchronous to deassertion.

reconfig_write0 input reconfig_clk0 Active high write enable signal.

reconfig_read0 input reconfig_clk0 Active high read enable signal.

reconfig_address0[9:0] input reconfig_clk0 10-bit address bus used to specifyaddress to be accessed for both readand write operations.

reconfig_writedata0[31:0] input reconfig_clk0 32-bit data bus. Carries the write datato the specified address.

reconfig_readdata0[31:0] output reconfig_clk0 32-bit data bus. Carries the read datafrom the specified address.

reconfig_waitrequest0 output reconfig_clk0 Indicates when the Avalon interfacesignal is busy. When asserted, allinputs must be held constant.

pll_cal_busy output Asynchronous Status signal that is asserted highwhen PLL calibration is in progress.Perform logical OR with this signal andthe tx_cal_busy port on the resetcontroller IP.

Related Information

• Calibration on page 29

• Reconfiguration Interface and Dynamic Reconfiguration on page 502

• Avalon Interface SpecificationsThe ports related to reconfiguration are compliant with the AvalonSpecification. Refer to the Avalon Specification for more details about theseports.

3.2. Input Reference Clock Sources

The transmitter PLL and the clock data recovery (CDR) block need an input referenceclock source to generate the clocks required for transceiver operation. The inputreference clock must be stable and free-running at device power-up for proper PLLcalibrations.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide372

Page 373: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Arria 10 transceiver PLLs have five possible input reference clock sources, dependingon jitter requirements:

• Dedicated reference clock pins

• Reference clock network

• The output of another fPLL with PLL cascading (58)

• Receiver input pins

• Global clock or core clock (58)

For the best jitter performance, Intel recommends placing the reference clock as closeas possible, to the transmit PLL. For protocol jitter compliance at data rates > 10Gbps, place the reference clock pin in the same triplet as the transmit PLL.

The following protocols require the reference clock to be placed in same bank as thetransmit PLL:

• OTU2e, OTU2, OC-192 and 10G PON

• 6G and 12G SDI

Note: Sourcing a reference clock from a cascaded PLL output, global clock or core clocknetwork introduces additional jitter to transmit PLL output. Refer to KDB "How do Icompensate for the jitter of PLL cascading or non-dedicated clock path for Arria 10 PLLreference clock?" for more details.

For optimum performance of GT channel, the reference clock of transmit PLL isrecommended to be from a dedicated reference clock pin in the same bank.

Figure 173. Input Reference Clock Sources

Dedicatedrefclk

pin

RX pin 2

RX pin 1

Note : (1) You can choose only one of the three RX pins to be used as an input reference clock source. Any RX pin on the same side of the device can be used as an input reference clock.

RX pin 0

Reference ClockNetwork

Serial Clock

fPLL or ATX PLL

fPLL

InputReference

Clock

(2)

(3)

(2) Dedicated refclk pin can be used as an input reference clock source only for ATX or fPLL or to the reference clock network. Reference clock network can then drive the CMU PLL.

(3) The output of another PLL can be used as an input reference clock source during PLL cascading. Arria 10 transceivers support fPLL to fPLL cascading.

(1)

Global or Core Clock

Note: • In Arria 10 devices, the FPGA fabric core clock network can be used as an inputreference source for any PLL type.

• To successfully complete the calibration process, the reference clocks driving thePLLs (ATX PLL, fPLL, CDR/CMU PLL) must be stable and free running at start ofFPGA configuration. Otherwise, recalibration is necessary.

(58) Not available for CMU.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide373

Page 374: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Related Information

Calibration on page 567For more information about the calibration process

3.2.1. Dedicated Reference Clock Pins

To minimize the jitter, the advanced transmit (ATX) PLL and the fractional PLL (fPLL)can source the input reference clock directly from the reference clock buffer withoutpassing through the reference clock network. The input reference clock is also fed intothe reference clock network.

Figure 174. Dedicated Reference Clock PinsThere are two dedicated reference clock (refclk) pins available in each transceiver bank. The bottom refclkpin feeds the bottom ATX PLL, fPLL, and CMU PLL. The top refclk pin feeds the top ATX PLL, fPLL, and CMUPLL. The dedicated reference clock pins can also drive the reference clock network.

Refclk

CH5

CMU PLLCH4

CDR PLLCH3

fPLL1

ATX PLL1

CDR PLL

From PLL Cascading ClockNetwork

From PLL Feedbackand Cascading ClockNetwork

Refclk

CH2

CMU PLLCH1

CDR PLLCH0

fPLL0

ATX PLL0

CDR PLL

Reference ClockNetwork

Reference ClockNetwork

Reference ClockNetwork

Input Reference Clock to the PLLsCan Come from Either the ReferenceClock Network or the PLL Feedbackand Cascading Clock Network

ATX and fPLL Can Receive the Input Reference Clock from a Dedicated refclk Pin

From PLL Cascading ClockNetwork

From PLL Feedbackand Cascading ClockNetwork

3.2.2. Receiver Input Pins

Receiver input pins can be used as an input reference clock source to transceiver PLLs.However, they cannot be used to drive core fabric.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide374

Page 375: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The receiver input pin drives the feedback and cascading clock network, which canthen feed any number of transmitter PLLs on the same side of the device. When areceiver input pin is used as an input reference clock source, the clock data recovery(CDR) block of that channel is not available. As indicated in Figure 173 on page 373,only one RX differential pin pair per three channels can be used as an input referenceclock source at any given time.

3.2.3. PLL Cascading as an Input Reference Clock Source

In PLL cascading, PLL outputs are connected to the feedback and cascading clocknetwork. The input reference clock to the first PLL can be sourced from the samenetwork. In this mode, the output of one PLL drives the reference clock input ofanother PLL. PLL cascading can generate frequency outputs not normally possible witha single PLL solution. The transceiver in Arria 10 devices support fPLL to fPLLcascading, with only maximum two fPLLs allowed in the cascading chain. ATX PLL tofPLL cascading is available to OTN and SDI protocols only.

Note: • To successfully complete the calibration process, the reference clocks driving thePLLs (ATX PLL, fPLL, CDR/CMU PLL) must be stable and free running at start ofFPGA configuration. Otherwise, recalibration is necessary.

• When the fPLL is used as a cascaded fPLL (downstream fPLL), a user recalibrationon the fPLL is required. Refer to "User Recalibration" section in "Calibration"chapter for more information.

Related Information

Calibration on page 567For more information about the calibration process

3.2.4. Reference Clock Network

The reference clock network distributes a reference clock source to either the entireleft or right side of the FPGA where the transceivers reside. This allows any referenceclock pin to drive any transmitter PLL on the same side of the device. Designs usingmultiple transmitter PLLs which require the same reference clock frequency and arelocated along the same side of the device, can share the same dedicated referenceclock (refclk) pin.

3.2.5. Global Clock or Core Clock as an Input Reference Clock

The global clock or the core clock can be used as an input reference clock for any PLLtype.

The global or core clock network routes the clock directly to the PLL. In this case thePLL reference clock network is not used. For best performance, use the dedicatedreference clock pins or the reference clock network.

3.3. Transmitter Clock Network

The transmitter clock network routes the clock from the transmitter PLL to thetransmitter channel. It provides two types of clocks to the transmitter channel:

• High Speed Serial clock—high-speed clock for the serializer.

• Low Speed Parallel clock—low-speed clock for the serializer and the PCS.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide375

Page 376: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

In a bonded channel configuration, both the serial clock and the parallel clock arerouted from the transmitter PLL to the transmitter channel. In a non-bonded channelconfiguration, only the serial clock is routed to the transmitter channel, and theparallel clock is generated locally within the channel. To support various bonded andnon-bonded clocking configurations, four types of transmitter clock network lines areavailable:

• x1 clock lines

• x6 clock lines

• xN clock lines

• GT clock lines

Related Information

Unused/Idle Clock Line Requirements on page 389For more information about unused or idle transceiver clock lines in the design.

3.3.1. x1 Clock Lines

The x1 clock lines route the high speed serial clock output of a PLL to any channelwithin a transceiver bank. The low speed parallel clock is then generated by thatparticular channel's local clock generation block (CGB). Non-bonded channelconfigurations use the x1 clock network.

The x1 clock lines can be driven by the ATX PLL, fPLL, or by either one of the twochannel PLLs (channel 1 and 4 when used as a CMU PLL) within a transceiver bank.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide376

Page 377: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 175. x1 Clock Lines

CMU or CDR

CGBCh 4

CDR

CGBCh 3

CDR

CGBCh 2

CGBCh 1

CDR

CGBCh 0

CDR

CGBCh 5

x1 Network

MasterCGB

MasterCGB

ATX PLL1

ATX PLL0

fPLL1

fPLL0

CMU or CDR

3.3.2. x6 Clock Lines

The x6 clock lines route the clock within a transceiver bank. The x6 clock lines aredriven by the master CGB. The master CGB can only be driven by the ATX PLL or thefPLL. Because the CMU PLLs cannot drive the master CGB, the CMU PLLs cannot beused for bonding purposes. There are two x6 clock lines per transceiver bank, one foreach master CGB. Any channel within a transceiver bank can be driven by the x6 clocklines.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide377

Page 378: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

For bonded configuration mode, the low speed parallel clock output of the master CGBis used and the local CGB within each channel is bypassed. For non-bondedconfigurations, the master CGB also provides a high speed serial clock output to eachchannel without bypassing the local CGB within each channel.

The x6 clock lines also drive the xN clock lines which route the clocks to theneighboring transceiver banks.

Figure 176. x6 Clock Lines

CGBCh 4

CDR

CGBCh 3

CDR

CGBCh 2

CGBCh 1

CDR

CGBCh 0

CDR

CGBCh 5

MasterCGB

MasterCGB

x6Top

x6Bottom

x6Network

CMU or CDR

CMU or CDR

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide378

Page 379: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

3.3.3. xN Clock Lines

The xN clock lines route the transceiver clocks across multiple transceiver banks.

The master CGB drives the x6 clock lines and the x6 clock lines drive the xN clocklines. There are two xN clock lines: xN Up and xN Down. xN Up clock lines route theclocks to transceiver banks located above the master CGB and xN Down clock linesroute the clocks to transceiver banks located below the master CGB. The xN clocklines can be used in both bonded and non-bonded configurations. For bondedconfigurations, the low speed parallel clock output of the master CGB is used, and thelocal CGB within each channel is bypassed. For non-bonded configurations, the masterCGB provides a high speed serial clock output to each channel.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide379

Page 380: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 177. xN Clock Network

CGBCh 4

CDR

CGBCh 3

CDR

CGBCh 2

CGBCh 1

CDR

CGBCh 0

CDR

CGBCh 5

x6Top

MasterCGB1

MasterCGB0

xN Up xN Down

x6Bottom

xN Up xN Down

CMU or CDR

CMU or CDR

The maximum channel span of a xN clock network is two transceiver banks above andtwo transceiver banks below the bank that contains the driving PLL and the masterCGB. A maximum of 30 channels can be used in a single bonded or non-bonded xNgroup.

The maximum data rate supported by the xN clock network while driving channels ineither the bonded or non-bonded mode depends on the voltage used to drive thetransceiver banks.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide380

Page 381: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Related Information

• Implementing x6/xN Bonding Mode on page 404

• x6/xN Bonding on page 389

• IntelArria 10 Device Datasheet

3.3.4. GT Clock Lines

GT clock lines are dedicated clock lines available only in Arria 10 GT devices.

Each ATX PLL has two dedicated GT clock lines that connect the PLL directly to thetransceiver channels within a transceiver bank. The top ATX PLL drives channels 3 and4, and the bottom ATX PLL drives channels 0 and 1. These connections bypass the restof the clock network for higher performance. These channels can be used only fornon-bonded configurations.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide381

Page 382: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 178. GT Clock Lines

CMU or CDR

CGBCh 4

CDR

CGBCh 3

CDR

CGBCh 2

CGBCh 1

CDR

CGBCh 0

CDR

CGBCh 5

ATX PLL1

ATX PLL0 CMU or CDR

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide382

Page 383: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

3.4. Clock Generation Block

In Arria 10 devices, there are two types of clock generation blocks (CGBs)

• Local clock generation block (local CGB)

• Master clock generation block (master CGB)

Each transmitter channel has a local clock generation block (CGB). For non-bondedchannel configurations, the serial clock generated by the transmit PLL drives the localCGB of each channel. The local CGB generates the parallel clock used by the serializerand the PCS.

There are two standalone master CGBs within each transceiver bank. The master CGBprovides the same functionality as the local CGB within each transceiver channel. Theoutput of the master CGB can be routed to other channels within a transceiver bankusing the x6 clock lines. The output of the master CGB can also be routed to channelsin other transceiver banks using the xN clock lines. Each transmitter channel has amultiplexer to select its clock source from either the local CGB or the master CGB.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide383

Page 384: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 179. Clock Generation Block and Clock NetworkThe local clock for each transceiver channel can be sourced from either the local CGB via the x1 network, orthe master CGB via the x6/xN network. For example, as shown by the red highlighted path, the fPLL 1 drivesthe x1 network which in turn drives the master CGB. The master CGB then drives the x6 clock network whichroutes the clocks to the local channels. As shown by the blue highlighted path, the ATX PLL 0 can also drive thex1 clock network which can directly feed a channel's local CGB. In this case, the low speed parallel clock isgenerated by the local CGB.

CMU or CDR

CGBCh 4

CDR

CGBCh 3

CDR

CGBCh 2

CMU or CDR

CGBCh 1

CDR

CGBCh 0

CDR

CGBCh 5

MasterCGB1

MasterCGB0

xNUp

x1Network

ATX PLL 1

fPLL 1

fPLL 0

ATX PLL 0

TransceiverBank

xNDown

x6Top

x6Bottom

3.5. FPGA Fabric-Transceiver Interface Clocking

The FPGA fabric-transceiver interface consists of clock signals from the FPGA fabricinto the transceiver and clock signals from the transceiver into the FPGA fabric. Theseclock signals use the global (GCLK), regional (RCLK), and periphery (PCLK) clock

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide384

Page 385: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

networks in the FPGA core. If the Global Signal is set to Off, it does not choose any ofthe previously mentioned clock networks. Instead, it chooses directly from the localrouting between transceiver and FPGA fabric.

The transmitter channel forwards a parallel output clock tx_clkout to the FPGAfabric to clock the transmitter data and control signals. The receiver channel forwardsa parallel output clock rx_clkout to the FPGA fabric to clock the data and statussignals from the receiver into the FPGA fabric. Based on the receiver channelconfiguration, the parallel output clock is recovered from either the receiver serial dataor the rx_clkout clock (in configurations without the rate matcher) or thetx_clkout clock (in configurations with the rate matcher).

Figure 180. FPGA Fabric - Transceiver Interface Clocking

Input Reference Clock

RX FIFO

ByteDeserializer

8B/10B Decoder

Rate Match FIFO

Receiver PMAW

ord Aligner

Deserializer

CDR

Receiver Standard PCS

Transmitter Standard PCS Transmitter PMA

Serializer

tx_serial_datarx_serial_data

FPGAFabric

TX FIFO

Byte Serializer

8B/10B Encoder

PRBSGenerator

TX Bit Slip

/2, /4

/2, /4

Parallel and Serial Clocks

Clock Divider

rx_pma_div_clkout

Serial Clock

Clock Generation Block (CGB)

tx_coreclkin

rx_coreclkin

rx_clkout ortx_clkout

Parallel Clock(Recovered)

Parallel Clock(From Clock

Divider)

tx_clkout

tx_clkout

tx_clkout

rx_clkout

PRBSVerifier

tx_pma_div_clkout

CMU PLL /ATX PLL /fPLL

/66

/40

/33

/2

Serializer

tx_pma_div_clkout

Serial Clock(from CGB)

tx_clkout

/66

/40

/33

/2

Deserializer

rx_pma_div_clkoutrx_clkout

Parallel ClockSerial ClockParallel and Serial Clocks

CDR RecoveredClock

The divided versions of the tx_clkout and rx_clkout are available astx_pma_div_clkout and rx_pma_div_clkout, respectively.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide385

Page 386: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The output frequency of tx_pma_div_clkout and rx_pma_div_clkout can be oneof the following:

• A divided down version of the tx_clkout or rx_clkout respectively, wheredivide by 1 and divide by 2 ratios are available.

• A divided down version of the serializer clock where divide by 33, 40, and 66ratios are available.

Note: Refer to the "TX PMA Optional Ports" table in PMA Parameters section for details aboutselecting the division factor.

These clocks can be used to meet core timing by operating the TX and RX FIFO indouble-width mode, as this halves the required clock frequency at the PCS to/fromFPGA interface. These clocks can also be used to clock the core side of the TX and RXFIFOs when the Enhanced PCS Gearbox is used.

For example, if you use the Enhanced PCS Gearbox with a 66:40 ratio, then you canuse tx_pma_div_clkout with a divide-by-33 ratio to clock the write side of the TXFIFO, instead of using a PLL to generate the required clock frequency, or using anexternal clock source.

Related Information

PMA Parameters on page 51

3.6. Transmitter Data Path Interface Clocking

The clocks generated by the PLLs are used to clock the channel PMA and PCS blocks.The clocking architecture is different for the standard PCS and the enhanced PCS.

Figure 181. Transmitter Standard PCS and PMA Clocking

The master or the local CGB provides the high speed serial clock to the serializer of the transmitter PMA, andthe low speed parallel clock to the transmitter PCS.

Input Reference Clock

Transmitter Standard PCS Transmitter PMA

Serializer

tx_serial_data

FPGAFabric

TX FIFO

Byte Serializer

8B/10B Encoder

PRBSGenerator

TX Bit Slip

/2, /4

Parallel Clock

Serial Clock

Parallel and Serial Clock Parallel and Serial Clock

Clock Divider

Serial Clock

Clock Generation Block (CGB)ATX PLL

CMU PLL fPLL

tx_coreclkin

tx_clkout

tx_clkout

tx_pma_div_clkout

From Receiver Standard PCS

In the Standard PCS, for configurations that do not use the byte serializer, the parallelclock is used by all the blocks up to the read side of the TX phase compensation FIFO.For configurations that use the byte serializer block, the clock divided by 2 or 4 is usedby the byte serializer and the read side of the TX phase compensation FIFO. The clockused to clock the read side of the TX phase compensation FIFO is also forwarded tothe FPGA fabric to provide an interface between the FPGA fabric and the transceiver.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide386

Page 387: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

If the tx_clkout that is forwarded to the FPGA fabric is used to clock the write sideof the phase compensation FIFO, then both sides of the FIFO have 0 ppm frequencydifference because it is the same clock that is used.

If you use a different clock than the tx_clkout to clock the write side of the phasecompensation FIFO, then you must ensure that the clock provided has a 0 ppmfrequency difference with respect to the tx_clkout.

Figure 182. Transmitter Enhanced PCS and PMA ClockingThe master or local CGB provides the serial clock to the serializer of the transmitter PMA, and the parallel clockto the transmitter PCS.

Transmitter Enhanced PCSTransmitter PMA

TXGe

arbo

x

tx_s

erial

_dat

a

Seria

lizer

Inte

rlake

n Di

spar

ity G

ener

ator

Scra

mble

r

Parallel Clock

PRBSGenerator

PRPGenerator

Parallel ClockSerial ClockParallel and Serial Clocks

Clock Divider

Parallel and Serial Clocks

Clock Generation Block (CGB)

Serial Clock

Input Reference Clock

ATX PLLfPLL

CMU PLL

tx_pma_div_clkout

Enha

nced

PCS

TX FI

FO

Inte

rlake

n Fra

me G

ener

ator

Inte

rlake

n CR

C32 G

ener

ator

64B/

66B E

ncod

eran

d TX S

M

TX Data & Control

FPGAFabric

tx_c

orec

lkin

tx_clkout

KR FE

CTX

Gea

rbox

KR FE

CSc

ram

bler

KR FE

CEn

code

r

Trans

code

Enco

der

In the Enhanced PCS, the parallel clock is used by all the blocks up to the read side ofthe TX phase compensation FIFO. The clocks of all channels in bonded configurationare forwarded. You can pick tx_clkout[0] as the source for clocking their TX logic incore.

For the enhanced PCS, the transmitter PCS forwards the following clocks to the FPGAfabric:

tx_clkout for each transmitter channel in non-bonded and bonded configuration. Inbonded configuration, any tx_clkout can be used depending on your core timingrequirements.

You can clock the transmitter datapath interface using one of the following methods:

• Quartus Prime selected transmitter datapath interface clock

• User-selected transmitter datapath interface clock

3.7. Receiver Data Path Interface Clocking

The CDR block present in the PMA of each channel recovers the serial clock from theincoming data. The CDR block also divides the recovered serial clock to generate therecovered parallel clock. Both the recovered serial and the recovered parallel clocksare used by the deserializer. The receiver PCS can use the following clocks based onthe configuration of the receiver channel:

• Recovered parallel clock from the CDR in the PMA.

• Parallel clock from the clock divider used by the transmitter PCS (if enabled) forthat channel.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide387

Page 388: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

For configurations that use the byte deserializer block, the clock divided by 2 or 4 isused by the byte deserializer and the write side of the RX phase compensation FIFO.

Figure 183. Receiver Standard PCS and PMA Clocking

RX FIFO

ByteDeserializer

8B/10B Decoder

Rate Match FIFO

Receiver PMA

Word Aligner

Deserializer

CDR

Receiver Standard PCS

rx_serial_data

FPGAFabric

/2, /4

Parallel Clock

Serial Clock

Parallel and Serial Clock Parallel and Serial Clock

Clock Divider

rx_pma_div_clkout

Serial Clock

Clock Generation Block (CGB)ATX PLL

CMU PLL fPLL

rx_coreclkin

rx_clkout ortx_clkout

Parallel Clock(Recovered)

Parallel Clock(From Clock

Divider)

tx_clkout

rx_clkout

PRBSVerifier

All configurations that use the standard PCS channel must have a 0 ppm phasedifference between the receiver datapath interface clock and the read side clock of theRX phase compensation FIFO.

Figure 184. Receiver Enhanced PCS and PMA ClockingReceiver PMA Receiver Enhanced PCS

rx_s

erial

_dat

a

Dese

rializ

er

CDR

Descr

amble

r

Inte

rlake

n Di

spar

ity Ch

ecke

r

Bloc

kSy

nchr

onize

r

Inte

rlake

n Fra

me S

ync

RXGe

arbo

x

PRBSVerifier

Trans

code

Deco

der

KR FE

C RX

Gear

box

KR FE

CDe

code

r

KR FE

CBl

ock S

ync

KR FE

CDe

scram

bler

64B/

66B D

ecod

eran

d RX S

M

10GBASE-R BER Checker

PRP

rx_pma_div_clkout

Verifier

rx_c

orec

lkin

rx_clkoutEn

hanc

ed PC

S RX

FIFO

Inte

rlake

n CR

C32 C

heck

er

FPGAFabric

Parallel Clock

Serial Clock

Parallel and Serial Clock

The receiver PCS forwards the following clocks to the FPGA fabric:

• rx_clkout — for each receiver channel when the rate matcher is not used.

• tx_clkout — for each receiver channel when the rate matcher is used.

You can clock the receiver datapath interface using one of the following methods:

• Quartus Prime selected receiver datapath interface clock

• User-selected receiver datapath interface clock

Related Information

Unused or Idle Clock Line Requirements on page 389For more information about unused or idle transceiver clock lines in design.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide388

Page 389: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

3.8. Unused/Idle Clock Line Requirements

Unused or idle transceiver clock lines can degrade if the devices are powered up tonormal operating conditions and not configured. This affects designs that configuretransceiver RX channels to use the idle clock lines at a later date by using dynamicreconfiguration or a new device programming file. Clock lines affected are unused, oridle RX serial clock lines. Active RX serial clock lines and non-transceiver circuits arenot impacted by this issue.

In order to prevent the performance degradation, for idle transceiver RX channels,recompile designs with Intel Quartus Prime version 16.1 or later with the assignmentdescribed in the link shown below. The CLKUSR pin must be assigned a 100-125 MHzclock. For used transceiver TX and RX channels, do not assert the analog reset signalsindefinitely.

Related Information

Unused Transceiver channels Settings on page 606For more information about unused or idle transceiver clock lines in the design. Itdescribes the unused or idle RX serial clock lines assignments in the qsf file.

3.9. Channel Bonding

For Arria 10 devices, two types of bonding modes are available:

• PMA bonding

• PMA and PCS bonding

Note: Channel bonding is not supported by GT channels.

Related Information

Resetting Transceiver Channels on page 416

Refer to the Timing Constraints for Bonded PCS and PMA Channels section in theResetting Transceiver Channels chapter for additional details.

3.9.1. PMA Bonding

PMA bonding reduces skew between PMA channels. In PMA bonding, only the PMAportion of the transceiver datapath is skew compensated and the PCS is not skewcompensated.

In Arria 10 devices, there are two PMA bonding schemes:

• x6/xN bonding

• PLL feedback compensation bonding

In either case, the channels in the bonded group need not be placed contiguously.

3.9.1.1. x6/xN Bonding

In x6/xN bonding mode, a single transmit PLL is used to drive multiple channels.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide389

Page 390: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The steps below explain the x6/xN bonding process:

1. The ATX PLL or the fPLL generates a high speed serial clock.

2. The PLL drives the high speed serial clock to the master CGB via the x1 clocknetwork.

3. The master CGB drives the high speed serial and the low speed parallel clock intothe x6 clock network.

4. The x6 clock network feeds the TX clock multiplexer for the transceiver channelswithin the same transceiver bank. The local CGB in each transceiver channel isbypassed.

5. To drive the channels in adjacent transceiver banks, the x6 clock network drivesthe xN clock network. The xN clock network feeds the TX clock mutiplexer for thetransceiver channels in these adjacent transceiver banks.

x6/xN Bonding Disadvantagesx6/xN Bonding has the following disadvantages:

• The maximum data rate is restricted based on the transceiver supply voltage.Refer to Arria 10 Device Data Sheet.

• The maximum channel span is limited to two transceiver banks above and belowthe bank containing the transmit PLL. Thus, the maximum span of 30 channels issupported.

Related Information

• xN Clock Lines on page 379

• Arria 10 Device Datasheet

3.9.1.2. PLL Feedback Compensation Bonding

In PLL feedback compensation bonding, channels are divided into bonded groupsbased on physical location with a three-channel or six-channel transceiver bank. Allchannels within the same six-channel transceiver bank are assigned to the samebonded group.

In PLL feedback compensation bonding, each bonded group is driven by its own set ofhigh-speed serial and low-speed parallel clocks. Each bonded group has its own PLLand master CGB. To maintain the same phase relationship, the PLL and master CGBfor different groups share the same reference clocks.

The steps below explain the PLL feedback compensation bonding process:

1. The same input reference clock drives the local PLL in each three-channel or six-channel transceiver bank.

2. The local PLL for the bonding group drives the master CGB.

3. The master CGB feeds the x6 clock lines. The master CGB drives the transceiverchannels in the bonding group via the x6 clock network.

4. The parallel output of the master CGB is the feedback input to the PLL.

5. In this mode, all channels are phase aligned to the same input reference clock.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide390

Page 391: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

PLL Feedback Compensation Bonding Advantages over x6/xN Bonding Mode

• There is no data rate restriction. The x6 clock network used for PLL feedbackcompensation bonding can run up to the maximum data rate of the device used.

• There is no channel span limitation. It is possible to bond the entire side of thedevice using PLL feedback compensation.

PLL Feedback Compensation Bonding Disadvantages over x6/xN BondingMode

• It uses more resources compared to x6/xN bonding. One PLL and one master CGBare used per transceiver bank. This causes higher power consumption comparedto x6/xN bonding.

• The skew is higher compared to x6/xN bonding. The reference clock skew betweeneach transceiver bank is higher than the skew contributed by the xN clock networkin x6/xN bonding.

• Because the feedback clock for the PLL comes from the master CGB and not fromthe PLL, the PLL feedback compensation bonding mode has a reference clocklimitation. The PLL's N-counter (reference clock divider) is bypassed resulting inonly one valid reference clock frequency for a given data rate.

• Feedback compensation bonding only supports integer mode.

Note: In order to minimize the reference clock skew for PLL feedback compensation bonding,use a reference clock input near the center of the bonded group.

x6/xN Bonding Advantages over PLL Feedback Compensation Bonding

• x6/xN uses less resources compared to PLL feedback compensation bonding. Onlyone PLL and one master CGB are required to drive all channels in the bondedgroup.

• x6/xN has lower skew compared to PLL feedback compensation bonding.

Related Information

Implementing PLL Feedback Compensation Bonding Mode on page 405

3.9.2. PMA and PCS Bonding

PMA and PCS bonding reduces skew between both the PMA and PCS outputs within agroup of channels.

For PMA bonding, either x6/xN or PLL feedback compensation bonding is used. ForPCS bonding, some of the PCS control signals within the bonded group are skewaligned using dedicated hardware inside the PCS.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide391

Page 392: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 185. PMA and PCS Bonding

Slave PCSChannel

PMA

Slave PCSChannel

PMA

Master PCSChannel

PMA

Slave PCSChannel

PMA

Slave PCSChannel

PMA

Slave PCSChannel

PMA

DistributionDelay (Cycles)

4

2

0

2

4

6

StartingDelay (Cycles)

2

4

6

4

2

0

For PMA and PCS bonding, the concept of master and slave channels is used. One PCSchannel in the bonded group is selected as the master channel and all others are slavechannels. To ensure that all channels start transmitting data at the same time and inthe same state, the master channel generates a start condition. This condition istransmitted to all slave channels. The signal distribution of this start condition incurs atwo parallel clock cycle delay. Because this signal travels sequentially through eachPCS channel, this delay is added per channel. The start condition used by each slavechannel is delay compensated based on the slave channel's distance from the masterchannel. This results in all channels starting on the same clock cycle.

The transceiver PHY IP automatically selects the center channel to be the master PCSchannel. This minimizes the total starting delay for the bonded group. For PLLfeedback compensation bonding up to all channels on one side can be bonded if themaster PCS channel is placed in the center of the bonded group.

Note: Because the PMA and PCS bonding signals travel through each PCS block, the PMA andPCS bonded groups must be contiguously placed. The channel order needs to bemaintained when doing the pin assignments to the dedicated RX serial inputs and TXserial outputs (for example: PIN_BC7 and PIN_BC8 for GXBR4D_TX_CH0p andGXBR4D_TX_CH0n TX serial outputs). Channels need to be placed in an ascendingorder from bottom to top. Swapping of channels, when doing pin assignments, leadsto errors.

3.9.3. Selecting Channel Bonding Schemes

In Arria 10 devices, select PMA and PCS bonding for bonded protocols that areexplicitly supported by the hard PCS blocks. For example, PCI Express, SFI-S, and40GBASE-KR.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide392

Page 393: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Select PMA-only bonding when a bonded protocol is not explicitly supported by thehard PCS blocks. For example, for Interlaken protocol, PMA-only bonding is used and asoft PCS bonding IP is implemented in the FPGA fabric.

3.9.4. Skew Calculations

To calculate the maximum skew between the channels, the following parameters areused:

• PMA to PCS datapath interface width (S)

• Maximum difference in number of parallel clock cycles between deassertion ofeach channel's FIFO reset (N).

To calculate the channel skew, the following five scenarios are considered:

• Non-bonded

In this case, both the PMA and PCS are non-bonded. Skew ranges from 0 UI to[(S-1) + N*S] UI.

• PMA bonding using x6 / xN clock network

In this case, the PCS is non-bonded. Skew ranges from [0 to (N*S)] UI + x6/xNclock skew.

• PMA bonding using the PLL feedback compensation clock network

In this case, the PCS is non-bonded. Skew ranges from [0 to (N*S)] UI +(reference clock skew) + (x6 clock skew).

• PMA and PCS bonding using the x6 / xN clock network

Skew = x6 / xN clock skew.

• PMA and PCS bonding using PLL feedback compensation clock network

Skew = (reference clock skew) + (x6 clock skew).

3.10. PLL Feedback and Cascading Clock Network

The PLL feedback and cascading clock network spans the entire side of the device, andis used for PLL feedback compensation bonding and PLL cascading.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide393

Page 394: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 186. PLL Feedback and Cascading Clock Network

PLL Feedback and Cascading Clock Network

fPLL1

fbclk

refclk

C

ATX PLL 1

refclk

fbclk

Master CGB1

fPLL0

refclk

fbclk

C

ATX PLL 0

refclk

fbclk

Master CGB0

BidirectionalTristate Buffer

Bidirectional Tristate Buffer

0 1 2 3

refclk Linesfbclk LinesC, M, and CGB Outputs

Legend

Transceiver Bank

PLL CascadingPLL Feedback Compensation Bonding

Connection (1)

Connection (3)

Connection (2)

Connection (4)

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide394

Page 395: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

To support PLL feedback compensation bonding and PLL cascading, the followingconnections are present:

1. The C counter output of the fPLL drives the feedback and cascading clocknetwork.

2. The feedback and cascading clock network drives the feedback clock input ofall PLLs.

3. The feedback and cascading clock network drives the reference clock input ofall PLLs.

4. The master CGB’s parallel clock output drives the feedback and cascadingclock network.

For PLL cascading, connections (1) and (3) are used to connect the output of one PLLto the reference clock input of another PLL.

The transceivers in Arria 10 devices support fPLL to fPLL, and ATX PLL to fPLL(via dedicated ATX PLL to fPLL cascade path) cascading. Only maximum twoPLLs allowed in the cascading chain.

Note: When the fPLL is used as a cascaded fPLL (downstream fPLL), a user recalibration onthe fPLL is required. Refer to "User Recalibration" section in "Calibration" chapter formore information.

For PLL feedback compensation bonding, connections (2) and (4) are used to connectthe master CGB's parallel clock output to the PLL feedback clock input port.

PLL feedback compensation bonding can be used instead of xN bonding. The primarydifference between PLL feedback compensation and xN bonding configurations, is forPLL feedback compensation, the bonded interface is broken down into smaller groupsof 6 bonded channels within a transceiver bank. A PLL within each transceiver bank(ATX PLL or fPLL) is used as a transmit PLL. All the transmit PLLs share the same inputreference clock.

In xN bonding configurations, one PLL is used for each bonded group. In PLL feedbackcompensation bonding, one PLL is used for each transceiver bank that the bondedgroup spans. There are no data rate limitations in PLL feedback compensationbonding, other than the natural data rate limitations of the transceiver channel andthe PLL.

For feedback compensation bonding, the low-speed parallel clock must be the samefrequency as the reference clock for the PLL.

fPLL Driving the Core

The fPLL can be used to drive the FPGA fabric. To ensure phase alignment between theinput reference clock and the fPLL output clock, the fPLL needs to be configured ininteger mode. Refer to the following figures when doing dynamic reconfiguration.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide395

Page 396: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 187. Fractional and not Phase Aligned

N CounterL Counter

fPLL M CounterC Counters

/2

/2

PFD VCOrefclk

PhaseMultiplexer

Figure 188. Integer and Phase Aligned

N CounterL Counter

fPLL M Counter

C Counters

/2

/2

PFD VCOrefclk

PhaseMultiplexer

PLL Feedback Clock Network

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide396

Page 397: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 189. Integer Mode phase aligned and external feedbackpm_iqtxrx_t[5:0]

0 1 2 3 4 5

Ch5

4

6

fbclk

refclk

PMA_RX_CLKPMA_TX_CLK

RX pin (1)

RX pin (1)

RX pin (1)

RX pin (1)

RX pin (1)

RX pin (1)

PMA_RX_CLKPMA_TX_CLK

ch5_iqtxrxclk_2

pm_iqtxrxclk_top[5:0]

pm_iqtxrxclk_top[3:0]

ch5_iqtxrxclk_5

Ch4

4

6

fbclk

refclk

PMA_RX_CLKPMA_TX_CLK

PMA_RX_CLKPMA_TX_CLK

ch4_iqtxrxclk_4

pm_iqtxrxclk_top[5:0]

pm_iqtxrxclk_top[3:0]

ch4_iqtxrxclk_4

Ch3

4

6

fbclk

refclk

PMA_RX_CLKPMA_TX_CLK

PMA_RX_CLKPMA_TX_CLK

ch3_iqtxrxclk_0

pm_iqtxrxclk_top[5:0]

pm_iqtxrxclk_top[3:0]

ch3_iqtxrxclk_5

fPLL 1

6

4

refclk

fbclk

fpll_t_iqtxrxclk

pm_iqtxrxclk_top[5:0]

pm_iqtxrxclk_top[3:0]

C

LCPLL 1

6

4

refclk

fbclk

lc_t_iqtxrxclk

pm_iqtxrxclk_top[5:0]

pm_iqtxrxclk_top[3:0]

M

MasterCGB 1

Note: (1) RX pin used as reference clock

You must recalibrate the fPLL when you enable the phase alignment option.

1. Modify the fPLL IP to enable fPLL reconfiguration

• Under the Dynamic Reconfiguration Tab, turn ON Enable dynamicreconfiguration.

2. Create logics in the core to perform following steps:

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide397

Page 398: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

• Read-Modify-Write 0x1 to offset address 0x126[0] of the fPLL to select internalfeedback.

• Read-Modify-Write 0x1 to offset address 0x100 of the fPLL, then Read-Modify-Write 0x1 to offset address 0x000 of the fPLL to request PreSICE to recalibratethe fPLL.

• Monitor bit 1 of offset address of 0x280 of the fPLL and wait until this bitchanges to zero. This indicates recalibration is completed. Ensure the fPLLachieves lock.

• Read-Modify-Write 0x0 to offset address 0x126[0] of the fPLL to select theexternal feedback path.

3. Monitor the fPLL lock signal, wait until the fPLL achieves lock.

Related Information

• User Recalibration on page 576

• Implementing PLL Cascading on page 408

3.11. Using PLLs and Clock Networks

In Arria 10 devices, PLLs are not integrated in the Native PHY IP core. You mustinstantiate the PLL IP cores separately. Unlike in previous device families, PLL mergingis no longer performed by the Quartus Prime software. This gives you more control,transparency, and flexibility in the design process. You can specify the channelconfiguration and PLL usage.

Related Information

PLLs and Clock Networks on page 347

3.11.1. Non-bonded Configurations

In a non-bonded configuration, only the high speed serial clock is routed from thetransmitter PLL to the transmitter channel. The low speed parallel clock is generatedby the local clock generation block (CGB) present in the transceiver channel. For non-bonded configurations, because the channels are not related to each other and thefeedback path is local to the PLL, the skew between channels cannot be calculated.Also, the skew introduced by the clock network is not compensated.

3.11.1.1. Implementing Single Channel x1 Non-Bonded Configuration

In x1 non-bonded configuration, the PLL source is local to the transceiver bank andthe x1 clock network is used to distribute the clock from the PLL to the transmitterchannel.

For a single channel design, a PLL is used to provide the clock to a transceiverchannel.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide398

Page 399: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 190. PHY IP Core and PLL IP Core Connection for Single Channel x1 Non-BondedConfiguration Example

Transceiver PLLInstance (5 GHz)

PLL

Native PHY Instance(1 CH Non-Bonded 10 Gbps)

TX Channel

To implement this configuration, instantiate a PLL IP core and a PHY IP core andconnect them together as shown in the above figure.

Steps to implement a Single Channel x1 Non-Bonded Configuration

1. Instantiate the PLL IP core (ATX PLL, fPLL, or CMU PLL) you want to use in yourdesign.

• Refer to Instantiating the ATX PLL IP Core on page 354 or Instantiating CMUPLL IP Core on page 370 or Instantiating the fPLL IP Core on page 362 fordetailed steps.

2. Configure the PLL IP core using the IP Parameter Editor.

• For ATX PLL IP core, do not include the Master CGB.

• For fPLL IP core, set the PLL feedback operation mode to direct.

• For CMU PLL IP core, specify the reference clock and the data rate. No specialconfiguration rule is required.

3. Configure the Native PHY IP core using the IP Parameter Editor .

• Set the Native PHY IP Core TX Channel bonding mode to Non Bonded .

4. Connect the PLL IP core to the Native PHY IP core. Connect the tx_serial_clkoutput port of the PLL to IP to the corresponding tx_serial_clk0 input port ofthe Native PHY IP core. This port represents the input to the local CGB of thechannel. The tx_serial_clk for the PLL represents the high speed serial clockgenerated by the PLL.

3.11.1.2. Implementing Multi-Channel x1 Non-Bonded Configuration

This configuration is an extension of the x1 non-bonded case. In the followingexample, 10 channels are connected to two instances of the PLL IP core. Two PLLinstances are required because PLLs using the x1 clock network can only span the 6channels within the same transceiver bank. A second PLL instance is required toprovide the clock to the remaining 4 channels.

Because 10 channels are not bonded and are unrelated, you can use a different PLLtype for the second PLL instance. It is also possible to use more than two PLL IP coresand have different PLLs driving different channels. If some channels are running atdifferent data rates, then you need different PLLs driving different channels.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide399

Page 400: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 191. PHY IP Core and PLL IP Core Connection for Multi-Channel x1 Non-BondedConfiguration

Transceiver PLLInstance (5 GHz)

fPLL

Transceiver PLLInstance (5 GHz)

fPLL

Native PHY Instance(10 CH Non-Bonded 10 Gbps)

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

Legend:

TX channels placed in the adjacent transceiver bank.

TX channels placed in the same transceiver bank.

Steps to implement a Multi-Channel x1 Non-Bonded Configuration

1. Choose the PLL IP core (ATX PLL, fPLL, or CMU PLL) you want to instantiate inyour design and instantiate the PLL IP core.

• Refer to Instantiating the ATX PLL IP Core on page 354 or Instantiating CMUPLL IP Core on page 370 or Instantiating the fPLL IP Core on page 362 fordetailed steps.

2. Configure the PLL IP core using the IP Parameter Editor

• For the ATX PLL IP core do not include the Master CGB. If your design uses theATX PLL IP core and more than 6 channels, the x1 Non-Bonded Configurationis not a suitable option. Multi-channel xN Non-Bonded or Multi-Channel x1/xNNon-Bonded are the required configurations when using the ATX PLL IP coreand more than 6 channels in the Native PHY IP core.

• Refer to Figure 192 on page 401 Implementing Multi-Channel xN Non-BondedConfiguration section or the Figure 193 on page 403 Multi-Channel x1/xN Non-Bonded Example.

• For the fPLL IP core, set the PLL feedback operation mode to direct.

• For the CMU PLL IP core, specify the reference clock and the data rate. Nospecial configuration rule is required.

3. Configure the Native PHY IP core using the IP Parameter Editor

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide400

Page 401: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

• Set the Native PHY IP core TX Channel bonding mode to Non-Bonded.

• Set the number of channels as per your design requirement. In this example,the number of channels is set to 10.

4. Create a top level wrapper to connect the PLL IP core to the Native PHY IP core.

• The tx_serial_clk output port of the PLL IP core represents the highspeed serial clock.

• The Native PHY IP core has 10 (for this example) tx_serial_clk inputports. Each port corresponds to the input of the local CGB of the transceiverchannel.

• As shown in the figure above, connect the first 6 tx_serial_clk input tothe first transceiver PLL instance.

• Connect the remaining 4 tx_serial_clk input to the second transceiverPLL instance.

3.11.1.3. Implementing Multi-Channel xN Non-Bonded Configuration

Using the xN non-bonded configuration reduces the number of PLL resources and thereference clock sources used.

Figure 192. PHY IP Core and PLL IP Core Connection for Multi-Channel xN Non-BondedConfigurationIn this example, the same PLL is used to drive 10 channels across two transceiver banks.

Transceiver PLLInstance (5 GHz)

ATX PLL

Native PHY Instance(10 CH Non-Bonded 10 Gbps)

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

MasterCGB

xN

Legend:

TX channels placed in the adjacent transceiver bank.

TX channels placed in the same transceiver bank.

x1 x6

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide401

Page 402: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Steps to implement a multi-channel xN non-bonded configuration

1. You can use either the ATX PLL or fPLL for multi-channel xN non-bondedconfiguration.

• Refer to Instantiating the ATX PLL IP Core on page 354 or Instantiating thefPLL IP Core on page 362 for detailed steps.

• Because the CMU PLL cannot drive the master CGB, only the ATX PLL or fPLLcan be used for this example.

2. Configure the PLL IP core using the IP Parameter Editor. Enable IncludeMaster Clock Generation Block .

3. Configure the Native PHY IP core using the IP Parameter Editor

• Set the Native PHY IP core TX Channel bonding mode to Non-Bonded .

• Set the number of channels as per your design requirement. In this example,the number of channels is set to 10.

4. Create a top level wrapper to connect the PLL IP core to the Native PHY IP core.

• In this case, the PLL IP core has mcgb_serial_clk output port. Thisrepresents the xN clock line.

• The Native PHY IP core has 10 (for this example) tx_serial_clk inputports. Each port corresponds to the input of the local CGB of the transceiverchannel.

• As shown in the figure above, connect the mcgb_serial_clk output port ofthe PLL IP core to the 10 tx_serial_clk input ports of the Native PHY IPcore.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide402

Page 403: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 193. Multi-Channel x1/xN Non-Bonded ExampleThe ATX PLL IP core has a tx_serial_clk output port. This port can optionally be used to clock the sixchannels within the same transceiver bank as the PLL. These channels are clocked by the x1 network. Theremaining four channels outside the transceiver bank are clocked by the xN clock network.

Transceiver PLLInstance (5 GHz)

ATX PLL

Native PHY Instance(10 CH Non-Bonded 10 Gbps)

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

CGB

x1

xN

Legend:

TX channels placed in the adjacent transceiver bank.

TX channels placed in the same transceiver bank.

3.11.2. Bonded Configurations

In a bonded configuration, both the high speed serial and low speed parallel clocks arerouted from the transmitter PLL to the transmitter channel. In this case, the local CGBin each channel is bypassed and the parallel clocks generated by the master CGB areused to clock the network.

In bonded configurations, the transceiver clock skew between the channels isminimized. Use bonded configurations for channel bonding to implement protocolssuch as PCIe and XAUI.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide403

Page 404: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

3.11.2.1. Implementing x6/xN Bonding Mode

Figure 194. PHY IP Core and PLL IP Core Connection for x6/xN Bonding Mode

Transceiver PLLInstance (5 GHz)

ATX PLL

Native PHY Instance(10 CH x6/xN Bonding 10 Gbps)

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

Master

xN

x6

xN

xN

xN

x6

x6

x6

x6

x6

x6

Legend:

TX channels placed in the adjacent transceiver bank.

TX channels placed in the same transceiver bank.

CGBx1

Steps to implement a x6/xN bonded configuration

1. You can instantiate either the ATX PLL or the fPLL for x6/xN bonded configuration.

• Refer to Instantiating the ATX PLL IP Core on page 354 or Instantiating thefPLL IP Core on page 362 for detailed steps. Because the CMU PLL cannotdrive the Master CGB, only the ATX PLL or fPLL can be used for bondedconfigurations.

2. Configure the PLL IP core using the IP Parameter Editor. Enable IncludeMaster Clock Generation Block and Enable bonding clock output ports.

3. Configure the Native PHY IP core using the IP Parameter Editor .

• Set the Native PHY IP core TX Channel bonding mode to either PMAbonding or PMA/PCS bonding .

• Set the number of channels required by your design. In this example, thenumber of channels is set to 10.

4. Create a top level wrapper to connect the PLL IP core to Native PHY IP core.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide404

Page 405: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

• In this case, the PLL IP core has tx_bonding_clocks output bus with width[5:0].

• The Native PHY IP core has tx_bonding_clocks input bus with width [5:0]multiplied by the number of transceiver channels (10 in this case). For 10channels, the bus width is [59:0].

Note: While connecting tx_bonding_clocks, leave tx_serial_clk opento avoid any Intel Quartus Prime software fitter errors.

• Connect the PLL IP core to the PHY IP core by duplicating the output of thePLL[5:0] for the number of channels. For 10 channels, the Verilog syntax forthe input port connection is .tx_bonding_clocks({10{tx_bonding_clocks_output}}) .

Note: Although the above diagram looks similar to the 10-channel non-bonded configurationexample, the clock input ports on the transceiver channels bypass the local CGB inx6/xN bonding configuration. This internal connection is taken care of when theNative PHY channel bonding mode is set to Bonded.

Figure 195. x6/xN Bonding Mode —Internal Channel Connections

CDR

CGBCh 0

CDR

CGBCh 1

CDR

CGBCh 2

(1)

Note: (1) The local CGB is bypassed by the clock input ports in bonded mode.

(1)

(1)

Related Information

xN Clock Lines on page 379Information on xN Clock Network Span.

3.11.2.2. Implementing PLL Feedback Compensation Bonding Mode

In this bonding mode, the channel span limitations of xN bonding mode are removed.This is achieved by dividing all channels into multiple bonding groups.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide405

Page 406: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 196. PHY IP Core and PLL IP Core Connection for PLL Feedback CompensationBonding

Transceiver PLLInstance (5 GHz)

fPLL

Native PHY Instance(10 CH Bonded 10 Gbps)

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

TX Channel

CGB x6

x6

Feedback Clock

Transceiver PLLInstance (5 GHz)

fPLL CGB

Feedback Clock

Legend:

TX channels placed in the adjacent transceiver bank.

TX channels placed in the same transceiver bank.

Reference clock

The data rate is limited by the x6 network speed limit. A disadvantage of using PLLfeedback compensation bonding is that it consumes more PLL resources. Eachtransceiver bank consumes one PLL and one master CGB.

In PLL feedback compensation bonding mode, the N counter (reference clock divider)is bypassed in order to ensure that the reference clock skew is minimized between thePLLs in the bonded group. Because the N counter is bypassed, the PLL reference clockhas a fixed value for any given data rate.

The PLL IP Core Parameter Editor window displays the required data rate in thePLL reference clock frequency drop down menu.

Steps to implement a PLL Feedback Compensation Bonding Configuration

1. Instantiate the PLL IP core (ATX PLL or fPLL) you want to use in your design. Referto Instantiating the ATX PLL IP Core on page 354 or Instantiating the fPLL IP Coreon page 362 for detailed steps. Because the CMU PLL cannot drive the masterCGB, only the ATX PLL or fPLL can be used for feedback compensation bonding.

2. Configure the PLL IP core using the IP Parameter Editor.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide406

Page 407: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

• If you use the ATX PLL, set the following configuration settings:

— Under the Master Clock Generation Block Tab

• Enable Include Master Clock Generation Block.

• Turn ON Enable Bonding Clock output ports.

• Turn ON Enable feedback compensation bonding.

— Under the Dynamic Reconfiguration Tab

• Turn ON Enable dynamic reconfiguration

• If you use the fPLL, set the following configuration settings:

— Under the PLL Tab

• Set the PLL Feedback type to feedback compensation bonding.

— Under the Master Clock Generation Block Tab

• Turn ON Enable Bonding Clock output ports.

— Under the Dynamic Reconfiguration Tab

• Turn ON Enable dynamic reconfiguration

3. Configure the Native PHY IP core using the IP Parameter Editor

• Set the Native PHY IP core TX Channel bonding mode to either PMAbonding or PMA/PCS bonding.

• Turn ON Enable dynamic reconfiguration

4. Create a top level wrapper to connect the PLL IP cores to Native PHY IP core.

• In this case, the PLL IP core has tx_bonding_clocks output bus with width[5:0].

• The Native PHY IP core has tx_bonding_clocks input bus with width [5:0]multiplied by the number of channels in a transceiver bank. (six channels inthe transceiver bank).

• Unlike the x6/xN bonding mode, for this mode, the PLL should be instantiatedmultiple times. (One PLL is required for each transceiver bank that is a part ofthe bonded group.) Instantiate a PLL for each transceiver bank used.

• Connect the tx_bonding_clocks output from each PLL to (up to) sixchannels in the same transceiver bank.

• Connect the PLL IP core to the PHY IP core by duplicating the output of thePLL[5:0] for the number of transceiver channels used in the bonding group.

Steps to recalibrate the PLL after power up calibration

1. Dynamic reconfigure the PLL to change the feedback from the master CGB tofeedback from PLL.

• For ATX PLL, Read-Modify-Write 0x1 to offset address 0x110[2] of the ATXPLL.

• For fPLL, Read-Modify-Write 0x1 to offset address 0x126[0] of the fPLL.

2. Recalibrate the PLL.

3. After recalibration completes, ensure the PLL achieves lock. Dynamic reconfigurethe PLL to change the feedback to master CGB.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide407

Page 408: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

• For ATX PLL, Read-Modify-Write 0x0 to offset address 0x110[2] of the ATXPLL.

• For fPLL, Read-Modify-Write 0x0 to offset address 0x126[0] of the fPLL.

4. Recalibrate TX PMA of all the bonded channels driven by the ATX PLL or the fPLL.

Note: For this 10-channel example, two ATX PLLs are instantiated. Six channels of thetx_bonding_clocks on the Native PHY IP core are connected to the first ATX PLLand the remaining four channels are connected to the second ATX PLL'stx_bonding_clock outputs.

Related Information

• ATX PLL Recalibration on page 581

• Fractional PLL Recalibration on page 581

• PMA Recalibration on page 582

3.11.3. Implementing PLL Cascading

In PLL cascading, the output of the first PLL feeds the input reference clock to thesecond PLL.

For example, if the input reference clock has a fixed frequency, and the desired datarate was not an integer multiple of the input reference clock, the first PLL can be usedto generate the correct reference clock frequency. This output is fed as the inputreference clock to the second PLL. The second PLL generates the clock frequencyrequired for the desired data rate.

The transceivers in Arria 10 devices support fPLL to fPLL cascading. For OTN and SDIapplications, there is a dedicated clock path for cascading ATX PLL to fPLL in Arria 10production silicon. Only maximum two PLLs are allowed in the cascading chain.

Note: When the fPLL is used as a cascaded fPLL (downstream fPLL), a user recalibration onthe fPLL is required. Refer to "User Recalibration" section in "Calibration" chapter formore information.

Figure 197. PLL Cascading

pll_refclk0 hssi_pll_cascade_clk

pll_powerdown pll_locked

pll_refclk0

pll_powerdown

fPLL (Cascade Source) fPLL (Transceiver PLL)

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide408

Page 409: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Steps to implement fPLL to fPLL cascading:

1. Instantiate the fPLL IP core. Refer to Instantiating the fPLL IP Core on page 362for detailed steps.

2. Set the following configuration settings for the fPLL IP core in the ParameterEditor:

• Set the fPLL Mode to Cascade Source.

• Set the Desired output clock frequency.

3. Instantiate the fPLL IP core (the second PLL in PLL cascading configuration). Referto Instantiating the fPLL IP Core on page 362 for detailed steps.

4. Configure the second fPLL IP core for the desired data rate and the reference clockfrequency. Set reference clock frequency for the second fPLL same as the outputfrequency of the first fPLL.

5. Connect the fPLL IP core (cascade source) to fPLL IP core (transceiver PLL) asshown in the above figure. Ensure the following connections:

• The fPLL has an output port hssi_pll_cascade_clk. Connect this port tothe second fPLL's pll_refclk0 port.

6. Set the source (upstream) fPLL bandwidth to Low setting and the destination(downstream) fPLL bandwidth to High setting.

7. If the input reference clock is available at device power-up, the first PLL iscalibrated during the power-up calibration. The second PLL need to berecalibrated. Refer to the User Recalibration section. If the input reference clock isnot available at device power-up, then re-run the calibration for the first PLL. Afterthe first PLL has been calibrated, re-calibrate the second PLL.

Notes:

• No special configuration is required for the Native PHY instance.

• ATX PLL to fPLL cascading mode is added to address the OTN and SDI jitterrequirement. In this mode, ATX PLL generates a relatively high and cleanreference frequency in fractional mode. The reference is driving the fPLL, which isrunning in integer mode. Overall cascaded two PLLs, synthesize a neededfrequency for a given data rate.

Related Information

User Recalibration on page 576

3.11.4. Mix and Match Example

In the Arria 10 transceiver architecture, the separate Native PHY IP core and the PLLIP core scheme allows great flexibility. It is easy to share PLLs and reconfigure datarates. The following design example illustrates PLL sharing and both bonded and non-bonded clocking configurations.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide409

Page 410: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 198. Mix and Match Design Example

Transceiver Bank

Transceiver Bank

ATX PLL4 GHz

Transceiver Bank

fPLL, 5.15625 GHz

Transceiver Bank

fPLL5.15625 GHz

Interlaken 12.5G

1.25G

Interlaken 12.5GInterlaken 12.5GInterlaken 12.5GInterlaken 12.5GInterlaken 12.5G

Interlaken 12.5GInterlaken 12.5GInterlaken 12.5GInterlaken 12.5G10GBASE-KR10GBASE-KR

10GBASE-KR10GBASE-KR

1.25G1.25G1.25G

PCIe Gen 1/2/3 x8

1.25G GbE1.25G GbE

PCIe Gen 1/2/3 x8PCIe Gen 1/2/3 x8PCIe Gen 1/2/3 x8

PCIe Gen 1/2/3 x8PCIe Gen 1/2/3 x8PCIe Gen 1/2/3 x8PCIe Gen 1/2/3 x8UnusedUnused

Transceiver Bank

ATX PLL6.25 GHz MCGB

xN

x6

x1

xN

x6MCGB

fPLL2.5 GHz mcgb_aux_clk0

fPLL, 625 MHz x1

x1

Interlaken12.5G10GBASE-KR1.25G/9.8G/10.3125G

Legend1.25G GbEPCIe Gen 1/2/3Unused channel

PLL Instances

In this example, two ATX PLL instances and five fPLL instances are used. Choose anappropriate reference clock for each PLL instance. The IP Catalog lists the availablePLLs.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide410

Page 411: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Use the following data rates and configuration settings for PLL IP cores:

• Transceiver PLL Instance 0: ATX PLL with output clock frequency of 6.25 GHz

— Enable the Master CGB and bonding output clocks.

• Transceiver PLL instance 1: fPLL with output clock frequency of 5.1625 GHz

• Transceiver PLL instance 2: fPLL with output clock frequency of 5.1625 GHz

• Transceiver PLL instance 3: fPLL with output clock frequency of 0.625 GHz

— Select the Use as Transceiver PLL option.

• Transceiver PLL instance 4: fPLL with output clock frequency of 2.5 GHz

— Select Enable PCIe* clock output port option.

— Select Use as Transceiver PLL option.

• Set Protocol Mode to PCIe Gen2.

— Select the Use as Core PLL option

• Set the Desired frequency to 500 MHz with a phase shift of 0 ps.

• Transceiver PLL instance 6: ATX PLL with output clock frequency of 4 GHz

— Enable Master CGB and bonding output clocks.

— Select Enable PCIe clock switch interface option.

— Set Number of Auxiliary MCGB Clock Input ports to 1.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide411

Page 412: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Native PHY IP Core Instances

In this example, four Transceiver Native PHY IP core instances and four 10GBASE-KRPHY IP instances are used. Use the following data rates and configuration settings forthe PHY IPs:

• 12.5 Gbps Interlaken with a bonded group of 10 channels

— Set the Interlaken 10x12.5 Gbps preset from the Arria 10 Transceiver NativePHY IP core GUI.

— Refer to Interlaken on page 94 for more details.

• Custom multi-data rate 1.25G/9.8G/10.3125 Gbps non-bonded group of fourchannels

— Set the Number of data channels to 4.

— Set TX channel bonding to Not Bonded.

— Under the TX PMA tab, set the Number of TX PLL clock inputs perchannel to 3.

— Under the RX PMA tab, set the Number of CDR reference clocks to 3.

• 1.25 Gbps Gigabit Ethernet with a non-bonded group of two channels

— Set the GIGE-1.25Gbps preset from the Arria 10 Transceiver Native PHY IPcore GUI.

— Change the Number of data channels to 2.

• PCIe Gen3 with a bonded group of 8 channels

— Set the PCIe PIPE Gen3x8 preset from the Arria 10 Transceiver Native PHYIP core GUI.

— Under TX Bonding options, set the PCS TX channel bonding master tochannel 5.

Note: The PCS TX channel bonding master must be physically placed inchannel 1 or channel 4 within a transceiver bank. In this example, the5th channel of the bonded group is physically placed at channel 1 in thetransceiver bank.

— Refer to PCI Express (PIPE) on page 229 for more details.

• 10.3125 Gbps 10GBASE-KR non-bonded group of 4 channels

— Instantiate the Arria 10 1G/10GbE and 10GBASE-KR PHY IP four times, withone instance for each channel.

— Refer to 10GBASE-KR PHY IP Core on page 135 for more details.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide412

Page 413: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Connection Guidelines for PLL and Clock Networks

• For 12.5 Gbps Interlaken with a bonded group of 10 channels, connect thetx_bonding_clocks to the transceiver PLL's tx_bonding_clocks output port.Make this connection for all 10 bonded channels. This connection uses a masterCGB and the x6 / xN clock line to reach all the channels in the bonded group.

• Connect the tx_serial_clk port of the first two instances of the 10GBASE-KRPHY IP to the tx_serial_clk port of PLL instance 1 (fPLL at 5.1625 GHz). Thisconnection uses the x1 clock line within the transceiver bank.

• Connect the tx_serial_clk port of the remaining two instances of the10GBASE-KR PHY IP to the tx_serial_clk port of the PLL instance 2 (fPLL at5.1625 GHz). This connection uses the x1 clock line within the transceiver bank.

• Connect the three tx_serial_clk ports for the custom multi-data rate PHY IP asfollows:

— Connect tx_serial_clk0 port to the tx_serial_clk port of PLL instance 2(fPLL at 5.1625 GHz). This PLL instance is shared with the two 10GBASE-KRPHY IP channels and also uses the x1 clock line within the transceiver bank.

• Connect the 1.25 Gbps Gigabit Ethernet non-bonded PHY IP instance to thetx_serial_clk port of the PLL instance 5. Make this connection twice, one foreach channel. This connection uses the x1 clock line within the transceiver bank.

• Connect the PCIe Gen3 bonded group of 8 channels as follows:

— Connect the tx_bonding_clocks of the PHY IP to the tx_bonding_clocksport of the Transceiver PLL Instance 6. Make this connection for each of the 8bonded channels.

— Connect the pipe_sw_done of the PHY IP to the pipe_sw port of thetransceiver PLL instance 6.

— Connect the pll_pcie_clk port of the PLL instance 5 to the PHY IP'spipe_hclk_in port.

— Connect tx_serial_clk port of the PLL instance 5 to the mcgb_aux_clk0port of the PLL instance 6. This connection is required as a part of the PCIespeed negotiation protocol.

3.11.5. Timing Closure Recommendations

Register mode is harder to close timing in Arria 10 devices. Intel recommends usingnegative edge capture on the RX side for periphery to core transfers greater than 240MHz. To be specific, capture on a negative edge clock in the core and thenimmediately transfer to a positive edge clock.

• Use PCLK clock network for frequencies up to 250 MHz.

• Local routing is recommended for higher frequencies.

For core to periphery transfers on TX targeting higher frequencies (beyond 250 MHz),Intel recommends using TX Fast Register mode as the PCS FIFO mode. This is themode with PCLK that should be used by default for most 10GbE 1588 modes and 9.8Gbps/10.1376 Gbps CPRI mode.

• You can use local routing to get up to 320 MHz in Register mode for the highestspeed grade.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide413

Page 414: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

3.12. PLLs and Clock Networks Revision History

DocumentVersion

Changes

2018.06.15 Made the following change:• For fPLL IP Core, added OTN_direct, SATA_Gen3 and HDMI to the range for Protocol Mode.

2017.11.06 Made the following changes:• Updated the "ATX PLL-to-ATX PLL Spacing Guidelines" section with GT channels information.• Added note "Sourcing reference clock from a cascaded PLL output, global clock or core clock

network will introduce additional jitter to transmit PLL output. Refer to KDB "How do I compensatefor the jitter of PLL cascading or non-dedicated clock path for Arria 10 PLL reference clock?" formore details."

• Added guidance for jitter compliance for data rates >10 Gbps in the following sections:— "fPLL"— "CMU PLL"— "Input Reference Clock Sources"

2016.10.31 Made the following change:• New section Unused/Idle Clock Line Requirements added.

2016.05.02 • Updated ATX PLL, fPLL and CMU PLL parameters.• Updated ATX PLL and fPLL ports.• Added new parameters and ports when fPLL is used in core mode.• Provided additional details for ATX PLL and fPLL fractional mode usage in the "Delta Sigma

Modulator" section.• Added a new section describing "ATX PLL multi-profile and embedded reconfiguration".

2016.02.11 Made the following changes:• Updated the optimal performance placement guidelines for ATX PLL VCO frequencies.• Updated placement recommendations for different protocols - OTU2e, OTU2, OC-192, 6G and 12G

SDI.• Updated the "FPGA Fabric - Transceiver Interface Clocking" figure.• Updated the maximum data rate to 25.8 Gbps.

2015.12.18 Made the following changes:• Updated the “PLL Cascading” figure.• Updated the "Dedicated Reference Clock Pins" in the "Input Reference Clock Sources" section.

2015.11.02 Made the following changes:• Updated ATX PLL, CMU PLL and fPLL Configuration Options, Parameters and Settings.• Updated ATX PLL placement in figures and examples.• Clarified PLL to PLL cascade support.• Created TX PLL Recommendations based on datarates.• Updated ATX PLL, fPLL and CMU PLL Quartus settings.• Added details and figures for the fPLL driving the fabric use cases.• Updated PLL Feedback and Cascading Clock Network figure.• Updated steps to implement PLL cascading.

2015.05.11 Made the following changes:• Updated ATX PLL, CMU PLL and FPLL Configuration Options, Parameters and Settings.• Modified Transmit PLLs Data Rate Range in Arria 10 Devices.• Increased xN clock network channel span.• Added ATX PLL to fPLL cascading details.

2014.12.15 Made the following changes:• Added a note about PLL cascading support in ACDS 14.1 version of Quartus II software.• Corrected the minimum data rate supported by ATX PLL in Table: Transmit PLLs in Arria 10 Devices.• Corrected the error in PLL output frequency range for ATX PLL and CMU PLL IP cores.• Corrected the PLL reference clock frequency range for ATX PLL IP core.

continued...

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide414

Page 415: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

DocumentVersion

Changes

• Added a note about jitter performance in Input Reference Clock Sources section.• Updated the Mix and Match Design Example figure to indicate that MCGB is used in the example.• Changed the minimum data rate supported by the PLLs to 1 Gbps.

2014.08.15 Made the following changes:• Changed the maximum data rate for GT channels to 25.8 Gbps.• Changed figure "Arria 10 PLLs and Clock Networks" to indicate channel 0,1,3, and 5 have only the

CDR PLL.• Updated figure "x1 Clock Lines" to indicate that the channel PLL of channel 1 and channel 4 can be

used as CMU PLL or as a CDR.• Updated ATX PLL, fPLL, and CMU PLL section with a clarification about input reference clock

frequency stability at device power-up.• Updated Instantiating ATX PLL, fPLL, and CMU PLL topics with new IP instantiation flow.• Updated ATX PLL and fPLL architecture block diagrams to show global clock or core clock as an

input reference clock.• Updated ATX PLL IP section with 14.0 A10 release changes

— Added fractional mode support.— Added embedded debug parameters in table ATX PLL Dynamic Reconfiguration.

• Updated fPLL IP section with 14.0A10 release changes— Removed "fPLL -Clock Switch over Parameter and Settings" table.— Updated table "fPLL Parameter and Settings".— Added embedded debug parameters in table "fPLL - Dynamic Reconfiguration Parameters and

Settings".— Removed Number of auxiliary MCGB clock input ports from fPLL IP parameters.

• Added global clock or core clock as an input reference clock source.• Added a new section for Global Clock or Core Clock as an Input Reference Clock.• Updated figure "Input Reference Clock Sources".• Updated Dedicated Reference Clock Pins section "Dedicated Reference Clock Pins".

— Added a connection to indicate that dedicated refclk pins can drive the reference clock network.— Removed a wrong connection from the diagram.

• Updated xN Clock Lines section with maximum channel span limitations and added a exception forQPI protocols.

• Added a new image in the FPGA Fabric-Transceiver Interface Clocking section.• Added a new section for Channel Bonding describing PMA bonding, PMA and PCS bonding in detail.• Removed xN Clock Network Data Rate Restrictions table.• Updated chapter to indicate Arria 10 Transceivers support fPLL to fPLL, fPLL to ATX PLL, and fPLL to

CMU PLL cascading.• Updated Using PLLs and Clock Networks section

— Changed MegaWizard references to IP Catalog and Parameter Editor.— Updated the valid configurations for PLL IP and Native PHY IP per 14.0A10 release change.

• Removed Table "xN Clock Network Data Rate Restrictions".• Updated the chapter to indicate Arria 10 transceivers support to fPLL to fPLL, fPLL to ATX PLL, and

fPLL to CMU PLL cascading.

2013.12.02 Initial release.

3. PLLs and Clock Networks

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide415

Page 416: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

4. Resetting Transceiver ChannelsTo ensure that transceiver channels are ready to transmit and receive data, you mustproperly reset the transceiver PHY. Intel recommends a reset sequence that ensuresthe physical coding sublayer (PCS) and physical medium attachment (PMA) in eachtransceiver channel initialize and function correctly. You can either use the TransceiverPHY Reset Controller or create your own reset controller.

4.1. When Is Reset Required?

You can reset the transmitter (TX) and receiver (RX) data paths independently ortogether. The recommended reset sequence requires reset and initialization of the PLLdriving the TX or RX channels, as well as the TX and RX datapaths. A reset is requiredafter any of the following events:

Table 244. Reset Conditions

Event Reset Requirement

Device power up andconfiguration

Requires reset to the transceiver PHY and the associated PLLs to a known initialize state.

PLL reconfiguration Requires reset to ensure that the PLL acquires lock and also to reset the PHY. Both thePLL and the transmitter channel must be held in reset before performing PLLreconfiguration.

PLL reference clock frequencychange

Requires reset to the PLL to ensure PLL lock. You must also reset the PHY.

PLL recalibration Requires reset to the PLL to ensure PLL lock. You must also reset the PHY. Both the PLLand the transmitter channel must be held in reset before performing PLL recalibration.

PLL lock loss or recovery Requires reset after a PLL acquired lock from a momentary loss of lock. You must alsoreset the PHY.

Channel dynamicreconfiguration

Requires holding the channel in reset before performing a dynamic reconfiguration thatcauses rate change. A PLL reset is not required during channel reconfiguration.

Optical module connection Requires reset of RX to ensure lock of incoming data.

RX CDR lock mode change Requires reset of the RX channel any time the RX clock and data recovery (CDR) blockswitches from lock-to-reference to lock-to-data RX channel.

UG-01143 | 2018.06.15

Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartusand Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or othercountries. Intel warrants performance of its FPGA and semiconductor products to current specifications inaccordance with Intel's standard warranty, but reserves the right to make changes to any products and servicesat any time without notice. Intel assumes no responsibility or liability arising out of the application or use of anyinformation, product, or service described herein except as expressly agreed to in writing by Intel. Intelcustomers are advised to obtain the latest version of device specifications before relying on any publishedinformation and before placing orders for products or services.*Other names and brands may be claimed as the property of others.

ISO9001:2008Registered

Page 417: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

4.2. Transceiver PHY Implementation

Figure 199. Typical Transceiver PHY Implementation

(user-coded

Reset ControllerTransceiver PHY Instance

tx_analogresettx_digitalresetrx_analogresetrx_digitalreset

tx_cal_busy (1)rx_cal_busyrx_is_lockedtorefrx_is_lockedtodata

TransmitPLL

pll_p

ower

down

pll_c

al_bu

sy (1

)pll

_loc

ked

clock

ReceiverPCS

ReceiverPMA

TransmitterPCS

TransmitterPMA

or Intel IP)

Notes: (1) You can logical OR the pll_cal_busy and tx_cal_busy signals. (2) tx_analogreset_ack and rx_analogreset_ack are status signals from the Transceiver PHY IP core when these ports are enabled for manual user implementation of Model 2.

tx_analogreset_ack (2)

rx_analogreset_ack (2)

rese

t_re

q_0

rese

t_ou

t_0

rese

t_re

q_1

rese

t_ou

t_1

tre_r

eset

_req

tre_r

eset

_in

tre_r

eset

_req

tre_r

eset

_in

Transceiver Reset Sequencer Inferred Blockclk_usrpin

Optional

user reset

Transceiver Reset Endpoints—The Transceiver PHY IP core contains TransceiverReset Endpoints (TREs)(59).

Transceiver Reset Sequencer—The Quartus Prime software detects the presence ofTREs and automatically inserts only one Transceiver Reset Sequencer (TRS)(59). Thetx_analogreset and rx_analogreset requests from the reset controller (Usercoded or Transceiver PHY Reset Controller) is received by the TREs. The TRE sends thereset request to the TRS for scheduling. TRS schedules all the requested PMA resetsand sends them back to TREs. You can use either Transceiver PHY Reset Controller oryour own reset controller. However, for the TRS to work correctly, the required timingduration must be followed. See Figure 200 on page 419 for required timing duration.

Note: • The TRS IP is an inferred block and is not visible in the RTL. You have no controlover this block.

CLKUSR connection—The clock to the TRS must be stable and free-running(100-125 MHz). By default, the Quartus Prime software automatically connects theTRS clock input to the CLKUSR pin on the device. If you are using the CLKUSR pin foryour own logic (feeding it to the core), you must instantiatealtera_a10_xcvr_clock_module:

altera_a10_xcvr_clock_module reset_clock (.clk_in(mgmt_clk));

For more information about the CLKUSR pin, refer to the Arria 10 Pin ConnectionGuidelines.

(59) There is only one centralized TRS instantiated for one or more Native PHY.

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide417

Page 418: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Note: To successfully complete the calibration process, the reference clocks driving the PLLs(ATX PLL, fPLL, CDR/CMU PLL) must be stable and free running at start of FPGAconfiguration. Otherwise, recalibration is necessary.

Related Information

• Arria 10 Pin Connection Guidelines

• Calibration on page 567For more information about the calibration process

4.3. How Do I Reset?

You reset a transceiver PHY or PLL by integrating a reset controller in your systemdesign to initialize the PCS and PMA blocks. You can save time by using the Intel-provided Transceiver PHY Reset Controller IP core, or you can implement your ownreset controller that follows the recommended reset sequence. You can design yourown reset controller if you require individual control of each signal for reset or needadditional control or status signals as part of the reset functionality.

You can choose from two models for resetting the transceivers, based on yourapplications:

• Model 1—Default model (Minimum Assertion Time Requirement)

Choose the Arria 10 Default Settings preset for the Transceiver PHY ResetController IP.

The chapter Transceiver Reset Control in Arria 10 Devices explains the defaultmodel in detail.

• Model 2—Acknowledgment model

This model uses an event-driven mechanism. The model is used for applicationswith strict timing requirements.

4.3.1. Model 1: Default Model

4.3.1.1. Recommended Reset Sequence

How to Enable Model 1

Choose the Figure 200 on page 419 for the Transceiver PHY Reset Controller IP. Thispopulates the reset duration fields with the correct values required by the transceiverreset sequencer (TRS).

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide418

Page 419: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 200. Arria 10 Default Settings Preset

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide419

Page 420: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 201. Transmitter and Receiver Reset Sequence

FPGA DevicePower Up/Operation

Ensure CalibrationCompleted

PLL,TX/RX Analog Reset Asserted

Associated PLL/CDR Locked

Release TX/RXDigital Reset

TX/RX ResetCompleted

Transmitor

Receive1

2

3

5

6

7

4

Wait for required time and all gating conditions and release PLL/Analog resets

8

4.3.1.1.1. Resetting the Transmitter During Device Operation

Follow this reset sequence to reset the PLL or the analog or digital blocks of thetransmitter at any point during the device operation. Use this reset sequence toreestablish a link or after dynamic reconfiguration. The following steps detail thetransmitter reset sequence during device operation. The step numbers correspond tothe numbers in the following figure.

1. Perform the following steps:

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide420

Page 421: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

a. Assert tx_analogreset, pll_powerdown, and tx_digitalreset whilepll_cal_busy and tx_cal_busy are low.

b. Deassert pll_powerdown after a minimum duration of 70 μs.

c. Deassert tx_analogreset. This step can be done at the same time or afteryou deassert pll_powerdown.

2. The pll_locked signal goes high after the TX PLL acquires lock. Wait for aminimum of 70 μs after deasserting tx_analogreset to monitor thepll_locked signal.

3. Deassert tx_digitalreset, after pll_locked goes high. Thetx_digitalreset signal must stay asserted for a minimum ttx_digitalresetduration after tx_analogreset is deasserted.

Note: You must reset the PCS blocks by asserting tx_digitalreset, every timeyou assert pll_powerdown and tx_analogreset.

Figure 202. Transmitter Reset Sequence During Device Operation

Device Power Up

pll_cal_busy

tx_cal_busy

tx_analogreset

pll_powerdown

pll_locked

Note:(1) The Arria 10 Default setting presets tx_digitalreset to 70 μs.

tx_digitalreset

treq = 70 μs

(1)ttx_digitalreset

treq

treq

1 2 3

(2) Area in gray is don’t care logic state.

Related Information

Arria 10 Device Datasheet

4.3.1.1.2. Resetting the Receiver During Device Operation

Follow this reset sequence to reset the analog or digital blocks of the receiver at anypoint during the device operation. Use this reset to re-establish a link or after dynamicreconfiguration.

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide421

Page 422: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Clock Data Recovery in Auto Lock Mode

The step numbers correspond to the numbers in the following figure:

1. Assert rx_analogreset and rx_digitalreset. Ensure that rx_cal_busy islow. You must reset the PCS by asserting rx_digitalreset every time youassert rx_analogreset.

2. Deassert rx_analogreset after a minimum duration of 70 μs.

3. Ensure rx_is_lockedtodata is asserted for tLTD (minimum of 4 μs) beforedeasserting rx_digitalreset.

Figure 203. Resetting the Receiver During Device Operation (Auto Mode)

Device Power Up

rx_cal_busy

rx_analogreset

rx_is_lockedtodata

rx_digitalreset

1 2 3

treq

t LTD min 4 μs

treq = 70 μs

Note: rx_is_lockedtodata will toggle when there is no data at the receiver input.rx_is_lockedtoref is a don't care when rx_is_lockedtodata is asserted.

Clock Data Recovery in Manual Lock Mode

Use the clock data recovery (CDR) manual lock mode to override the default CDRautomatic lock mode depending on your design requirements.

Related Information

"Transceiver PHY Reset Controller IP Core" chapter of the Altera Transceiver PHY IPCore User Guide.

Refer to the description of the rx_digitalreset signal in the "Top-Level Signals"table for information about using the manual lock mode.

Control Settings for CDR Manual Lock ModeUse the following control settings to set the CDR lock mode:

Table 245. Control Settings for the CDR in Manual Lock Mode

rx_set_locktoref rx_set_locktodata CDR Lock Mode

0 0 Automatic

1 0 Manual-RX CDR LTR

X 1 Manual-RX CDR LTD

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide422

Page 423: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Resetting the Transceiver in CDR Manual Lock Mode

The numbers in this list correspond to the numbers in the following figure, whichguides you through the steps to put the CDR in manual lock mode.

1. Make sure that the calibration is complete (rx_cal_busy is low) and thetransceiver goes through the initial reset sequence. The rx_digitalreset andrx_analogreset signals should be low. The rx_is_lockedtoref is a don'tcare and can be either high or low. The rx_is_lockedtodata and rx_readysignals should be high, indicating that the transceiver is out of reset. Alternatively,you can start directly with the CDR in manual lock mode after the calibration iscomplete.

2. Assert the rx_set_locktoref signal high to switch the CDR to the lock-to-reference mode. The rx_is_lockedtodata status signal is deasserted. Assertthe rx_digitalreset signal high at the same time or afterrx_set_lockedtoref is asserted if you use the user-coded reset. When theTransceiver PHY reset controller is used, the rx_digitalreset is automaticallyasserted.

3. After the rx_digitalreset signal gets asserted, the rx_ready status signal isdeasserted.

4. Assert the rx_set_locktodata signal high, tLTR_LTD_Manual (minimum 15 μs)after the CDR is locked to reference. rx_is_locktoref should be high andstable for a minimum tLTR_LTD_Manual (15 μs), before assertingrx_set_locktodata. This is required to filter spurious glitches onrx_is_lockedtoref. The rx_is_lockedtodata status signal gets asserted,which indicates that the CDR is now set to LTD mode.The rx_is_lockedtoref status signal can be a high or low and can be ignoredafter asserting rx_set_locktodata high after the CDR is locked to reference.

5. Deassert the rx_digitalreset signal after a minimum of tLTD_Manual (4 μs).

6. If you are using the Transceiver PHY Reset Controller, the rx_ready status signalgets asserted after the rx_digitalreset signal is deasserted. This indicatesthat the receiver is now ready to receive data with the CDR in manual mode.

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide423

Page 424: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 204. Reset Sequence Timing Diagram for Receiver when CDR is in Manual LockMode

rx_digitalreset

rx_set_locktoref

rx_set_locktodata

rx_is_lockedtoref

rx_is_lockedtodata

rx_analogreset

rx_ready

Status Signals

Control Signals

1

2

2

4

5

6

3

1

1

1

1

2

4

4

rx_cal_busy

LTR_LTD_Manualt

LTD_Manualt

4.3.1.1.3. Resetting the Transceiver Channel During Device Operation

The numbers in this list correspond to the numbers in the following figure.

1. Assert tx_analogreset, pll_powerdown, tx_digitalreset,rx_analogreset, and rx_digitalreset. Ensure that pll_cal_busy,tx_cal_busy, and rx_cal_busy are low.

2. Deassert pll_powerdown and tx_analogreset at the same time, after aminimum duration of 70 μs.

3. The pll_locked signal goes high after the TX PLL acquires lock. Wait for aminimum 70 μs after deasserting tx_analogreset to monitor the pll_lockedsignal.

4. Deassert tx_digitalreset after pll_locked goes high. Thetx_digitalreset signal must stay asserted for a minimum ttx_digitalreset(minimum of 70 μs) duration after tx_analogreset is deasserted.

5. Deassert rx_analogreset after deasserting tx_analogreset.

6. Ensure rx_is_lockedtodata is asserted for tLTD (minimum of 4 μs) beforedeasserting rx_digitalreset.

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide424

Page 425: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 205. Resetting the Transceiver Channel During Device Operation

Device Power Up

pll_cal_busy

tx_analogreset

pll_locked

tx_digitalreset

1 3

tx_cal_busy

rx_cal_busy

pll_powerdown

5 6

4

rx_is_lockedtodata

rx_digitalreset

rx_analogreset

treq = 70 μs

treq treq

2t LTD min 4 μs

rx_digitalresett min 70 μs

4.3.1.1.4. Dynamic Reconfiguration of Channel Using the Default Model

TX Channel

The numbers in this list correspond to the numbers in the following figure.

1. Assert tx_analogreset, pll_powerdown, and tx_digitalreset, whilepll_cal_busy and tx_cal_busy are low.

2. Perform dynamic reconfiguration after minimum 70 μs of assertingtx_analogreset.

3. Deassert pll_powerdown after performing a dynamic reconfiguration.

Deassert tx_analogreset. This step can be done at the same time or after youdeassert pll_powerdown.

4. The pll_locked signal goes high after the TX PLL acquires lock. Wait forminimum 70 μs after deasserting tx_analogreset to monitor the pll_lockedsignal.

5. Deassert tx_digitalreset after pll_locked goes high. Thetx_digitalreset signal must stay asserted for a minimum ttx_digitalresetduration after tx_analogreset is deasserted.

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide425

Page 426: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 206. Dynamic Reconfiguration of Transmitter Channel During Device Operation

Device Power Up

pll_cal_busy

tx_cal_busy

tx_analogreset

pll_powerdown

pll_locked

tx_digitalreset

1 3 52

LegalReconfiguration

Window

4

treq treq

ttx_digitalreset (1)

treq = 70 μs

Note:(1) The Arria 10 Default setting presets ttx_digitalreset to 70 μs.

(2) Area in gray is don’t care zone.

RX Channel

The numbers in this list correspond to the numbers in the following figure.

1. Assert rx_analogreset and rx_digitalreset. Ensure that rx_cal_busy islow. You must reset the PCS by asserting rx_digitalreset every time youassert rx_analogreset.

2. Perform dynamic reconfiguration after minimum 70 μs of assertingrx_analogreset.

3. Deassert rx_analogreset after performing dynamic reconfiguration.

4. The rx_is_lockedtodata signal goes high after the CDR acquires lock.

5. Ensure rx_is_lockedtodata is asserted for tLTD (minimum of 4 μs) beforedeasserting rx_digitalreset.

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide426

Page 427: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 207. Dynamic Reconfiguration of Receiver Channel During Device Operation

1 3

Device Power Up

rx_cal_busy

rx_analogreset

rx_is_lockedtodata

rx_digitalreset

2 4 5

LegalReconfiguration

Windowtreq

t LTD min 4 μs

treq = 70 μs

4.3.2. Model 2: Acknowledgment Model

The acknowledgment model uses an event-driven mechanism. It is used forapplications with strict timing requirements. Instead of waiting for a minimumassertion time of 70 μs for tx_analogreset and rx_analogreset, you must waitto receive the acknowledgment from the Transceiver Native PHY IP core to ensuresuccessful assertion and deassertion of the analog resets.

To enable the acknowledgment model, enable the following ports in the TransceiverNative PHY IP core:

• Enable the tx_analog_reset_ack port in the TX PMA

Figure 208. Enabling the tx_analog_reset_ack Port

• Enable the rx_analog_reset_ack port in the RX PMA

Figure 209. Enabling the rx_analog_reset_ack Port

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide427

Page 428: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Note: tx_analog_reset_ack and rx_analog_reset_ack must be treated asasynchronous signals. You must pass them through a synchronizer before sendingthem to control logic.

4.3.2.1. Recommended Reset Sequence

4.3.2.1.1. Resetting the Transmitter During Device Operation

The numbers in this list correspond to the numbers in the following figure.

1. Assert tx_analogreset, pll_powerdown, and tx_digitalreset, whilepll_cal_busy and tx_cal_busy are low.

2. Wait for tx_analogreset_ack to go high, to ensure successful assertion oftx_analogreset. tx_analogreset_ack goes high when TRS has successfullycompleted the reset request for assertion.

a. Deassert pll_powerdown after tpll_powerdown.

b. Deassert tx_analogreset. This step can be done at the same time or afteryou deassert pll_powerdown.

3. Wait for tx_analogreset_ack to go low, to ensure successful deassertion oftx_analogreset. tx_analogreset_ack goes low when TRS has successfullycompleted the reset request for deassertion.

4. The pll_locked signal goes high after the TX PLL acquires lock. Wait fortx_analogreset_ack to go low before monitoring the pll_locked signal.

5. Deassert tx_digitalreset a minimum ttx_digitalreset time after pll_lockedgoes high.

Figure 210. Transmitter Reset Sequence During Device Operation

Device Power Up

pll_cal_busy

tx_cal_busy

tx_analogreset

pll_powerdown

pll_locked

tx_analogreset_ack

1 2 3 54

tx_digitalreset ttx_digitalreset

(1) Area in gray is don’t care logic state.

Note:

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide428

Page 429: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

4.3.2.1.2. Resetting the Receiver During Device Operation

The numbers in this list correspond to the numbers in the following figure.

1. Assert rx_analogreset and rx_digitalreset while rx_cal_busy is low.

2. Wait for rx_analogreset_ack to go high, to ensure successful assertion ofrx_analogreset. rx_analogreset_ack goes high when TRS has successfullycompleted the reset request for assertion.

a. Deassert rx_analogreset.

3. Wait for rx_analogreset_ack to go low, to ensure successful deassertion ofrx_analogreset. rx_analogreset_ack goes low when TRS has successfullycompleted the reset request for deassertion.

4. The rx_is_lockedtodata signal goes high after the CDR acquires lock.

5. Ensure rx_is_lockedtodata is asserted for tLTD (minimum of 4 μs) beforedeasserting rx_digitalreset.

Figure 211. Receiver Reset Sequence During Device Operation

Device Power Up

rx_cal_busy

rx_analogreset

rx_is_lockedtodata

rx_digitalreset

rx_analogreset_ack

1 2 543

t LTD min 4 μs

4.3.2.1.3. Dynamic Reconfiguration of Transmitter Channel Using the AcknowledgmentModel

The numbers in this list correspond to the numbers in the following figure.

1. Assert tx_analogreset, pll_powerdown, and tx_digitalreset, whilepll_cal_busy and tx_cal_busy are low.

2. Wait for tx_analogreset_ack to go high, to ensure successful assertion oftx_analogreset. tx_analogreset_ack goes high when TRS has successfullycompleted the reset request for assertion.

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide429

Page 430: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

a. Perform dynamic reconfiguration.

b. Deassert pll_powerdown after tpll_powerdown.

c. Deassert tx_analogreset. This step can be done at the same time or afteryou deassert pll_powerdown.

3. Wait for tx_analogreset_ack to go low, to ensure successful deassertion oftx_analogreset. tx_analogreset_ack goes low when TRS has successfullycompleted the reset request for deassertion.

4. The pll_locked signal goes high after the TX PLL acquires lock. Wait fortx_analogreset_ack to go low before monitoring the pll_locked signal.

5. Deassert tx_digitalreset a minimum ttx_digitalreset time after pll_lockedgoes high.

Figure 212. Dynamic Reconfiguration of Transmitter Channel During Device Operation

Device Power Up

pll_cal_busy

tx_cal_busy

tx_analogreset

pll_powerdown

pll_locked

tx_analogreset_ack

1 2 3 54

tx_digitalreset ttx_digitalreset

LegalReconfiguration

Window

Area in gray is don’t care zone.

Note:

(1)

4.3.2.1.4. Dynamic Reconfiguration of Receiver Channel Using the Acknowledgment Model

The numbers in this list correspond to the numbers in the "Dynamic Reconfiguration ofReceiver Channel During Device Operation" figure below.

1. Assert rx_analogreset and rx_digitalreset while rx_cal_busy is low.

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide430

Page 431: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

a. To ensure successful assertion of rx_analogreset, wait forrx_analogreset_ack to go high. rx_analogreset_ack goes high whenthe TRS has successfully completed the reset request for assertion.

b. Deassert rx_analogreset.

2. To ensure successful deassertion of rx_analogreset, wait forrx_analogreset_ack to go low. rx_analogreset_ack goes low when the TRShas successfully completed the reset request for deassertion. (60), (61)

3. Wait for rx_analogreset_ack to go low; then ensure rx_is_lockedtodatasignal goes high after the CDR (automatic lock mode) is locked to data.

4. After rx_is_lockedtodata goes high, wait a minimum of tLTD (minimum of 4μs). Then deassert rx_digitalreset.

Figure 213. Dynamic Reconfiguration of Receiver Channel During Device Operation

Device Power Up

rx_cal_busy

rx_analogreset

rx_is_lockedtodata

rx_digitalreset

rx_analogreset_ackLegal

ReconfigurationWindow

1 2 43

t LTD min 4 μs

(60) If the CDR operates in manual lock mode, step 3 on page 431 and step 4 on page 431 are notapplicable. After rx_analogreset_ack goes low, apply the reset sequence from the "ResetSequence Timing Diagram for Receiver when CDR is in Manual Lock Mode" figure below.

(61) If the receiver signal detector is enabled and the CDR operates in manual lock mode, step 3 onpage 431 and step 4 on page 431 are not applicable. After rx_analogreset_ack goes low,wait for rx_std_signaldetect to go high. When rx_std_signaldetect is highcontinuously for 1 μs or more, apply the reset sequence from the "Reset Sequence TimingDiagram for Receiver when CDR is in Manual Lock Mode" figure below.

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide431

Page 432: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 214. Reset Sequence Timing Diagram for Receiver when CDR is in Manual LockMode

rx_digitalreset

rx_set_locktoref

rx_set_locktodata

rx_is_lockedtoref

rx_is_lockedtodata

rx_analogreset

rx_ready

Status Signals

Control Signals

1

2

2

4

5

6

3

1

1

1

1

2

4

4

rx_cal_busy

LTR_LTD_Manualt

LTD_Manualt

4.3.3. Transceiver Blocks Affected by Reset and Powerdown Signals

You must reset the digital PCS each time you reset the analog PMA or PLL. However,you can reset the digital PCS block alone.

Table 246. Transceiver Blocks Affected by Specified Reset and Powerdown Signals

TransceiverBlock

pll_powerdown tx_analogreset tx_digitalreset rx_analogreset rx_digitalreset

CMU PLL Yes

ATX PLL Yes

fPLL Yes

CDR Yes

ReceiverStandard PCS Yes

ReceiverEnhanced PCS Yes

Receiver PMA Yes

Receiver PCIeGen3 PCS Yes

TransmitterStandard PCS Yes

TransmitterEnhanced PCS Yes

Transmitter PMA Yes

Transmitter PCIeGen3 PCS Yes

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide432

Page 433: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Related Information

User Recalibration on page 576

4.4. Using the Transceiver PHY Reset Controller

Transceiver PHY Reset Controller is a configurable IP core that resets transceiversmainly in response to PLL lock activity. You can use this IP core rather than creatingyour own user-coded reset controller. You can define a custom reset sequence for theIP core. You can also modify the IP cores's generated clear text Verilog HDL file toimplement custom reset logic.

The Transceiver PHY Reset Controller handles all transceiver reset sequencing andsupports the following options:

• Separate or shared reset controls per channel in response to PLL lock activity

• Separate controls for the TX and RX channels and PLLs

• Synchronization of the reset inputs

• Hysteresis for PLL locked status inputs

• Configurable reset timing

• Automatic or manual reset recovery mode in response to loss of PLL lock

You should create your own reset controller if the Transceiver PHY Reset Controller IPdoes not meet your requirements, especially when you require independenttransceiver channel reset. The following figure illustrates the typical use of theTransceiver PHY Reset Controller in a design that includes a transceiver PHY instanceand the transmit PLL.

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide433

Page 434: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 215. Transceiver PHY Reset Controller System Diagram

(user-coded

Reset ControllerTransceiver PHY Instance

tx_analogresettx_digitalresetrx_analogresetrx_digitalreset

rx_cal_busyrx_is_lockedtorefrx_is_lockedtodata

TransmitPLL

pll_p

ower

down

pll_l

ocke

d

clock

reset

ReceiverPCS

ReceiverPMA

TransmitterPCS

TransmitterPMA

or Intel IP)

tx_analogreset_ack

rx_analogreset_ack

rese

t_re

q_0

rese

t_ou

t_0

rese

t_re

q_1

rese

t_ou

t_1

tre_r

eset

_req

tre_r

eset

_in

tre_r

eset

_req

tre_r

eset

_in

Transceiver Reset Sequencer Inferred Blockclk_usrpin

Optional

tx_ready rx_ready

Status Signals

tx_cal_busy (1)

pll_tx_cal_busy

pll_cal_busy (1)

You can logical OR the pll_cal_busy and tx_cal_busy signals. pll_tx_cal_busy connects to the controller’s tx_cal_busy input port.

Note:(1)

(2)

(2) The ports are inputs for user logic that implement Model 2. The ports can be used as status monitoring for Model 1 implementation.

.

(2)

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide434

Page 435: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The Transceiver PHY Reset Controller IP core connects to the Transceiver PHY and theTransmit PLL. The Transceiver PHY Reset Controller IP core receives status from theTransceiver PHY and the Transmit PLL. Based on the status signals or the reset input,it generates TX and RX reset signals to the Transceiver PHY and TX PLL.

The tx_ready signal indicates whether the TX PMA exits the reset state, and if the TXPCS is ready to transmit data. The rx_ready signal indicates whether the RX PMAexits the reset state, and if the RX PCS is ready to receive data. You must monitorthese signals to determine when the transmitter and receiver are out of the resetsequence.

4.4.1. Parameterizing the Transceiver PHY Reset Controller IP

This section lists steps to configure the Transceiver PHY Reset Controller IP Core in theIP Catalog. You can customize the following Transceiver PHY Reset Controllerparameters for different modes of operation by clicking Tools ➤ IP Catalog.

To parameterize and instantiate the Transceiver PHY Reset Controller IP core:

1. For Device Family, select your target device from the list.

2. Click Installed IP ➤ Library ➤ Interface Protocols ➤ Transceiver PHY ➤Transceiver PHY Reset Controller.

3. Select the options required for your design. For a description of these options,refer to the Transceiver PHY Reset Controller Parameters.

4. Click Finish. The wizard generates files representing your parameterized IPvariation for synthesis and simulation.

4.4.2. Transceiver PHY Reset Controller Parameters

The Quartus Prime software provides a GUI to define and instantiate a Transceiver PHYReset Controller to reset transceiver PHY and external PLL.

Table 247. General Options

Name Range Description

Number of transceiver channels 1-1000 Specifies the number of channels that connect tothe Transceiver PHY Reset Controller IP core. Theupper limit of the range is determined by yourFPGA architecture.

Number of TX PLLs 1-1000 Specifies the number of TX PLLs that connect tothe Transceiver PHY Reset Controller IP core.

Input clock frequency 1-500 MHz Input clock to the Transceiver PHY Reset ControllerIP core. The frequency of the input clock in MHz.The upper limit on the input clock frequency is thefrequency achieved in timing closure.

Synchronize reset input On /Off When On, the Transceiver PHY Reset Controllersynchronizes the reset to the Transceiver PHYReset Controller input clock before driving it to theinternal reset logic. When Off, the reset input isnot synchronized.

Use fast reset for simulation On /Off When On, the Transceiver PHY Reset Controlleruses reduced reset counters for simulation.

continued...

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide435

Page 436: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Name Range Description

Separate interface perchannel/PLL

On /Off When On, the Transceiver PHY Reset Controllerprovides a separate reset interface for eachchannel and PLL.

TX PLL

Enable TX PLL reset control On /Off When On, the Transceiver PHY Reset Controller IPcore enables the reset control of the TX PLL. WhenOff, the TX PLL reset control is disabled.

pll_powerdown duration 1-999999999 Specifies the duration of the PLL powerdown periodin ns. The value is rounded up to the nearest clockcycle. The default value is 1000 ns.

Synchronize reset input for PLLpowerdown

On /Off When On, the Transceiver PHY Reset Controllersynchronizes the PLL powerdown reset with theTransceiver PHY Reset Controller input clock. WhenOff, the PLL powerdown reset is not synchronized.

TX Channel

Enable TX channel reset control On /Off When On, the Transceiver PHY Reset Controllerenables the control logic and associated statussignals for TX reset. When Off, disables TX resetcontrol and status signals.

Use separate TX reset per channel On /Off When On, each TX channel has a separate reset.When Off, the Transceiver PHY Reset Controlleruses a shared TX reset controller for all channels.

TX digital reset mode Auto, Manual, ExposePort

Specifies the Transceiver PHY Reset Controllerbehavior when the pll_locked signal isdeasserted. The following modes are available:• Auto—The associated tx_digitalreset

controller automatically resets whenever thepll_locked signal is deasserted. Intelrecommends this mode.

• Manual—The associated tx_digitalresetcontroller is not reset when the pll_lockedsignal is deasserted, allowing you to choosecorrective action.

• Expose Port—The tx_manual signal is a top-level signal of the IP core. You can dynamicallychange this port to Auto or Manual. (1=Manual , 0 = Auto)

tx_analogreset duration 1-999999999 Specifies the time in ns to continue to asserttx_analoglreset after the reset input and allother gating conditions are removed. The value isrounded up to the nearest clock cycle.Note: Model 1 requires this to be set to 70 µs.

Select the Arria 10 Default Settingspreset.

tx_digitalreset duration 1-999999999 Specifies the time in ns to continue to assert thetx_digitalreset after the reset input and allother gating conditions are removed. The value isrounded up to the nearest clock cycle.Note: Model 1 requires this to be set to 70 µs.

Select the Arria 10 Default Settingspreset. The default value for Model 2 is 20ns.

pll_locked input hysteresis 0-999999999 Specifies the amount of hysteresis in ns to add tothe pll_locked status input to filter spuriousunreliable assertions of the pll_locked signal. A

continued...

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide436

Page 437: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Name Range Description

value of 0 adds no hysteresis. A higher value filtersglitches on the pll_locked signal. Intelrecommends that the amount of hysteresis belonger than tpll_lock_max_time.

RX Channel

Enable RX channel reset control On /Off When enabled, the IP enables control logic andstatus signals for the RX reset signals.

Use separate RX reset per channel On /Off When On, each RX channel has a separate resetinput. When Off, uses a shared RX reset controllerfor all channels.

RX digital reset mode Auto, Manual, ExposePort

Specifies the Transceiver PHY Reset Controllerbehavior when the PLL lock signal is deasserted.The following modes are available:• Auto—The associated rx_digitalreset

controller automatically resets whenever therx_is_lockedtodata signal is deasserted.

• Manual—The associated rx_digitalresetcontroller is not reset when therx_is_lockedtodata signal is deasserted,allowing you to choose corrective action.

• Expose Port—The rx_manual signal is a top-level signal of the IP core. If the core includesseparate reset control for each RX channel,each RX channel uses its respectiverx_is_lockedtodata signal for automaticreset control; otherwise, the inputs are ANDedto provide internal status for the shared resetcontroller.

rx_analogreset duration 1-999999999 Specifies the time in ns to continue to assert therx_analogreset after the reset input and allother gating conditions are removed. The value isrounded up to the nearest clock cycle. The defaultvalue is 40 ns.Note: Model 1 requires this to be set to 70 µs.

Select the Arria 10 Default Settingspreset.

rx_digitalreset duration 1-999999999 Specifies the time in ns to continue to assert therx_digitalreset after the reset input and allother gating conditions are removed. The value isrounded up to the nearest clock cycle. The defaultvalue is 4000 ns.

4.4.3. Transceiver PHY Reset Controller Interfaces

This section describes the top-level signals for the Transceiver PHY Reset Controller IPcore.

The following figure illustrates the top-level signals of the Transceiver PHY ResetController IP core. Many of the signals in the figure become buses if you chooseseparate reset controls. The variables in the figure represent the followingparameters:

• <n>—The number of lanes

• <p>—The number of PLLs

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide437

Page 438: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 216. Transceiver PHY Reset Controller IP Core Top-Level SignalsGenerating the IP core creates signals and ports based on your parameter settings.

pll_locked[<p>–1:0]pll_select[<p*n>–1:0] (1)tx_cal_busy[<n>–1:0]rx_cal_busy[<n>–1:0]rx_is_lockedtodata[<n>–1:0]

tx_manual[<n>–1:0]rx_manual[<n>–1:0]

clockreset

Transceiver PHY Reset Controller Top-Level Signals

tx_digitalreset[<n>–1:0]tx_analogreset[<n>–1:0]

tx_ready[<n>–1:0]

rx_digitalreset[<n>–1:0]rx_analogreset[<n>–1:0]

rx_ready[<n>–1:0]

pll_powerdown[<p>–1:0]

PLL and Calibration

Status

PLL Powerdown

TX and RX Resets and Status

Clockand Reset

PLLControl

Note:(1) n=1 for pll_select signal width when a single TX reset sequence is used for all channels.

Note: PLL control is available when you enable the Expose Port parameter.

Table 248. Top-Level SignalsThis table describes the signals in the above figure in the order that they are shown in the figure.

Signal Name Direction Clock Domain Description

pll_locked[<p>-1:0] Input Asynchronous Provides the PLL locked status input from each PLL.When asserted, indicates that the TX PLL is locked.When deasserted, the PLL is not locked. There is onesignal per PLL.

pll_select[<p*n>-1:0] Input Synchronous to theTransceiver PHY ResetController input clock.Set to zero when notusing multiple PLLs.

When you select Use separate TX reset perchannel, this bus provides enough inputs to specify anindex for each pll_locked signal to listen to for eachchannel. When Use separate TX reset per channelis disabled, the pll_select signal is used for allchannels.n=1 when a single TX reset sequence is used for allchannels.

tx_cal_busy[<n> -1:0] Input Asynchronous This is the calibration status signal that results fromthe logical OR of pll_cal_busy and tx_cal_busysignals. The signal goes high when either the TX PLL orTransceiver PHY initial calibration is active. It is notasserted if you manually re-trigger the calibration IP.The signal goes low when calibration is completed. Thissignal gates the TX reset sequence. The width of thissignals depends on the number of TX channels.

rx_cal_busy[<n> -1:0] Input Asynchronous This is calibration status signal from the TransceiverPHY IP core. When asserted, the initial calibration isactive. When deasserted, calibration has completed.This signal gates the RX reset sequence. The width ofthis signals depends on the number of RX channels.

rx_is_lockedtodata[<n>-1:0]

Input Synchronous to CDR Provides the rx_is_lockedtodata status from eachRX CDR. When asserted, indicates that a particular RXCDR is ready to receive input data. If you do notchoose separate controls for the RX channels, theseinputs are ANDed together internally to provide asingle status signal.

tx_manual[<n>-1:0] Input Asynchronous This optional signal places tx_digitalresetcontroller under automatic or manual control. Whenasserted, the associated tx_digitalreset controller

continued...

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide438

Page 439: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Signal Name Direction Clock Domain Description

logic does not automatically respond to deassertion ofthe pll_locked signal. However, the initialtx_digitalreset sequence still requires a one-timerising edge on pll_locked before proceeding. Whendeasserted, the associated tx_digitalresetcontroller automatically begins its reset sequencewhenever the selected pll_locked signal isdeasserted.

rx_manual[<n> -1:0] Input Asynchronous This optional signal places rx_digitalreset logiccontroller under automatic or manual control. Inmanual mode, the rx_digitalreset controller doesnot respond to the assertion or deassertion of therx_is_lockedtodata signal. Therx_digitalreset controller asserts rx_ready whenthe rx_is_lockedtodata signal is asserted.

clock Input N/A A free running system clock input to the TransceiverPHY Reset Controller from which all internal logic isdriven. If a free running clock is not available, holdreset until the system clock is stable.

reset Input Asynchronous Asynchronous reset input to the Transceiver PHY ResetController. When asserted, all configured reset outputsare asserted. Holding the reset input signal assertedholds all other reset outputs asserted. An option isavailable to synchronize with the system clock. Insynchronous mode, the reset signal needs to stayasserted for at least (2) clock cycles by default.

tx_digitalreset[<n>-1:0]

Output Synchronous to theTransceiver PHY ResetController input clock.

Digital reset for TX channels. The width of this signaldepends on the number of TX channels. This signal isasserted when any of the following conditions is true:• reset is asserted• pll_powerdown is asserted• pll_cal_busy is asserted• tx_cal_busy is asserted• PLL has not reached the initial lock (pll_locked

deasserted)• pll_locked is deasserted and tx_manual is

deassertedWhen all of these conditions are false, the resetcounter begins its countdown for deassertion oftx_digitalreset.

tx_analogreset[<n>-1:0]

Output Synchronous to theTransceiver PHY ResetController input clock.

Analog reset for TX channels. The width of this signaldepends on the number of TX channels. This signal isasserted when reset is asserted.This signal follows pll_powerdown, which isdeasserted after pll_locked goes high.

tx_ready[<n>-1:0] Output Synchronous to theTransceiver PHY ResetController input clock.

Status signal to indicate when the TX reset sequence iscomplete. This signal is deasserted while the TX resetis active. It is asserted a few clock cycles after thedeassertion of tx_digitalreset. Some protocolimplementations may require you to monitor this signalprior to sending data. The width of this signal dependson the number of TX channels.

rx_digitalreset[<n>-1:0]

Output Synchronous to theTransceiver PHY ResetController input clock.

Digital reset for RX. The width of this signal dependson the number of channels. This signal is assertedwhen any of the following conditions is true:

continued...

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide439

Page 440: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Signal Name Direction Clock Domain Description

• reset is asserted• rx_analogreset is asserted• rx_cal_busy is asserted• rx_is_lockedtodata is deasserted and

rx_manual is deassertedWhen all of these conditions are false, the resetcounter begins its countdown for deassertion ofrx_digitalreset.

rx_analogreset[<n>-1:0]

Output Synchronous to theTransceiver PHY ResetController input clock.

Analog reset for RX. When asserted, resets the RX CDRand the RX PMA blocks of the transceiver PHY. Thissignal is asserted when any of the following conditionsis true:• reset is asserted• rx_cal_busy is assertedThe width of this signal depends on the number ofchannels.

rx_ready[<n>-1:0] Output Synchronous to theTransceiver PHY ResetController input clock.

Status signal to indicate when the RX reset sequence iscomplete. This signal is deasserted while the RX resetis active. It is asserted a few clock cycles after thedeassertion of rx_digitalreset. Some protocolimplementations may require you to monitor this signalprior to sending data. The width of this signal dependson the number of RX channels.

pll_powerdown[<p>-1:0]

Output Synchronous to theTransceiver PHY ResetController input clock.

Asserted to power down a transceiver PLL circuit. Whenasserted, the selected TX PLL is reset.

Usage Examples for pll_select

• If a single channel can switch between three TX PLLs, the pll_select signalindicates which one of the selected three TX PLL's pll_locked signal is used tocommunicate the PLL lock status to the TX reset sequence. In this case, to selectthe 3-bits wide pll_locked port, the pll_select port is 2-bits wide.

• If three channels are instantiated with three TX PLLs and with a separate TX resetsequence per channel, the pll_select field is 6-bits wide (2-bits per channel).In this case, pll_select [1:0] represents channel 0, pll_select[3:2]represents channel 1, and pll_select[5:4] represents channel 2. For eachchannel, a separate pll_locked signal indicates the PLL lock status.

• If three channels are instantiated with three TX PLLs and with a single TX resetsequence for all three channels, then pll_select field is 2-bits wide. In thiscase, the same pll_locked signal indicates the PLL lock status for all threechannels.

• If one channel is instantiated with one TX PLL, pll_select field is 1-bit wide.Connect pll_select to logic 0.

• If three channels are instantiated with only one TX PLL and with a separate TXreset sequence per channel, the pll_select field is 3-bits wide. In this case,pll_select should be set to 0 since there is only one TX PLL available.

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide440

Page 441: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

4.4.4. Transceiver PHY Reset Controller Resource Utilization

This section describes the estimated device resource utilization for two configurationsof the transceiver PHY reset controller. The exact resource count varies by QuartusPrime version number, as well as by optimization options.

Table 249. Reset Controller Resource Utilization

Configuration Combination ALUTs Logic Registers

Single transceiver channel approximately 50 approximately 50

Four transceiver channels, shared TX reset, separate RX resets approximately 100 approximately 150

4.5. Using a User-Coded Reset Controller

You can design your own user-coded reset controller instead of using Transceiver PHYReset Controller. Your user-coded reset controller must provide the followingfunctionality for the recommended reset sequence:

• A clock signal input for your reset logic

• Holds the transceiver channels in reset by asserting the appropriate reset controlsignals

• Checks the PLL status (for example, checks the status of pll_locked andpll_cal_busy)

Note: You must ensure a stable reference clock is present at the PLL transmitter beforereleasing pll_powerdown.

4.5.1. User-Coded Reset Controller Signals

Refer to the signals in the following figure and table for implementation of a user-coded reset controller.

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide441

Page 442: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 217. User-Coded Reset Controller, Transceiver PHY, and TX PLL Interaction

User-CodedReset

Controller

Transceiver PHY Instancetx_analogresettx_digitalresetrx_analogresetrx_digitalreset

tx_cal_busyrx_cal_busyrx_is_lockedtorefrx_is_lockedtodata

TransmitPLL

pll_p

ower

down

pll_c

al_bu

sypll

_loc

ked

clock

You can logical OR the pll_cal_busyand tx_cal_busy signals.

ReceiverPCS

ReceiverPMA

TransmitterPCS

TransmitterPMA

Table 250. User-coded Reset Controller, Transceiver PHY, and TX PLL Signals

Signal Name Direction Description

pll_powerdown Output Resets the TX PLL when asserted high.

tx_analogreset Output Resets the TX PMA when asserted high.

tx_digitalreset Output Resets the TX PCS when asserted high.

rx_analogreset Output Resets the RX PMA when asserted high.

rx_digitalreset Output Resets the RX PCS when asserted high.

clock Input Clock signal for the user-coded reset controller. You can use the systemclock without synchronizing it to the PHY parallel clock. The upper limit onthe input clock frequency is the frequency achieved in timing closure.

pll_cal_busy Input A high on this signal indicates the PLL is being calibrated.

pll_locked Input A high on this signal indicates that the TX PLL is locked to the ref clock.

tx_cal_busy Input A high on this signal indicates that TX calibration is active. If you havemultiple PLLs, you can OR their pll_cal_busy signals together.

rx_is_lockedtodata Input A high on this signal indicates that the RX CDR is in the lock-to-data (LTD)mode.

rx_cal_busy Input A high on this signal indicates that RX calibration is active.

rx_is_lockedtoref Input A high on this signal indicates that the RX CDR is in the lock-to-reference(LTR) mode. This signal may toggle or be deasserted when the CDR is inLTD mode.

4.6. Combining Status or PLL Lock Signals

You can combine multiple PHY status signals before feeding into the reset controller asshown below.

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide442

Page 443: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 218. Combining Multiple PHY Status Signals

ANDtx_cal_busy signalsfrom channels

To reset controllertx_cal_busy input portOR

Note: This configuration also applies to the rx_cal_busy signals.

When using multiple PLLs, you can logical AND the pll_locked signals feeding thereset controller. Similarly, you can logical OR the pll_cal_busy signals to the resetcontroller tx_cal_busy port as shown below.

Figure 219. Multiple PLL Configuration

ANDpll_lock signalsfrom PLLs

To reset controllerpll_locked input port

ANDpll_cal_busy andtx_cal_busysignals

To reset controllertx_cal_busy input portOR

Resetting different channels separately requires multiple reset controllers. Forexample, a group of channels configured for Interlaken requires a separate resetcontroller from another group of channels that are configured for opticalcommunication.

4.7. Timing Constraints for Bonded PCS and PMA Channels

For designs that use TX PMA and PCS Bonding, the digital reset signal(tx_digitalreset) to all TX channels within a bonded group must meet amaximum skew tolerance imposed by physical routing. This skew tolerance is one-halfthe TX parallel clock cycle (tx_clkout). This requirement is not necessary for TXPMA Bonding or for RX PCS channels.

Note: If the design is not able to meet the maximum skew tolerance requirement with apositive margin, Intel recommends reassigning the channels locations that are notadjacent to the PCIe Hard IP block.

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide443

Page 444: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 220. Physical Routing Delay Skew in Bonded Channels

PHY ResetController

TXChannel[ n - 1]

TXChannel[1]

TXChannel[0]

Bonded TXChannels

tx_digitalreset

FPGA Fabric

You must provide a Synopsys Design Constraint (SDC) for the reset signals toguarantee that your design meets timing requirements. The Quartus Prime softwaregenerates an .sdc file when you generate the Transceiver Native PHY IP core.

This .sdc contains basic false paths for most asynchronous signals, including resets.In the case of bonded designs, this file contains examples for maximum skew onbonded designs. This .sdc file contains an example false_path and an examplemax_skew constraint for the tx_digitalreset signals.

All modified IP constraints from a generated .sdc file must be moved to the project’smain .sdc file, because changes are lost if the IP is regenerated.

This skew is present whether you tie all tx_digitalresets together, or you controlthem separately. If your design includes the Transceiver PHY Reset Controller IP core,you can substitute your instance and interface names for the generic names shown inthe example.

Example 1. SDC Constraint for TX Digital Reset When Bonded Clocks Are Used

set_max_skew -from *<IP_INSTANCE_NAME> *tx_digitalreset*r_reset -to *pld_pcs_interface* <1/2 coreclk period in ps>

In the above example, you must make the following substitutions:

• <IP_INSTANCE_NAME>—substitute the name of your reset controller IP instanceor PHY IP instance

• <½ coreclk period in ps>—substitute half of the clock period of your design inpicoseconds

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide444

Page 445: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

If your design has custom reset logic, replace the*<IP_INSTANCE_NAME>*tx_digitalreset*r_reset with the source register forthe TX PCS reset signal, tx_digitalreset.

For more information about the set_max_skew constraint, refer to the SDC andTiming Analyzer API Reference Manual.

Related Information

SDC and Timing Analyzer API Reference Manual

4.8. Resetting Transceiver Channels Revision History

DocumentVersion

Changes

2018.06.15 Made the following changes:• Clarified the Dynamic Reconfiguration of Receiver Channel Using the Acknowledgment Model

instructions for when the CDR is in manual lock mode.• Updated the description for the "Enable RX channel reset control" parameter.

2017.11.06 Made the following change:• Added a note "If the design is not able to meet the maximum skew tolerance requirement with a

positive margin, Intel recommends reassigning the channels locations that are not adjacent to thePCIe Hard IP block."

2016.05.02 Made the following changes• Added port "user reset" in "Typical Transceiver PHY Implementation" diagram.• Added note number 50.• Updated "Transceiver and Receiver Reset Sequence" diagram.• Added a note "Area in gray is don't care zone" in every diagram that has gray area in it.• Changes "tLTD" to "trx_digitalreset" in all the diagrams.

2015.12.18 Made the following changes:• Added description to the "Recommended Reset Sequence" section.• Added the "Arria 10 Default Settings Preset" figure.• Changed the signals and added a note in the "Typical Transceiver PHY Implementation" figure.• Added a parameter to the "General Options" table.• Updated the "Reset Sequence Timing Diagram for Receiver when CDR is in Manual Lock Mode"

figure.• Updated the steps in the "Resetting the Transceiver in CDR Manual Lock Mode" section.

2015.11.02 Made the following changes:• Updated the "Reset Conditions" table.• Created the "Transceiver PHY Implementation" section.• Updated the "Typical Transceiver PHY Implementation" figure and moved it to the "Transceiver PHY

Implementation" section.• Added the "Model 1: Default Model" and "Model 2: Acknowledgment Model" sections to the "How Do

I Reset?" section.• Updated the "Altera Transceiver PHY Reset Controller System Diagram" in the "Using the Altera

Transceiver PHY Reset Controller" section.• Added "Usage Examples for pll_select" to the "Transceiver PHY Reset Controller Interfaces" section.

2014.12.15 Made the following changes:• Updated the "Transmitter Reset Sequence After Power-Up" and "Transmitter Reset Sequence During

Device Operation" figures.• Improved formatting in the "Transceiver PHY Reset Controller IP Core Top-Level Signals" figure.• Updated the description of the reset, tx_analogreset, and rx_analogreset parameters in the

"Top-Level Signals" table.

2014.08.15 Made the following changes:

continued...

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide445

Page 446: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

DocumentVersion

Changes

• Updated the "Transmitter Reset Sequence After Power-Up" and "Receiver Reset Sequence FollowingPower-Up" figures.

• Updated the "Resetting the Receiver During Device Operation" procedure and associated figure.• Updated the "Reset Sequence Timing Diagram for Transceiver when CDR is in Manual Lock Mode"

figure.

2013.12.02 Initial release.

4. Resetting Transceiver Channels

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide446

Page 447: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

5. Arria 10 Transceiver PHY Architecture

5.1. Arria 10 PMA Architecture

The Physical Medium Attachment (PMA) acts as the analog front end for the Arria 10transceivers.

The PMA receives and transmits high-speed serial data depending on the transceiverchannel configuration. All serial data transmitted and received passes through thePMA.

5.1.1. Transmitter

The transmitter takes the parallel data and serializes it to create a high-speed serialdata stream. The transmitter portion of the PMA is composed of the transmitterserializer and the transmitter buffer. The serializer clock is provided from thetransmitter PLL.

Figure 221. Transmitter PMA Block Diagram

FPGAFabric

TransmitterPCSSerializerTransmitter

Buffer

TransmitterPLL

ParallelData

ParallelData

SerialData

SerialClock

InputReferenceClock

Transmitter PMA

ClockGeneration

Block

ParallelClock

Transmitter SerialDifferential OutputData

5.1.1.1. Serializer

The serializer converts the incoming low-speed parallel data from the transceiver PCSor FPGA fabric to high-speed serial data and sends the data to the transmitter buffer.

The channel serializer supports the following serialization factors: 8, 10, 16, 20, 32,40, and 64.

UG-01143 | 2018.06.15

Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartusand Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or othercountries. Intel warrants performance of its FPGA and semiconductor products to current specifications inaccordance with Intel's standard warranty, but reserves the right to make changes to any products and servicesat any time without notice. Intel assumes no responsibility or liability arising out of the application or use of anyinformation, product, or service described herein except as expressly agreed to in writing by Intel. Intelcustomers are advised to obtain the latest version of device specifications before relying on any publishedinformation and before placing orders for products or services.*Other names and brands may be claimed as the property of others.

ISO9001:2008Registered

Page 448: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 222. Serializer BlockThe serializer block sends out the least significant bit (LSB) of the input data first.

Dn

D2

D1

D0

ParallelDataSerializerDnD2D1D0

ParallelClock

SerialClock

SerialData

LSB

5.1.1.2. Transmitter Buffer

The transmitter buffer includes the following circuitry:

• High Speed Differential I/O

• Programmable differential output voltage (VOD)

— Main tap

• Programmable four-tap pre-emphasis circuitry

— Two pre-cursor taps

— Two post-cursor taps

• Power distribution network (PDN) induced inter-symbol interference (ISI)compensation

• Internal termination circuitry

• Receiver detect capability to support PCI Express and Quick Path Interconnect(QPI) configurations

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide448

Page 449: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 223. Transmitter Buffer

TXV CM

To Serial DataOutput Pins(tx_serial_data)Programmable

Pre-Emphasisand VOD

ReceiverDetect

On-ChipTermination85Ω, 100Ω, OFF

R1* R1*

R1* - Half of the actual on-chip termination selected.

5.1.1.2.1. High Speed Differential I/O

To improve performance, the Arria 10 transmitter uses a new architecture in theoutput buffer—High Speed Differential I/O. You should select "High Speed DifferentialI/O" for I/O standard of Arria 10 transmitter pin in Quartus Prime Assignment Editoror QSF file.

5.1.1.2.2. Programmable Output Differential Voltage

You can program the differential output voltage (output swing) to handle differentchannel losses and receiver requirements. There are 31 differential VOD settings up toVCCT power supply level. The step size is 1/30 of the VCCT power supply level.

Figure 224. VOD (Differential) Signal Level

Differential Waveform

+VP

V OD (Differential) –VN

V OD (Differential) = V P - V N

0 V Differential

Related Information

• XCVR_A10_RX_TERM_SEL on page 589

• For more information, refer to Arria 10 Pre-Emphasis and Output Swing Settings

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide449

Page 450: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

5.1.1.2.3. Programmable Pre-Emphasis

Pre-emphasis can maximize the eye at the far-end receiver. The programmable pre-emphasis module in each transmit buffer amplifies high frequencies in the transmitdata signal, to compensate for attenuation in the transmission media.

The pre-tap pre-emphasizes the bit before the transition and de-emphasizes theremaining bits. A different polarity on pre-tap does the opposite.

Table 251. Pre-Emphasis TapsAll four pre-emphasis taps provide inversion control, shown by negative values.

Pre-Emphasis Tap Number of Settings

Second pre-tap 15

First pre-tap 33

First post-tap 51

Second post-tap 25

You can set pre-emphasis taps through the Quartus Assignment Editor, the Avalon-MMregisters, and the QSF settings.

Related Information

For more information, refer to Arria 10 Pre-Emphasis and Output Swing Settings

5.1.1.2.4. Power Distribution Network (PDN) induced Inter-Symbol Interference (ISI)compensation

Arria 10 Transmitter driver includes a compensation circuitry to reduce PDN inducedISI jitter. You can enable this compensation circuitry to reduce jitter through QSFsetting, Quartus Assignment Editor or Avalon-MM interface. The power consumptionincreases when you enable the compensation.

5.1.1.2.5. Programmable Transmitter On-Chip Termination (OCT)

Transmitter buffers include programmable on-chip differential termination of 85Ω,100Ω, or OFF. You can set the OCT value through the Quartus Assignment Editor andthe Avalon-MM registers.

Related Information

Arria 10 Register Map

5.1.2. Receiver

The receiver deserializes the high-speed serial data, creates a parallel data stream foreither the receiver PCS or the FPGA fabric, and recovers the clock information fromthe received data.

The receiver portion of the PMA is comprised of the receiver buffer, the clock datarecovery (CDR) unit, and the deserializer.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide450

Page 451: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 225. Receiver PMA Block Diagram

ReceiverPCSDeserializer

Parallel Datato FPGA Core

ParallelData

SerialData

Serial Clock

Receiver PMA

CDR

SerialDataReceiver

Buffer

Parallel Clock

Receiver SerialDifferential Input

Data

5.1.2.1. Receiver Buffer

The receiver input buffer receives serial data from rx_serial_data and feeds theserial data to the clock data recovery (CDR) unit and deserializer.

Figure 226. Receiver Buffer

RXV CM

From Serial DataInput Pins

(rx_serial_data)

VGA

Adaptive ParametricTuning Engine

CTLE

DFE

RXTermination

85Ω, 100Ω, OFF

Serial Data

The receiver buffer supports the following features:

• Programmable common mode voltage (VCM)

• Programmable differential On-Chip Termination (OCT)

• Signal Detector

• Continuous Time Linear Equalization (CTLE)

• Variable Gain Amplifiers (VGA)

• Adaptive Parametric Tuning Engine

• Decision Feedback Equalization (DFE)

5.1.2.1.1. Programmable Common Mode Voltage (VCM)

The receiver buffer has on-chip biasing circuitry to establish the required VCM at thereceiver input.

The Quartus Prime software automatically chooses the optimal setting for RX VCM.

Note: On-chip biasing circuitry is available only if you select OCT. If you select externaltermination, you must implement off-chip biasing circuitry to establish the VCM at thereceiver input buffer.

Manual VCM adjustment is not supported and is only adjusted by calibrations.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide451

Page 452: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

5.1.2.1.2. Programmable Differential On-Chip Termination (OCT)

Receiver buffers include programmable on-chip differential termination of 85Ω, 100Ω,or OFF.

You can disable OCT and use external termination. If you select external termination,the receiver common mode is tri-stated. Common mode is based on the externaltermination connection. You also need to implement off-chip biasing circuitry toestablish the VCM at the receiver buffer.

5.1.2.1.3. Signal Detector

You can enable the optional signal threshold detection circuitry. If enabled, this optionsenses whether the signal level present at the receiver input buffer is above the signaldetect threshold voltage that you specified in the assignment editor.

5.1.2.1.4. Continuous Time Linear Equalization (CTLE)

The CTLE boosts the signal that is attenuated due to channel characteristics. Eachreceiver buffer has independently programmable equalization circuits. Theseequalization circuits amplify the high-frequency component of the incoming signal bycompensating for the low-pass characteristics of the physical medium. The CTLE cansupport both DC and AC gain.

DC gain circuitry provides an equal amplification to the incoming signal across the lowfrequency spectrum. AC gain circuitry provides amplification to the high-frequencyspectrum gain of the incoming signal.

Arria 10 transceivers support dual mode CTLE.

High Gain Mode

High gain mode supports data rate up to 17.4 Gbps. This mode provides both AC andDC gain. There are two bandwidth settings available for this mode.

• Full Bandwidth—This mode has a peaking frequency of 6.25 GHz offering AC gainat 17 dB.

• Medium Bandwidth—This mode has a peaking frequency of 3.125 GHz offering ACgain at 21 dB.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide452

Page 453: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 227. CTLE DC and AC Gain Conceptualization

DC GainControl

Gain(dB)

Frequency

Gain(dB)

Frequency

AC GainControl

Note: Final equalization curves will be available in the Arria 10 device datasheet.

High Data Rate Mode

High Data Rate Mode is a low power mode that supports data rates up to 25.8 Gbps. Itis the default mode if none of the modes are selected in the Quartus AssignmentEditor or Quartus Settings File (.qsf). This mode provides an alternative path for highgain mode. High Data Rate Mode can be used to compensate for the loss similar toCEI 28G VSR. High data rate mode supports four bandwidth modes: Full, three-fourths, one-half, and one-fourth bandwidth modes. Full bandwidth mode can provideAC peaking at approximately 8 dB at a peaking frequency of 14 GHz. High data ratemode can be operated in three-fourths, one-half, and one-fourth bandwidth with 9GHz, 5 GHz, and 2.5 GHz peaking frequencies. In high data rate mode, AC gain values

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide453

Page 454: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

and DC gain values cannot be controlled individually as done in high gain mode. Therecommended AC gain values in high data rate mode include the appropriate settingof DC gain to get the required peaking at respective peaking frequencies.

CTLE can only be supported in manual mode with the exception of PCIe Gen3, whichsupports triggered mode. CTLE mode and CTLE gain can be set through QuartusAssignment Editor or Quartus Settings File and Avalon MM registers.

Related Information

• CTLE Settings in Triggered Adaptation Mode on page 531

• PHY IP Core for PCIe (PIPE) Link Equalization for Gen3 Data Rate on page 274

• How to Enable CTLE and DFE on page 456

• Arria 10 Device Datasheet

• Arria 10 Register Map

5.1.2.1.5. Variable Gain Amplifier (VGA)

Arria 10 channels have a variable gain amplifier to optimize the signal amplitude priorto the CDR sampling. VGA can only be operated in manual mode. VGA gain can beselected through Quartus Assignment Editor or Quartus Setting File (qsf) or theAvalon MM register. You must set VGA manually for all combinations of CTLE and DFEmodes.

Figure 228. VGA Frequency Response for Different Gain Settings

6

-4

Magnitude(dB)

Frequency (Hz)

Related Information

How to Enable CTLE and DFE on page 456

5.1.2.1.6. Decision Feedback Equalization (DFE)

DFE amplifies the high frequency components of a signal without amplifying the noisecontent. It compensates for inter-symbol interference (ISI). DFE minimizes post-cursor ISI by adding or subtracting weighted versions of the previously received bitsfrom the current bit. DFE works in synchronization with the TX pre-emphasis anddownstream RX CTLE. This enables the RX CDR to receive the correct data that wastransmitted through a lossy and noisy backplane.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide454

Page 455: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The DFE advantage over CTLE is improved Signal to Noise Ratio (SNR). DFE amplifiesthe power of the high frequency components without amplifying the noise power.

Figure 229. Signal ISI

ISI+

ISI-

Precursor Cursor Postcursor

Notes:• An ideal pulse response is a single data point at the cursor.• Real world pulse response is non-zero before the cursor (precursor) and after the cursor (postcursor).• ISI occurs when the data sampled at precursor or postcursor is not zero.

The DFE circuit stores delayed versions of the data. The stored bit is multiplied by acoefficient and then summed with the incoming signal. The polarity of each coefficientis programmable.

The DFE architecture supports eleven fixed taps.

The eleven fixed taps translate to the DFE capable of removing the ISI from the next11 bits, beginning from the current bit.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide455

Page 456: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 230. Channel Pulse Response

V

t1 UI

Region of Influencefor Fixed Taps

Signal at theChannel Input

Signal at theChannel OutputTransmission Medium

Note: The pulse at the output of the channel shows a long decaying tail. Frequency-dependent losses and quality degradation affects other signals.

Supported modes for DFE:

• Disabled Mode:

— DFE disabled mode is similar to DFE manual mode, except all DFE tap valuesin this mode are set to zero. DFE tap values can be set in AssignmentEditor/.qsf or using Avalon MM interface.

• Manual Mode:

— In this mode, manual DFE tap values can be set in Assignment Editor/.qsf orusing Avalon MM interface.

• Adaptation Enabled Mode:

— In this adaptation mode, DFE tap values are controlled by the AdaptiveParametric Tuning Engine. This mode uses the converged DFE tap values givenby the Adaptive Parametric Tuning Engine.

Related Information

How to Enable CTLE and DFE on page 456

5.1.2.1.7. How to Enable CTLE and DFE

Table 252. Summary of Receiver Equalization Modes

Receiver Equalization Modes

CTLE adaptation mode Manual, Triggered (use the triggered mode for PCIe Gen3only)

DFE adaptation mode Adaptation enabled, Manual, Disabled

Number of fixed DFE taps 3, 7, 11

Follow these steps to trigger DFE adaptation:

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide456

Page 457: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

1. Request user access to the internal configuration bus by writing 0x0 to offsetaddress 0x0[7:0].

2. Monitor and wait for avmm_waitrequest to be deasserted (logic low) if "Separatereconfig_waitrequest from PreCISE" option is disabled. Otherwise, monitorand wait for register bit 0x281 bit[2] to go low if "Separatereconfig_waitrequest from PreCISE" and "Enable control and statusregisters" option is enabled.

3. Select adaptation control by Read-Modify-Write 0x1 to bit[4] of address 0x149.

4. Enable adaptation trigger by Read-Modify-Write 0x1 to bit[6] of address 0x100.

5. Release the internal configuration bus to PreSICE by writing 0x1 to offset address0x0[7:0].

6. Repeat step 2.

7. Monitor DFE adaptation completion by checking register bit 0x100 bit[6] to go low.This confirms DFE trigger adaptation routine is complete.

Related Information

Analog Parameter Settings on page 585

Configuration Methods

Configure the modes using one of the following methods:

Method 1 - Using Arria 10 Transceiver Native PHY IP Core

1. Select the CTLE/DFE mode in the RX PMA tab of the PHY IP Core

2. Compile the design

3. Choose one the following:

• If CTLE or DFE is in Manual mode, set the CTLE gain value or DFE taps usingone of the following ways:

a. Assignment Editor/.qsf- Recompile the design to make these valueseffective.

Refer to Analog Parameter Settings for more details about ReceiverEqualization Settings.

b. Avalon-MM (AVMM) Interface - Value written through AVMM interface takeprecedence over values defined in Assignment Editor. Use this method todynamically set values and hence avoid re-compilation.

Refer to Arria 10 Transceiver Register Map for more details on AVMMinterface and to perform dynamic read/write.

Method 2 - Using AVMM Interface

1. Any changes you make using AVMM interface take precedence over what wasconfigured in Native PHY IP GUI and/or Assignment Editor.

a. For CTLE and DFE in Manual mode, set the CTLE gain value or DFE Taps usingthe reconfiguration interface. The values are written dynamically and do notrequire design re-compilation.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide457

Page 458: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Refer to Arria 10 Register Map for details on the specific registers that set theCTLE gain values/DFE taps.

b. For dynamically changing DFE and CTLE Adaptation modes, refer to CTLESettings in Triggered Adaptation Mode, Arria 10 Register Map and Arria 10DFE Adaptation Tool for the list of adaptation registers. Use thereconfiguration interface to change the register settings.

Note: You must set VGA manually for all combinations of CTLE mode and DFEmodes.

Related Information

• CTLE Settings in Triggered Adaptation Mode

• Arria 10 Register MapArria 10 DFE Adaptation Tool is a separate tab in the Arria 10 Register Map.

5.1.2.2. Clock Data Recovery (CDR) Unit

The PMA of each channel includes a channel PLL that you can configure as a receiverclock data recovery (CDR) for the receiver. You can also configure the channel PLL ofchannels 1 and 4 as a clock multiplier unit (CMU) PLL for the transmitter in the samebank.

Figure 231. Channel PLL Configured as CDR

Serial Clock

rx_is_lockedtoref

rx_serial_data

refclk

Recovered ClockLTR/LTDController

PhaseDetector

(PD)

Down

Up

Up

Down

Charge Pump&

Loop Filter

VoltageControlledOscillator

(VCO)

LockDetect

PhaseFrequency

Detector(PFD)

/2

Channel PLL

MDivider

(1)

Note:1. The Quartus® Prime Pro Edition software automatically chooses the optimal values.

rx_is_lockedtodata

NDivider

(1)

LDivider

(1)

5.1.2.2.1. Lock-to-Reference Mode

In LTR mode, the phase frequency detector (PFD) in the CDR tracks the receiver inputreference clock. The PFD controls the charge pump that tunes the VCO in the CDR.The rx_is_lockedtoref status signal is asserted active high to indicate that theCDR has locked to the phase and frequency of the receiver input reference clock.

Note: The phase detector (PD) is inactive in LTR mode.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide458

Page 459: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

5.1.2.2.2. Lock-to-Data Mode

During normal operation, the CDR must be in LTD mode to recover the clock from theincoming serial data. In LTD mode, the PD in the CDR tracks the incoming serial dataat the receiver input. Depending on the phase difference between the incoming dataand the CDR output clock, the PD controls the CDR charge pump that tunes the VCO.

Note: The PFD is inactive in LTD mode. The rx_is_lockedtoref status signal togglesrandomly and is not significant in LTD mode.

After switching to LTD mode, the rx_is_lockedtodata status signal is asserted.The actual lock time depends on the transition density of the incoming data and theparts per million (ppm) difference between the receiver input reference clock and theupstream transmitter reference clock. The rx_is_lockedtodata signal toggles untilthe CDR sees valid data; therefore, you should hold receiver PCS logic in reset(rx_digitalreset) for a minimum of 4 µs after rx_is_lockedtodata remainscontinuously asserted.

5.1.2.2.3. CDR Lock Modes

You can configure the CDR in either automatic lock mode or manual lock mode. Bydefault, the Quartus Prime software configures the CDR in automatic lock mode.

Automatic Lock Mode

In automatic lock mode, the CDR initially locks to the input reference clock (LTRmode). After the CDR locks to the input reference clock, the CDR locks to theincoming serial data (LTD mode) when the following conditions are met:

• The signal threshold detection circuitry indicates the presence of valid signal levelsat the receiver input buffer when rx_std_signaldetect is enabled.

• The CDR output clock is within the configured ppm frequency threshold settingwith respect to the input reference clock (frequency locked).

• The CDR output clock and the input reference clock are phase matched withinapproximately 0.08 unit interval (UI) (phase locked).

If the CDR does not stay locked to data because of frequency drift or severe amplitudeattenuation, the CDR switches back to LTR mode.

Manual Lock Mode

The PPM detector and phase relationship detector reaction times can be too long forsome applications that require faster CDR lock time. You can manually control the CDRto reduce its lock time using two optional input ports (rx_set_locktoref andrx_set_locktodata).

Table 253. Relationship Between Optional Input Ports and the CDR Lock Mode

rx_set_locktoref rx_set_locktodata CDR Lock Mode

0 0 Automatic

1 0 Manual-RX CDR LTR

X 1 Manual-RX CDR LTD

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide459

Page 460: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

5.1.2.3. Deserializer

The deserializer block clocks in serial input data from the receiver buffer using thehigh-speed serial recovered clock and deserializes the data using the low-speedparallel recovered clock. The deserializer forwards the deserialized data to the receiverPCS or FPGA fabric, and sends out the LSB of the input data first.

The deserializer supports the following deserialization factors: 8, 10, 16, 20, 32, 40,and 64.

Figure 232. Deserializer Block Diagram

Dn D2 D1 D0

SerialData LSB

Deserializer

ParallelClockClock

Serial

Dn

D2

D1

D0

ParallelData

5.1.3. Loopback

The PMA supports serial, diagnostic, and reverse loopback paths.

Figure 233. Serial Loopback PathThe serial loopback path sets the CDR to recover the data from the serializer while data from receiver serialinput pin is ignored by the CDR. The transmitter buffer sends data normally. Adjusting the TX VOD, TX pre-emphasis, and RX CTLE settings does not have an effect on the serial data that goes through the serialloopback path. Adjusting the RX VGA and RX DFE settings has an effect on the serial data that goes throughthe serial loopback path.

TransmitterBuffer

SerialData

Transmitter PMA

Receiver Buffer

SerialLoopback

Receiver SerialDifferential Input

Data

Transmitter SerialDifferential Output

DataTransmitter

PCSSerializer

TransmitterPLL

Parallel DataParallel from FPGA CoreData

SerialClock

InputReferenceClock

ClockGeneration

Block

ParallelClock

ReceiverPCSDeserializer

Parallel Data to FPGA Core

ParallelData

SerialData

Serial Clock

CDR

SerialData

Parallel Clock

CTLEVGA DFE

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide460

Page 461: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 234. Reverse Serial Loopback Path/Pre CDRThe reverse serial loopback path sets the transmitter buffer to transmit data fed directly from the VGA output.Adjusting the RX CTLE, and RX VGA settings has an effect on the serial data that goes through the diagnosticloopback path.

TransmitterPCS

SerializerTransmitterBuffer

TransmitterPLL

Parallel Datafrom FPGA Core

ParallelData

SerialData

SerialClock

InputReferenceClock

Transmitter PMA

ClockGeneration

Block

ParallelClock

ReceiverPCSDeserializer

Parallel Datato FPGA Core

ParallelData

SerialData

Receiver Buffer

CDR

SerialData

Transmitter SerialDifferential Output

Data

Receiver SerialDifferential Input

DataSerial Clock

Parallel Clock

DiagnosticLoopback

CTLE

VGA DFE

Figure 235. Reverse Serial Loopback Path/Post CDRThe reverse serial loopback path sets the transmitter buffer to transmit data fed directly from the CDRrecovered data. Adjusting the RX CTLE, RX VGA, and RX DFE settings has an effect on the serial data that goesthrough the reverse loopback path. Adjusting TX VOD and TX pre-emphasis has an effect on the transmitterserial differential output data.

TransmitterBuffer

SerialDataTransmitter Serial

Differential OutputData

Transmitter PMA

ReceiverPCSDeserializer

Parallel Data to FPGA core

ParallelData

SerialData

Receiver PMA

CDRSerialData

ReceiverBuffer

TransmitterPCS

Serializer

TransmitterPLL

Parallel DataParallelData

SerialClock

InputReferenceClock

ClockGeneration

Block

ParallelClock

Receiver SerialDifferential Input

Data

ReverseLoopback

Serial Clock

Parallel Clock

from FPGA core

5.2. Arria 10 Enhanced PCS Architecture

You can use the Enhanced PCS to implement multiple protocols that operate at around10 Gbps or higher line rates.

The Enhanced PCS provides the following functions:

• Performs functions common to most serial data industry standards, such as wordalignment, block synchronization, encoding/decoding, and framing, before data issent or received off-chip through the PMA

• Handles data transfer to and from the FPGA fabric

• Internally handles data transfer to and from the PMA

• Provides frequency compensation

• Performs channel bonding for multi-channel low skew applications

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide461

Page 462: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 236. Enhanced PCS Datapath DiagramTransmitter Enhanced PCSTransmitter PMA

Receiver PMA Receiver Enhanced PCS

TXGe

arbo

x

tx_s

erial

_dat

a

Seria

lizer

Inte

rlake

n Di

spar

ity G

ener

ator

Scra

mble

r

Parallel Clock

PRBSGenerator

PRPGenerator

rx_s

erial

_dat

a

Dese

rializ

er

CDR

Descr

amble

r

Inte

rlake

n Di

spar

ity Ch

ecke

r

Bloc

kSy

nchr

onize

r

Inte

rlake

n Fra

me S

ync

RXGe

arbo

x

PRBSVerifier

Trans

code

Deco

der

KR FE

C RX

Gear

box

KR FE

CDe

code

r

KR FE

CBl

ock S

ync

KR FE

CDe

scram

bler

Parallel Clock

Parallel ClockSerial ClockParallel and Serial Clocks

Clock Divider

Parallel and Serial Clocks

Clock Generation Block (CGB)

Serial Clock

Input Reference Clock

ATX PLLfPLL

CMU PLL

64B/

66B D

ecod

eran

d RX S

M

10GBASE-R BER Checker

PRP

rx_pma_div_clkout

tx_pma_div_clkout

Verifier

rx_c

orec

lkin

rx_clkout

Enha

nced

PCS

TX FI

FOEn

hanc

ed PC

S RX

FIFO

Inte

rlake

n Fra

me G

ener

ator

Inte

rlake

n CR

C32 G

ener

ator

Inte

rlake

n CR

C32 C

heck

er

64B/

66B E

ncod

eran

d TX S

M

TX Data & Control

RX Data & Control

FPGAFabric

tx_c

orec

lkin

tx_clkout

KR FE

CTX

Gea

rbox

KR FE

CSc

ram

bler

KR FE

CEn

code

r

Trans

code

Enco

der

Related Information

Implementing Protocols in Arria 10 Transceivers on page 32

5.2.1. Transmitter Datapath

5.2.1.1. Enhanced PCS TX FIFO

The Enhanced PCS TX FIFO provides an interface between the transmitter channel PCSand the FPGA fabric. The TX FIFO can operate for phase compensation between thechannel PCS and FPGA fabric. You can also use the TX FIFO as an elastic buffer tocontrol the input data flow, using tx_enh_data_valid. The TX FIFO also allowschannel bonding. The TX FIFO has a width of 73 bits and a depth of 16 words.

You can set the TX FIFO partially full and empty thresholds through the Transceiverand PLL Address Map. Refer to the Reconfiguration Interface and DynamicReconfiguration chapter for more details.

The TX FIFO supports the following operating modes:

• Phase Compensation mode

• Register mode

• Interlaken mode

• Basic mode

Related Information

Reconfiguration Interface and Dynamic Reconfiguration on page 502

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide462

Page 463: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

5.2.1.1.1. Phase Compensation Mode

In Phase Compensation mode, the TX Core FIFO decouples phase variations betweentx_coreclkin and PCS_clkout_x2(tx). In this mode, read and write of the TXCore FIFO can be driven by clocks from asynchronous clock sources but must be samefrequency. You can use tx_coreclkin (FPGA fabric clock) or tx_clkout1 (TXparallel clock) to clock the write side of the TX Core FIFO.

Note: Phase Compensation mode, TX parallel data is valid for every low speed clock cycle,and tx_enh_data_valid signal should be tied with 1'b1.

Note: Phase Compensation can also be used in double rate transfer mode, where the FPGAfabric data width is doubled to allow the FPGA fabric clock to run at half rate. Thedouble rate transfer mode is set in the Native PHY IP Parameter Editor. Refer to the"Transmitter Data Path Interface Clocking" and "Receiver Data Path Interface Clocking"sections in the PLLs and Clock Networks chapter for details about the clockfrequencies, when using FIFO single and double rate transfer mode.

Related Information

• Transmitter Data Path Interface Clocking on page 386

• Receiver Data Path Interface Clocking on page 387

5.2.1.1.2. Register Mode

The Register Mode bypasses the FIFO functionality to eliminate the FIFO latencyuncertainty for applications with stringent latency requirements. This is accomplishedby tying the read clock of the FIFO with its write clock.

In Register mode, tx_parallel_data (data), tx_control (indicates whethertx_parallel_data is a data or control word), and tx_enh_data_valid (datavalid) are registered at the FIFO output.

Note: Intel recommends that you implement a soft FIFO in the FPGA fabric with a minimumof 32 words under the following conditions:

• When the Enhanced PCS TX FIFO is set to register mode.

• When using the recovered clock to drive the core logic.

• When there is no soft FIFO being generated along with the IP Catalog.

5.2.1.1.3. Interlaken Mode

In Interlaken mode, the TX Core FIFO operates as an elastic buffer. In this mode, youhave additional signals to control the data flow into the FIFO. Therefore, the FIFOwrite clock frequency does not have to be the same as the read clock frequency. Youcontrol the writing to the TX Core FIFO with tx_fifo_wr_en by monitoring the FIFOflags. The goal is to prevent the FIFO from becoming full or empty. On the read side,read enable is controlled by the Interlaken frame generator.

5.2.1.1.4. Basic Mode

In Basic mode, the TX Core FIFO operates as an elastic buffer, where buffer depthscan vary. This mode allows driving write and read side of TX Core FIFO with differentclock frequencies. Monitor the FIFO flag to control write and read operations. For TXCore FIFO, assert tx_fifo_wr_en with tx_fifo_pempty signal going low.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide463

Page 464: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

5.2.1.2. Interlaken Frame Generator

The Interlaken frame generator block takes the data from the TX FIFO andencapsulates the payload and burst/idle control words from the FPGA fabric with theframing layer’s control words (synchronization word, scrambler state word, skip word,and diagnostic word) to form a metaframe. The Native PHY IP Parameter Editor allowsyou to set the metaframe length from five 8-byte words to a maximum value of 8192(64Kbyte words).

Use the same value on frame generator metaframe length for the transmitter andreceiver.

Figure 237. Interlaken Frame GeneratorThe Interlaken frame generator implements the Interlaken protocol.

Interlaken Frame

Generator

SynchronizationScrambler

State Word Skip Word

DataSync HeaderInversion Bit (Place Holder for Bit Inversion Information)

Payload

66 65 64

64-Bit Data1-Bit Control 66-Bit Blocks

63 66 660 0 Di0

Used for Clock Compensation in a RepeaterUsed to Synchronize the ScramblerUsed to Align the Lanes of the Bundle

Provides PerLane Error Checkand Optional StatusMessage

From TX FIFO To Interlaken CRC-32 Generator

5.2.1.3. Interlaken CRC-32 Generator

The Interlaken CRC-32 generator block receives data from the Interlaken framegenerator and calculates the cyclic redundancy check (CRC) code for each block ofdata. This CRC code value is stored in the CRC32 field of the diagnostic word. CRC-32provides a diagnostic tool for each lane. This helps to trace the errors on the interfaceback to an individual lane.

The CRC-32 calculation covers most of the metaframe, including the diagnostic word,except the following:

• Bits [66:64] of each word

• 58-bit scrambler state within the scrambler state word

• 32-bit CRC-32 field within the diagnostic word

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide464

Page 465: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 238. Interlaken CRC-32 GeneratorThe Interlaken CRC-32 generator implements the Interlaken protocol.

Interlaken CRC-32

Generator

Payload

Total Data for CRC-32 Calculation

Metaframe

From the Interlaken Frame GeneratorMetaframes with Embedded CRC-32 Code to Scrambler

Di

Di

Sy

0 0 066676767

SB SKSy SB SK Payload

Total Data for CRC-32 Calculation

Calculated CRC-32 ValueInserted in the 32 Bits

of Diagnostic Word

Sy

31 066

SB SKSy SB SK

5.2.1.4. 64B/66B Encoder and Transmitter State Machine (TX SM)

The 64B/66B encoder is used to achieve DC-balance and sufficient data transitions forclock recovery. It encodes 64-bit XGMII data and 8-bit XGMII control into 10GBASE-R66-bit control or data blocks in accordance with Clause 49 of the IEEE802.3-2008specification.

The 66-bit encoded data contains two overhead sync header bits that the receiver PCSuses for block synchronization and bit-error rate (BER) monitoring. The sync header is01 for data blocks and 10 for control blocks. Sync headers are not scrambled and areused for block synchronization. (The sync headers 00 and 11 are not used, andgenerate an error if seen.) The remainder of the block contains the payload. Thepayload is scrambled and the sync header bypasses the scrambler.

The encoder block also has a state machine (TX SM) designed in accordance with theIEEE802.3-2008 specification. The TX SM ensures valid packet construction on datasent from the MAC layer. It also performs functions such as transmitting local faultsunder reset, as well as transmitting error codes when the 10GBASE-R PCS rules areviolated.

Note: The 64B/66B encoder is available to implement the 10GBASE-R protocol.

Figure 239. Example Data Pattern for 64B/66B Encoding

C 0C 1C 2C 3C 4C 5C 6C 7

10

PCS

TXC<0:3> TXD<0:31> XGMII

Data

XGMIIdata

66-bitencoded

data1e 000000 00000000

0 65

0 63

10 b4 D 0D 1D 2 0000000010 78 D 1D 2D 3D 4D 5D 6D 7 01 D 0D 1D 2D 3D 4D 5D 6D 7

S 0D 1D 2D 3D 4D 5D 6D 7 D 0D 1D 2D 3D 4D 5D 6D 7 D 0D 1D 2T 3C 0C 1C 2C 3

f07070707 f07070707f07070707 8 fb D 1D 2D 3 0 D 4D 5D 6D 7 0 D 0D 1D 2D 3 1 D 0D 1D 2fd0 D 4D 5D 6D 7

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide465

Page 466: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

64B/66B Encoder Reset Condition

The tx_digitalreset signal resets the 64B/66B encoder. During the resetcondition, the 64B/66B encoder does not output any signal in contrast with the8B/10B encoder.

5.2.1.5. Pattern Generators

The Arria 10 transceivers contain hardened generators and checkers to provide asimple and easy way to verify and characterize high speed links. Hardening thepattern generators and checkers save FPGA core logic resources. The following patterngenerator blocks are supported in Arria 10:

• Pseudo Random Binary Sequence (PRBS)

• Pseudo Random Pattern (PRP)

Note: The pattern generators and checkers are supported for non-bonded channels only.

The pattern generators or checkers are enabled by writing to the respective registerbits of the Transceiver. Refer to the Reconfiguration Interface and DynamicReconfiguration chapter for configuration details.

Related Information

Reconfiguration Interface and Dynamic Reconfiguration on page 502

5.2.1.5.1. PRBS Pattern Generator (Shared between Enhanced PCS and Standard PCS)

You can use Arria 10 pseudo-random bit sequence PRBS generator to simulate trafficwithout developing or fully implementing any upper layer of a protocol stack. ThePRBS generator in Arria 10 devices is a shared hardened block between the Standardand Enhanced datapaths through the PCS instead of being two unique instances: onefor Standard PCS and one for the Enhanced PCS. There is only one set of controlsignals and registers for using this feature. The data lines from the various PCSes andshared PRBS, are muxed before they are sent to the PMA. When the PRBS generator isenabled, the data on the PRBS data lines is selected to be sent to the PMA. At anyinstant, either the data from the PCS or the data generated from the PRBS generator,is sent to the PMA.

The PRBS generator can be configured for two widths of the PCS-PMA interface: 10bits and 64 bits. PRBS9 is available in 10-bit and 64-bit PCS-PMA widths. All otherPRBS patterns are available in 64-bit PCS-PMA width only. The PRBS generatorpatterns can only be used when PCS-PMA interface width is configured to 10 bits or 64bits.

Table 254. Supported PRBS Patterns

PRBS Pattern 10 bit PCS-PMA width 64 bit PCS-PMA width

PRBS7: x7 + x6 + 1 Yes

PRBS9: x9 + x5 + 1 Yes Yes

PRBS15: x15 + x14 + 1 Yes

PRBS23: x23 + x18 + 1 Yes

PRBS31: x31 + x28 + 1 Yes

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide466

Page 467: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

PRBS test patterns may be considered equivalent to "noise". Use these patterns totest the transceiver link with a noisy signal by placing the transceiver in loopbackmode.

Use PRBS7 and PRBS9 to test transceiver links with linear impairments, and with 8B/10B.

Use PRBS15 for jitter evaluation.

Use PRBS23 or PRBS31 for jitter evaluation (data-dependent jitter) of non-8B/10Blinks, such as SDH/SONET/OTN jitter testers. Most 40G, 100G, and 10G applicationsuse PRBS31 for link evaluation.

Figure 240. PRBS Generator for Serial Implementation of PRBS9 Pattern

S0 S1 S4 S5 S8

PRBS Output

Note: All supported PRBS generators are similar to the PRBS9 generator.

Refer to the Reconfiguration Interface and Dynamic Reconfiguration chapter forconfiguration details.

Related Information

Reconfiguration Interface and Dynamic Reconfiguration on page 502

5.2.1.5.2. Pseudo-Random Pattern Generator

The pseudo-random pattern (PRP) generator is specifically designed for the 10GBASE-R and 1588 protocols. The PRP generator block operates in conjunction with thescrambler to generate pseudo-random patterns for the TX and RX tests in the 10GEthernet mode. You can use the Arria 10 Pseudo Random Pattern (PRP) generator inthe scrambler to generate random data pattern and seed that the scrambler can use.The PRP mode is a test mode of the scrambler. Two seeds are available to seed thescrambler: all 0s or two local fault-ordered sets. The seed is used in the scrambler toproduce the pattern. PRP is only available when the scrambler is enabled.

Refer to the Reconfiguration Interface and Dynamic Reconfiguration chapter forconfiguration details.

Related Information

Reconfiguration Interface and Dynamic Reconfiguration on page 502

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide467

Page 468: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

5.2.1.6. Scrambler

The scrambler randomizes data to create transitions to DC-balance the signal and helpCDR circuits. The scrambler uses a x58 + x39 +1 polynomial and supports bothsynchronous scrambling used for Interlaken and asynchronous (also called self-synchronized) scrambling used for the 10GBASE-R protocol.

The asynchronous (self-synchronizing) mode does not require an initialization seed.Except for the two sync header bits in each 66-bit data block, the entire 64-bitpayload is scrambled by feeding it into a linear feedback shift register (LFSR)continuously to generate scrambled data while the sync-header bits bypass thescrambler. The initial seed is set to all 1s. You can change the seed for the 10GBASE-Rprotocol using the Native PHY IP Parameter Editor.

Figure 241. Asynchronous Scrambler in Serial Implementation

S0 S1 S38 S39 S56

OUT

IN

S2 S57

In synchronous mode, the scrambler is initially reset to different programmable seedson each lane. The scrambler then runs by itself. Its current state is XOR’d with thedata to generate scrambled data. A data checker in the scrambler monitors the data todetermine if it should be scrambled or not. If a synchronization word is found, it istransmitted without scrambling. If a Scrambler State Word is detected, the currentscramble state is written into the 58-bit scramble state field in the Scrambler StateWord and sent over the link. The receiver uses this scramble state to synchronize thedescrambler. The seed is automatically set for Interlaken protocol.

Figure 242. Synchronous Scrambler Showing Different Programmable Seeds

37 38 570

IN

OUT

LFSR SeedS0 S37 S38 S57

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide468

Page 469: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

5.2.1.7. Interlaken Disparity Generator

The Interlaken disparity generator block is in accordance with the Interlaken protocolspecification and provides a DC-balanced data output.

The Interlaken protocol solves the unbounded baseline wander, or DC imbalance, ofthe 64B/66B coding scheme used in 10Gb Ethernet by inverting the transmitted data.The disparity generator monitors the transmitted data and makes sure that therunning disparity always stays within a ±96-bit bound. It adds the 67th bit (bit 66) tosignal the receiver whether the data is inverted or not.

Table 255. Inversion Bit Definition

Bit 66 Interpretation

0 Bits [63:0] are not inverted; the receiver processes this word without modification

1 Bits [63:0] are inverted; the receiver inverts the bits before processing this word

Note: The Interlaken disparity generator is available to implement the Interlaken protocol.

5.2.1.8. TX Gearbox, TX Bitslip and Polarity Inversion

The TX gearbox adapts the PCS data width to the smaller bus width of the PCS-PMAinterface (Gearbox Reduction). It supports different ratios (FPGA fabric-PCS InterfaceWidth: PCS-PMA Interface Width) such as 66:32, 66:40, 64:32, 40:40, 32:32, 64:64,67:64, and 66:64. The gearbox mux selects a group of consecutive bits from the inputdata bus depending on the gearbox ratio and the data valid control signals.

The TX gearbox also has a bit slipping feature to adjust the data skew betweenchannels. The TX parallel data is slipped on the rising edge of tx_enh_bitslipbefore it is passed to the PMA. The maximum number of the supported bitslips is PCSdata width-1 and the slip direction is from MSB to LSB and from current to previousword.

Figure 243. TX Bitsliptx_enh_bitslip = 2 and PCS width of gearbox is 67

You can use transmitter data polarity inversion to invert the polarity of every bit of theinput data word to the serializer in the transmitter path. The inversion has the sameeffect as swapping the positive and negative signals of the differential TX buffer. Thisis useful if these signals are reversed on the board or backplane layout. Enablepolarity inversion through the Native PHY IP Parameter Editor.

5.2.1.9. KR FEC Blocks

The KR FEC blocks in the Enhanced PCS are designed in accordance with the 10G-KRFEC and 40G-KRFEC of the IEEE 802.3 specification. The KR FEC implements theForward Error Correction (FEC) sublayer, a sublayer between the PCS and PMAsublayers.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide469

Page 470: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Most data transmission systems, such as Ethernet, have minimum requirements forthe bit error rate (BER). However, due to channel distortion or noise in the channel,the required BER may not be achievable. In these cases, adding a forward errorcontrol correction can improve the BER performance of the system.

The FEC sublayer is optional and can be bypassed. When used, it can provideadditional margin to allow for variations in manufacturing and environmentalconditions. FEC can achieve the following objectives:

• Support a forward error correction mechanism for the 10GBASE-R/KR and40GBASE-R/KR protocols.

• Support the full duplex mode of operation of the Ethernet MAC.

• Support the PCS, PMA, and Physical Medium Dependent (PMD) sublayers definedfor the 10GBASE-R/KR and 40GBASE-R/KR protocols.

• Support up to the maximum transceiver data rate on any protocol that is 64/66-bit encoded.

With KR FEC, the BER performance of the system can be improved.

Transcode Encoder

The KR forward error correction (KR FEC) transcode encoder block performs the64B/66B to 65-bit transcoder function by generating the transcode bit. The transcodebit is generated from a combination of 66 bits after the 64B/66B encoder whichconsists of a 2-bit synchronization header (S0 and S1) and a 64-bit payload (D0, D1,…, D63). To ensure a DC-balanced pattern, the transcode word is generated byperforming an XOR function on the second synchronization bit S1 and payload bit D8.The transcode bit becomes the LSB of the 65-bit pattern output of the transcodeencoder.

Figure 244. Transcode Encoder

D63 ... D9 D8 ... D0 S1 S0

D63 ... D9 D8 ... D0 S1^D8

66-Bit Input

65-Bit Output

KR FEC Encoder

FEC (2112,2080) is an FEC code specified in Clause 74 of the IEEE 802.3 specification.The code is a shortened cyclic code (2112, 2080). For each block of 2080 messagebits, another 32 parity checks are generated by the encoder to form a total of 2112bits. The generator polynomial is:

g(x) = x32 + x23 + x21 + x11 + x2 +1

KR FEC Scrambler

The KR FEC scrambler block performs scrambling based on the generation polynomialx58 + x39 +1, which is necessary for establishing FEC block synchronization in thereceiver and to ensure DC balance.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide470

Page 471: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

KR FEC TX Gearbox

The KR FEC TX gearbox converts 65-bit input words to 64-bit output words tointerface the KR FEC encoder with the PMA. This gearbox is different from the TXgearbox used in the Enhanced PCS. The KR FEC TX gearbox aligns with the FEC block.Because the encoder output (also the scrambler output) has its unique word sizepattern, the gearbox is specially designed to handle that pattern.

5.2.2. Receiver Datapath

5.2.2.1. RX Gearbox, RX Bitslip, and Polarity Inversion

The RX gearbox adapts the PMA data width to the larger bus width of the PCS channel(Gearbox Expansion). It supports different ratios (PCS-PMA interface width : FPGAfabric–PCS interface width) such as 32:66, 40:66, 32:67, 32:64, 40:40, 32:32, 64:64,67:64, and 66:64 and a bit slipping feature.

RX bitslip is engaged when the RX block synchronizer or rx_bitslip is enabled toshift the word boundary. On the rising edge of the bitslip signal of the RX blocksynchronizer or rx_bitslip from the FPGA fabric, the word boundary is shifted byone serial bit or 1UI. Each bit slip removes the earliest received bit from the receiveddata.

Figure 245. RX Bitsliprx_bitslip is toggled two times, which shifts the rx_parallel_data boundary two bits.

00000001

00000000 00100000 00200000 00400000

tx_parallel_data (hex)

rx_parallel_data (hex)

tx_ready

rx_ready

rx_clkout

rx_bitslip

The second rising edge of rx_bitslip makes another serial bit slip.

The first rising edge of rx_bitslip makes 1 serial bit

The receiver gearbox can invert the polarity of the incoming data. This is useful if thereceiver signals are reversed on the board or backplane layout. Enable polarityinversion through the Native PHY IP Parameter Editor.

Data valid generation logic is essential for gearbox operation. Each block of data isaccompanied by rx_enh_data_valid data valid signal which “qualifies” the block asvalid or not. The data valid toggling pattern is dependent on the data width conversionratio. For example, if the ratio is 66:40, the data valid signal is high in 20 out of 33cycles or approximately 2 out of 3 cycles and the pattern repeats every 33rx_clkout RX low-speed parallel clock cycles.

Note: If a design is slipping more bits than the PCS/PMA width, the Enhanced RX PCS FIFOcould overflow. To clear the overflow, assert rx_digitalreset.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide471

Page 472: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

5.2.2.2. Block Synchronizer

The block synchronizer determines the block boundary of a 66-bit word in the case ofthe 10GBASE-R protocol or a 67-bit word in the case of the Interlaken protocol. Theincoming data stream is slipped one bit at a time until a valid synchronization header(bits 65 and 66) is detected in the received data stream. After the predefined numberof synchronization headers (as required by the protocol specification) is detected, theblock synchronizer asserts rx_enh_blk_lock (block lock status signal) to otherreceiver PCS blocks down the receiver datapath and to the FPGA fabric.

Note: The block synchronizer is designed in accordance with Interlaken Protocol specification(as described in Figure 13 of Interlaken Protocol Definition v1.2) and 10GBASE-Rprotocol specification (as described in IEEE 802.3-2008 clause-49).

5.2.2.3. Interlaken Disparity Checker

The Interlaken disparity checker examines the received inversion bit inserted by thefar end disparity generator, to determine whether to reverse the inversion process ofthe Interlaken disparity generation.

Note: The Interlaken disparity checker is available to implement the Interlaken protocol.

5.2.2.4. Descrambler

The descrambler block descrambles received data to regenerate unscrambled datausing the x58 + x39 +1 polynomial. Like the scrambler, it operates in asynchronousmode or synchronous mode.

Related Information

Scrambler on page 468

5.2.2.5. Interlaken Frame Synchronizer

The Interlaken frame synchronizer delineates the metaframe boundaries and searchesfor each of the framing layer control words: Synchronization, Scrambler State, Skip,and Diagnostic. When four consecutive synchronization words have been identified,the frame synchronizer achieves the frame locked state. Subsequent metaframes arethen checked for valid synchronization and scrambler state words. If four consecutiveinvalid synchronization words or three consecutive mismatched scrambler state wordsare received, the frame synchronizer loses frame lock. In addition, the framesynchronizer provides rx_enh_frame_lock (receiver metaframe lock status) to theFPGA fabric.

Note: The Interlaken frame synchronizer is available to implement the Interlaken protocol.

5.2.2.6. 64B/66B Decoder and Receiver State Machine (RX SM)

The 64B/66B decoder reverses the 64B/66B encoding process. The decoder block alsocontains a state machine (RX SM) designed in accordance with the IEEE802.3-2008specification. The RX SM checks for a valid packet structure in the data sent from theremote side. It also performs functions such as sending local faults to the MediaAccess Control (MAC)/Reconciliation Sublayer (RS) under reset and substituting errorcodes when the 10GBASE-R and 10GBASE-KR PCS rules are violated.

Note: The 64B/66B decoder is available to implement the 10GBASE-R protocol.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide472

Page 473: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

5.2.2.6.1. PRBS Checker

You can use Arria 10 pseudo-random bit stream (PRBS) checker to easily characterizehigh-speed links without developing or fully implementing any upper layer of aprotocol stack. The PRBS checker in Arria 10 devices is a shared hardened blockbetween the Standard and Enhanced datapaths. Hence, there is only one set of controlsignals and registers for this feature.

You can use the PRBS checker block to verify the pattern generated by the PRBSgenerator. The PRBS checker can be configured for two widths of the PCS-PMAinterface: 10 bits and 64 bits. PRBS9 is available in both 10-bit and 64-bit PCS-PMAwidths. All other PRBS patterns are available in 64-bit PCS-PMA width only. The PRBSchecker patterns can only be used when the PCS-PMA interface width is configured to10 bits or 64 bits.

The pseudo-random bit stream (PRBS) block verifies the pattern generated by thePRBS generator. The verifier supports the 64-bit PCS-PMA interface. PRBS7 supports64-bit width only. PRBS9 supports 10-bit PMA data width to allow testing at a lowerdata rate.

Table 256. Supported PRBS Patterns

PRBS Pattern 10 bit PCS-PMA width 64 bit PCS-PMA width

PRBS7: x7 + x6 + 1 Yes

PRBS9: x9 + x5 + 1 Yes Yes

PRBS15: x15 + x14 + 1 Yes

PRBS23: x23 + x18 + 1 Yes

PRBS31: x31 + x28 + 1 Yes

Figure 246. PRBS9 Verify Serial Implementation

S0 S1 S4 S5 S8

PRBS Error

PRBS datain

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide473

Page 474: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The PRBS checker has the following control and status signals available to the FPGAfabric:

• rx_prbs_done—Indicates the PRBS sequence has completed one full cycle. Itstays high until you reset it with rx_prbs_err_clr.

• rx_prbs_err—Goes high if an error occurs. This signal is pulse-extended toallow you to capture it in the RX FPGA CLK domain.

• rx_prbs_err_clr—Used to reset the rx_prbs_err signal.

Enable the PRBS checker control and status ports through the Native PHY IPParameter Editor in the Quartus Prime software.

5.2.2.7. Pseudo Random Pattern Verifier

The Pseudo Random Pattern (PRP) verifier is available for 10GBASE-R and 10GBASE-R1588 protocol modes. The PRP verifier block operates in conjunction with thedescrambler. The PRP verifier monitors the output of the descrambler when blocksynchronization is achieved.

The rx_prbs_err error signal is shared between the PRBS checker and the PRPverifier.

The PRP verifier:

• Searches for a test pattern (two local faults, or all 0s) or its inverse

• Tracks the number of mismatches with a 16-bit error counter

Figure 247. PRP Verifier

ErrorCounter

Test PatternDetect

Pseudo RandomVerifier

error_countDescrambler

Refer to the Reconfiguration Interface and Dynamic Reconfiguration chapter forconfiguration details.

Related Information

Reconfiguration Interface and Dynamic Reconfiguration on page 502

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide474

Page 475: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

5.2.2.8. 10GBASE-R Bit-Error Rate (BER) Checker

The 10GBASE-R BER checker block is designed in accordance with the 10GBASE-Rprotocol specification as described in IEEE 802.3-2008 clause-49. After block locksynchronization is achieved, the BER checker starts to count the number of invalidsynchronization headers within a 125-μs period. If more than 16 invalidsynchronization headers are observed in a 125-μs period, the BER checker providesthe status signal rx_enh_highber to the FPGA fabric, indicating a high bit error ratecondition.

When the optional control input rx_enh_highber_clr_cnt is asserted, the internalcounter for the number of times the BER state machine has entered the"BER_BAD_SH" state is cleared.

When the optional control input rx_enh_clr_errblk_count is asserted, theinternal counter for the number of times the RX state machine has entered the "RX_E"state for the 10GBASE-R protocol is cleared. In modes where the FEC block inenabled, the assertion of this signal resets the status counters within the RX FECblock.

Note: The 10GBASE-R BER checker is available to implement the 10GBASE-R protocol.

5.2.2.9. Interlaken CRC-32 Checker

The Interlaken CRC-32 checker verifies that the data transmitted has not beencorrupted between the transmit PCS and the receive PCS. The CRC-32 checkercalculates the 32-bit CRC for the received data and compares it against the CRC valuethat is transmitted within the diagnostic word. rx_enh_crc32_err (CRC error signal)is sent to the FPGA fabric.

5.2.2.10. Enhanced PCS RX FIFO

The Enhanced PCS RX FIFO is designed to compensate for the phase, clock, or phaseand clock difference between the receiver channel PCS and the FPGA fabric. It canoperate as a phase-compensation, clock-compensation, elastic buffer, or a deskewFIFO in Interlaken mode. The RX FIFO has a width of 74 bits and a depth of 32 wordsfor all protocols.

The RX FIFO supports the following modes:

• Phase Compensation mode

• Register mode

• Interlaken mode (deskew FIFO)

• 10GBASE-R mode (clock compensation FIFO)

• Basic mode (elastic buffer FIFO)

5.2.2.10.1. Phase Compensation Mode

The RX FIFO compensates for the phase difference between the read clock and writeclocks. rx_clkout (RX parallel low-speed clock) clocks the write side of the RX FIFO.rx_coreclkin (FPGA fabric clock) or rx_clkout clocks the read side of the RXFIFO.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide475

Page 476: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

When phase compensation is used in double-width mode, the FPGA data width isdoubled to allow the FPGA fabric clock to run at half rate, similar to the TX FIFO phasecompensation in double-width mode.

Depth of RX FIFO is constant in this mode, therefore RX FIFO flag status can beignored. You can tie tx_enh_data_valid with one.

5.2.2.10.2. Register Mode

The Register Mode bypasses the FIFO functionality to eliminate the FIFO latencyuncertainty for applications with stringent latency requirements. This is accomplishedby tying the read clock of the FIFO with its write clock.

In Register mode, rx_parallel_data (data), rx_control indicates whetherrx_parallel_data is a data or control word, and rx_enh_data_valid (data valid)are registered at the FIFO output. The RX FIFO in register mode has one registerstage or one parallel clock latency.

Note: Intel recommends that you implement a soft FIFO in the FPGA fabric with minimum of32 words under the following conditions:

• When the Enhanced PCS RX FIFO is set to register mode.

• When using the recovered clock to drive the core logics.

• When there is no soft FIFO being generated along with the IP Catalog.

5.2.2.10.3. Interlaken Mode

In Interlaken mode, the RX FIFO operates as an Interlaken deskew FIFO. Toimplement the deskew process, implement an FSM that controls the FIFO operationbased on available FPGA input and output flags.

For example, after frame lock is achieved, data is written after the first alignmentword (SYNC word) is found on that channel. As a result, rx_enh_fifo_pempty (FIFOpartially empty flag ) of that channel goes low. You must monitor therx_enh_fifo_pempty and rx_enh_fifo_pfull flags of all channels. Ifrx_enh_fifo_pempty flags from all channels deassert before anyrx_enh_fifo_pfull flag asserts, which implies alignment word has been found onall lanes of the link, you start reading from all the FIFOs by assertingrx_enh_fifo_rd_en. Otherwise, if a rx_enh_fifo_pfull flag from any channelgoes high before a rx_enh_fifo_pempty flag deassertion on all channels, you mustreset the FIFO by toggling the rx_enh_fifo_align_clr signal and repeating theprocess.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide476

Page 477: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 248. RX FIFO as Interlaken Deskew FIFO

UserDeskew

FSM

FPGA Fabric Interface

rx_enh_fifo_align_clr

rx_enh_fifo_rd_en

rx_enh_fifo_pempty

rx_enh_fifo_pfull

RX FIFO

5.2.2.10.4. 10GBASE-R Mode

In 10GBASE-R mode, the RX FIFO operates as a clock compensation FIFO. When theblock synchronizer achieves block lock, data is sent through the FIFO. Idle orderedsets (OS) are deleted and Idles are inserted to compensate for the clock differencebetween the RX low speed parallel clock and the FPGA fabric clock (±100 ppm for amaximum packet length of 64,000 bytes).

Idle OS Deletion

Deletion of Idles occurs in groups of four OS (when there are two consecutive OS)until the rx_enh_fifo_pfull flag deasserts. Every word—consisting of a lower word(LW) and an upper word (UW)—is checked for whether it can be deleted by looking atboth the current and previous words.

For example, the current LW can be deleted if it is Idle and the previous UW is not aTerminate.

Table 257. Conditions Under Which a Word Can be DeletedIn this table X=don’t care, T=Terminate, I=Idle, and OS=order set.

Deletable Case Word Previous Current Output

Lower Word 1 UW !T X !T X

LW X I X X

2 UW OS X OS X

LW X OS X X

Upper Word 1 UW X I X X

LW X !T X !T

2 UW X OS X X

LW X OS X OS

If only one word is deleted, data shifting is necessary because the datapath is twowords wide. After two words have been deleted, the FIFO stops writing for one cycleand a synchronous flag (rx_control[8]) appears on the next block of 8-byte data.There is also an asynchronous status signal rx_enh_fifo_del, which does not gothrough the FIFO.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide477

Page 478: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 249. IDLE Word DeletionThis figure shows the deletion of IDLE words from the receiver data stream.

00000000000004ADh 00000000000004AEh 0707070707FD0000h 000000FB07070707h

00000000000004ADh 00000000000004AEh 0707070707FD0000h AAAAAAAA000000FBh

Idle Deleted

Before Deletion

After Deletion

rx_parallel_data[79:0]

rx_parallel_data[79:0]

Figure 250. OS Word DeletionThis figure shows the deletion of Ordered set words in the receiver data stream.

OS Deleted

Before Deletion

After DeletionFD000000000004AEh 000000FBDDDDDD9Ch AAAAAAAA00000000h 00000000AAAAAAAAh

FD000000000004AEh DDDDDD9CDDDDDD9Ch 00000000000000FBh AAAAAAAAAAAAAAAAhrx_parallel_data[79:0]

rx_parallel_data[79:0]

Idle Insertion

Idle insertion occurs in groups of 8 Idles when the rx_enh_fifo_pempty flag isdeasserted. Idles can be inserted following Idles or OS. Idles are inserted in groups of8 bytes. Data shifting is not necessary. There is a synchronous statusrx_enh_fifo_insert signal that is attached to the 8-byte Idles being inserted.

Table 258. Cases Where Two Idle Words are InsertedIn this table X=don’t care, S=start, OS=order set, I-DS=idle in data stream, and I-In=idle inserted. In cases 3and 4, the Idles are inserted between the LW and UW.

Case Word Input Output

1 UW I-DS I-DS I-In

LW X X I-In

2 UW OS OS I-In

LW X X I-In

3 UW S I-In S

LW I-DS I-DS I-In

4 UW S I-In S

LW OS OS I-In

Figure 251. IDLE Word InsertionThis figure shows the insertion of IDLE words in the receiver data stream.

Idle Inserted

Before Insertion

After InsertionFD000000000004AEh BBBBBB9CDDDDDD9Ch 0707070707070707h 00000000000000FBh

FD000000000004AEh BBBBBB9CDDDDDD9Ch 00000000000000FBh AAAAAAAAAAAAAAAAhrx_parallel_data[79:0]

rx_parallel_data[79:0]

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide478

Page 479: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

5.2.2.10.5. Basic Mode

In Basic mode, the RX FIFO operates as an elastic buffer, where buffer depths canvary. This mode allows driving write and read side of RX FIFO with different clockfrequencies. Monitor the FIFO flag to control write and read operations. For RX FIFO,assert rx_enh_read_en signal with rx_fifo_pfull signal going low.

5.2.2.11. RX KR FEC Blocks

KR FEC Block Synchronization

You can obtain FEC block delineation for the RX KR FEC by locking onto correctlyreceived FEC blocks with the KR FEC block synchronization. You can also use the KRFEC up to the maximum transceiver data rate on any protocol that is 64/66-bitencoded.

Note: The KR FEC block synchronization is available to implement the 10GBASE-KR protocol.

KR FEC Descrambler

The KR FEC descrambler block descrambles received data to regenerate unscrambleddata using the x58 + x39 +1 polynomial. Before the block boundary in the KR FEC syncblock is detected, the data at the input of the descrambler is sent directly to the KRFEC decoder. When the boundary is detected, the aligned word from the KR FEC syncblock is descrambled with the Pseudo Noise (PN) sequence and then sent to the KRFEC decoder.

KR FEC Decoder

The KR FEC decoder block performs the FEC (2112, 2080) decoding function byanalyzing the received 32 65-bit blocks for errors. It can correct burst errors of 11 bitsor less per FEC block.

KR FEC RX Gearbox

The KR FEC RX gearbox block adapts the PMA data width to the larger bus width ofthe PCS channel. It supports a 64:65 ratio.

Transcode Decoder

The transcode decoder block performs the 65-bit to 64B/66B reconstruction functionby regenerating the 64B/66B synchronization header.

5.3. Arria 10 Standard PCS Architecture

The standard PCS can operate at a data rate of up to 10.8 Gbps. Protocols such as PCIExpress, CPRI 4.2+, GigE, IEEE 1588 are supported in Hard PCS while the otherprotocols can be implemented using Basic/Custom (Standard PCS) transceiverconfiguration rules.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide479

Page 480: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 252. Standard PCS Datapath Diagram

RX FIFO

ByteDeserializer

8B/10B Decoder

Rate Match FIFO

Receiver PMA

Word Aligner

Deserializer

CDR

Receiver Standard PCS

Transmitter Standard PCS Transmitter PMA

Serializer

tx_serial_datarx_serial_data

FPGAFabric

TX FIFO

Byte Serializer

8B/10B Encoder

PRBSGenerator

TX Bit Slip

/2, /4

/2, /4

Parallel Clock

Serial Clock

Parallel and Serial Clock Parallel and Serial Clock

Clock Divider

rx_pma_div_clkout

Serial Clock

Clock Generation Block (CGB)ATX PLL

CMU PLL fPLL

tx_coreclkin

rx_coreclkin

rx_clkout ortx_clkout

Parallel Clock(Recovered)

Parallel Clock(From Clock

Divider)

tx_clkout

tx_clkout

tx_clkout

rx_clkout

PRBSVerifier

tx_pma_div_clkout

5.3.1. Transmitter Datapath

5.3.1.1. TX FIFO (Shared with Enhanced PCS and PCIe Gen3 PCS)

The TX FIFO interfaces between the transmitter PCS and the FPGA fabric and ensuresreliable transfer of data and status signals. It compensates for the phase differencebetween the FPGA fabric clock and tx_clkout (the low-speed parallel clock). The TXFIFO has a depth of 8 and operates in low latency mode, register mode, and fastregister mode.

Figure 253. TX FIFO Block Diagram

TXFIFO

Datapath from FPGA Fabricor PIPE Interface

tx_coreclkintx_clkout

Datapath to Byte Serializer,8B/10B Encoder,or Serializer

wr_clkrd_clk

You can control the write port using tx_clkout or tx_coreclkin. Use thetx_clkout signal for a single channel and tx_coreclkin when using multiplechannels. The TX FIFO is shared with PCIe Gen3 and Enhanced PCS data paths.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide480

Page 481: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

5.3.1.1.1. TX FIFO Low Latency Mode

The low latency mode incurs two to three cycles of latency (latency uncertainty) whenconnecting it with the FPGA fabric. The FIFO empty and the FIFO full threshold valuesare made closer so that the depth of the FIFO decreases, which in turn decreases thelatency.

5.3.1.1.2. TX FIFO Register Mode

The register mode bypasses the FIFO functionality to eliminate the FIFO latencyuncertainty for applications with stringent latency requirements. This is accomplishedby tying the read clock of the FIFO with its write clock. The register mode incurs onlyone clock cycle of latency when interfacing to the FPGA fabric.

5.3.1.1.3. TX FIFO Fast Register Mode

This mode allows a higher maximum frequency (fMAX) between the FPGA fabric andthe TX PCS by enabling the optional fast register interface with additional latency.

5.3.1.2. Byte Serializer

In certain applications, the FPGA fabric cannot operate at the same clock rate as thetransmitter channel (PCS) because the transmitter channel is capable of operating athigher clock rates compared to the FPGA fabric. The byte serializer allows thetransmitter channel to operate at higher data rates while keeping the FPGA fabricinterface clock rate below its maximum limit. This is accomplished by increasing thechannel width two or four times (FPGA fabric-to-PCS interface width) and dividing theclock (tx_clkout) rate by 2 or 4. The byte serializer can be disabled, or operate inSerialize x2 or Serialize x4 modes.

Figure 254. Byte Serializer Block Diagram

ByteSerializer

dataout(to the 8B/10 Encoderor the TX Bit Slip)

datain (from the TX FIFO)

/2,/4tx_clkout

Related Information

• Resetting Transceiver Channels on page 416

• Implementing Protocols in Arria 10 Transceivers on page 32

5.3.1.2.1. Bonded Byte Serializer

The bonded byte serializer is available in Arria 10 devices, and is used in applicationssuch as PIPE, CPRI, and custom applications where multiple channels are groupedtogether. The bonded byte serializer is implemented by bonding all the control signalsto prevent skew induction between channels during byte serialization. In thisconfiguration, one of the channels acts as master and the remaining channels act asslaves.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide481

Page 482: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

5.3.1.2.2. Byte Serializer Disabled Mode

In disabled mode, the byte serializer is bypassed. The data from the TX FIFO isdirectly transmitted to the 8B/10B encoder, TX Bitslip, or Serializer, depending onwhether or not the 8B/10B encoder and TX Bitslip are enabled. Disabled mode is usedin low speed applications such as GigE, where the FPGA fabric and the TX standardPCS can operate at the same clock rate.

5.3.1.2.3. Byte Serializer Serialize x2 Mode

The serialize x2 mode is used in high-speed applications such as the PCIe Gen1 orGen2 protocol implementation, where the FPGA fabric cannot operate as fast as the TXPCS.

In serialize x2 mode, the byte serializer serializes 16-bit, 20-bit (when 8B/10Bencoder is not enabled), 32-bit, and 40-bit (when 8B/10B encoder is not enabled)input data into 8-bit, 10-bit, 16-bit, and 20-bit data, respectively. As the parallel datawidth from the TX FIFO is halved, the clock rate is doubled.

After byte serialization, the byte serializer forwards the least significant word firstfollowed by the most significant word. For example, if the FPGA fabric-to-PCSInterface width is 32, the byte serializer forwards tx_parallel_data[15:0] first,followed by tx_parallel_data[31:16].

Related Information

PCI Express (PIPE) on page 229For more information about using the Serialize x2 mode in the PCIe protocol.

5.3.1.2.4. Byte Serializer Serialize x4 Mode

The serialize x4 mode is used in high-speed applications such as the PCIe Gen3protocol mode, where the FPGA fabric cannot operate as fast as the TX PCS.

In serialize x4 mode, the byte serializer serializes 32-bit data into 8-bit data. As theparallel data width from the TX FIFO is divided four times, the clock rate isquadrupled.

After byte serialization, the byte serializer forwards the least significant word firstfollowed by the most significant word. For example, if the FPGA fabric-to-PCSInterface width is 32, the byte serializer forwards tx_parallel_data[7:0] first,followed by tx_parallel_data[15:8], tx_parallel_data[23:16] andtx_parallel_data[31:24].

Related Information

PCI Express (PIPE) on page 229For more information about using the Serialize x4 mode in the PCIe protocol.

5.3.1.3. 8B/10B Encoder

The 8B/10B encoder takes in 8-bit data and 1-bit control as input and converts theminto a 10-bit output. The 8B/10B encoder automatically performs running disparitycheck for the 10-bit output. Additionally, the 8B/10B encoder can control the runningdisparity manually using the tx_forcedisp and tx_dispval ports.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide482

Page 483: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 255. 8B/10B Encoder Block Diagrams

8B/10B Encoderdataout[9:0]

To the Serializerdatain[7:0]

tx_datak

tx_forcedisp

tx_dispval

From the Byte Serializer

When the PCS-PMA Interface Width is 10 bits

8B/10B Encoder

dataout[19:10]

To the Serializer

datain[15:8]

tx_datak[1]

tx_forcedisp[1]

tx_dispval[1]

From the Byte Serializer

dataout[9:0]

datain[7:0]

tx_datak[0]

tx_forcedisp[0]

tx_dispval[0]

MSBEncoding

LSBEncoding

When the PCS-PMA Interface Width is 20 bits

When the PCS-PMA interface width is 10 bits, one 8B/10B encoder is used to convertthe 8-bit data into a 10-bit output. When the PCS-PMA interface width is 20 bits, twocascaded 8B/10B encoders are used to convert the 16-bit data into a 20-bit output.The first eight bits (LSByte) is encoded by the first 8B/10B encoder and the next eightbits (MSByte) is encoded by the second 8B/10B encoder. The running disparity of theLSByte is calculated first and passed on to the second encoder to calculate the runningdisparity of the MSByte.

Note: You cannot enable the 8B/10B encoder when the PCS-PMA interface width is 8 bits or16 bits.

5.3.1.3.1. 8B/10B Encoder Control Code Encoding

Figure 256. Control Code Encoding Diagram

tx_clkout

8378 BCBC 0F00 BF3C

0 1 0

D3.4 D24.3 D28.5 K28.5 D15.0 D0.0 D31.5 D28.1

tx_parallel_data[15:0]

tx_datak[1:0]

Code Group

The tx_datak signal indicates whether the 8-bit data being sent at thetx_parallel_data port should be a control word or a data word. When tx_datakis high, the 8-bit data is encoded as a control word (Kx.y). When tx_datak is low,the 8-bit data is encoded as a data word (Dx.y). Depending upon the PCS-PMAinterface width, the width of tx_datak is either 1 bit or 2 bits. When the PCS-PMAinterface width is 10 bits, tx_datak is a 1-bit word. When the PCS-PMA interfacewidth is 20 bits, tx_datak is a 2-bit word. The LSB of tx_datak corresponds to theLSByte of the input data sent to the 8B/10B encoder and the MSB corresponds to theMSByte of the input data sent to the 8B/10B encoder.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide483

Page 484: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Related Information

Refer to Specifications & Additional Information for more information about 8B/10Bencoder codes.

5.3.1.3.2. 8B/10B Encoder Reset Condition

The tx_digitalreset signal resets the 8B/10B encoder. During the reset condition,the 8B/10B encoder outputs K28.5 continuously until tx_digitalreset goes low.

5.3.1.3.3. 8B/10B Encoder Idle Character Replacement Feature

The idle character replacement feature is used in protocols such as Gigabit Ethernet,which requires the running disparity to be maintained during idle sequences. Duringthese idle sequences, the running disparity has to be maintained such that the firstbyte of the next packet always starts when the running disparity of the current packetis negative.

When an ordered set, which consists of two code-groups, is received by the 8B/10Bencoder, the second code group is converted into /I1/ or /I2 so that the final runningdisparity of the data code-group is negative. The first code group is /K28.5/ and thesecond code group is a data code-group other than /D21.5/ or /D2.2/. The orderedset /I1/ (/K28.5/D5.6/) is used to flip the running disparity and /I2/ (/K28.5/D16.2/)is used to preserve the running disparity.

5.3.1.3.4. 8B/10B Encoder Current Running Disparity Control Feature

The 8B/10B encoder performs a running disparity check on the 10-bit output data.The running disparity can also be controlled using tx_forcedisp and tx_dispval.When the PCS-PMA interface width is 10 bits, tx_forcedisp and tx_dispval areone bit each. When the PCS-PMA interface width is 20 bits, tx_forcedisp andtx_dispval are two bits each. The LSB of tx_forcedisp and tx_dispvalcorresponds to the LSByte of the input data and the MSB corresponds to the MSByteof the input data.

5.3.1.3.5. 8B/10B Encoder Bit Reversal Feature

Figure 257. 8B/10B Encoder Bit Reversal Feature

0 77

Bit Reversal Mode(8B/10B Encoder)

0

Output Data

Input Data

The bit reversal feature reverses the order of the bits of the input data. Bit reversal isperformed at the output of the 8B/10B Encoder and is available even when the8B/10B Encoder is disabled. For example, if the input data is 20-bits wide, bit reversalswitches bit [0] with bit [19], bit [1] with bit [18] and so on.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide484

Page 485: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

5.3.1.3.6. 8B/10B Encoder Byte Reversal Feature

Figure 258. 8B/10B Encoder Byte Reversal Feature

Byte Reversal Mode(8B/10B Encoder)

A AB B

8 15 0 7 0 7 8 15

OutputData

InputData

The byte reversal feature is available only when the PCS-PMA interface width is 16 bitsor 20 bits. Byte reversal is performed at the output of the 8B/10B Encoder and isavailable even when the 8B/10B Encoder is disabled. This feature swaps the LSBytewith the MSByte. For example, when the PCS-PMA interface width is 16-bits, [7:0] bits(LSByte) gets swapped with [15:8] bits (MSByte).

5.3.1.4. Polarity Inversion Feature

The polarity inversion feature is used in situations where the positive and the negativesignals of a serial differential link are erroneously swapped during board layout. Youcan control this feature through tx_polinv port, by enabling the Enable TXPolarity Inversion option under the Standard PCS tab of the Native PHY IP Core. Thepolarity inversion feature inverts the value of each bit of the input data. For example,if the input data is 00101001, then the data gets changed to 11010110 after polarityinversion.

5.3.1.5. Pseudo-Random Binary Sequence (PRBS) Generator

Note: Refer to the PRBS Generator section in the Enhanced PCS Architecture chapter.

Related Information

Arria 10 Enhanced PCS Architecture on page 461

5.3.1.6. TX Bit Slip

The TX bit slip allows the word boundary to be controlled bytx_std_bitslipboundarysel. The TX bit slip feature is used in applications, suchas CPRI, which has a data rate greater than 6 Gbps. The maximum number of thesupported bit slips is PCS data width-1 and the slip direction is from MSB to LSB andfrom current to previous word.

5.3.2. Receiver Datapath

5.3.2.1. Word Aligner

The word aligner receives the serial data from the PMA and realigns the serial data tohave the correct word boundary according to the word alignment pattern configured.This word alignment pattern can be 7, 8, 10, 16, 20, 32 and 40 bits in length.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide485

Page 486: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Depending on your PCS-PMA interface width, the word aligner can be configured inone of the following modes:

• Bit slip

• Manual alignment

• Synchronous state machine

• Deterministic latency

Figure 259. Word Aligner Conditions and ModesWord

Aligner

SingleWidth

DoubleWidth

8 Bit

Bit Slip Manual

10 Bit

Bit Slip ManualDeterministicLatency (1)

SynchronousState Machine

16 Bit

Bit Slip Manual

20 Bit

Bit Slip ManualDeterministicLatency (1)

SynchronousState Machine

Note:1. This option is available in CPRI mode.

5.3.2.1.1. Word Aligner Bit Slip Mode

In bit slip mode, the word aligner operation is controlled by rx_bitslip, which hasto be held for two parallel clock cycles. At every rising edge of rx_bitslip, the bitslip circuitry slips one bit into the received data stream, effectively shifting the wordboundary by one bit. Pattern detection is not used in bit slipping mode; therefore,rx_syncstatus is not valid in this mode.

5.3.2.1.2. Word Aligner Manual Mode

In manual alignment mode, the word aligner operation is controlled byrx_std_wa_patternalign. The word aligner operation is edge-sensitive or level-sensitive to rx_std_wa_patternalign, depending upon the PCS-PMA interfacewidth selected.

Table 259. Word Aligner rx_std_wa_patternalign Behavior

PCS-PMA Interface Width rx_std_wa_patternalign Behavior

8 Rising edge sensitive

10 Level sensitive

16 Rising edge sensitive

20 Rising edge sensitive

If rx_std_wa_patternalign is asserted, the word aligner looks for theprogrammed word alignment pattern in the received data stream. It updates the wordboundary if it finds the word alignment pattern in a new word boundary. Ifrx_std_wa_patternalign is deasserted, the word aligner maintains the currentword boundary even when it sees the word alignment pattern in a new wordboundary.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide486

Page 487: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The rx_syncstatus and rx_patterndetect signals, with the same latency as thedatapath, are forwarded to the FPGA fabric to indicate the word aligner status.

After receiving the first word alignment pattern after rx_std_wa_patternalign isasserted, both rx_syncstatus and rx_patterndetect are driven high for oneparallel clock cycle. Any word alignment pattern received thereafter in the same wordboundary causes only rx_patterndetect to go high for one clock cycle. Any wordalignment pattern received thereafter in a different word boundary causes the wordaligner to re-align to the new word boundary only if rx_std_wa_patternalign isasserted. The word aligner asserts rx_syncstatus for one parallel clock cyclewhenever it re-aligns to the new word boundary.

5.3.2.1.3. Word Aligner Synchronous State Machine Mode

In synchronous state machine mode, when the programmed number of validsynchronization code groups or ordered sets is received, rx_syncstatus is drivenhigh to indicate that synchronization is acquired. The rx_syncstatus signal isconstantly driven high until the programmed number of erroneous code groups isreceived without receiving intermediate good groups, after which rx_syncstatus isdriven low.

The word aligner indicates loss of synchronization (rx_syncstatus remains low)until the programmed number of valid synchronization code groups are receivedagain.

5.3.2.1.4. Word Aligner Deterministic Latency Mode

In deterministic latency mode, the state machine removes the bit level latencyuncertainty. The deserializer of the PMA creates the bit level latency uncertainty as itcomes out of reset.

The PCS performs pattern detection on the incoming data from the PMA. The PCSaligns the data, after it indicates to the PMA the number of serial bits to clock slip theboundary.

If the incoming data has to be realigned, rx_std_wa_patternalign must bereasserted to initiate another pattern alignment. Assertingrx_std_wa_patternalign can cause the word align to lose synchronization ifalready achieved. This may cause rx_syncstatus to go low.

Table 260. PCS-PMA Interface Widths and Protocol Implementations

PCS-PMA Interface Width Protocol Implementations

8 Basic

10 • Basic• Basic rate match• CPRI• PCIe Gen1 and Gen2• GigE

16 Basic

20 • CPRI• Basic• Basic rate match

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide487

Page 488: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

5.3.2.1.5. Word Aligner Pattern Length for Various Word Aligner Modes

Table 261. Word Aligner Pattern Length for Various Word Aligner Modes

PCS-PMAInterface

Width

Supported WordAligner Modes

SupportedWord Aligner

PatternLengths

rx_std_wa_patternalign behavior

rx_syncstatusbehavior

rx_patterndetectbehavior

8 Bit slip 8 rx_std_wa_patternalign has noeffect on wordalignment. Thesingle width wordaligner updates theword boundary,only when theFPGA fabric-asserted BITSLIPsignal toggles.

N/A N/A

Manual 8, 16 Word alignment iscontrolled byrx_std_wa_patternalign and isedge-sensitive tothis signal.

Asserted high forone parallel clockcycle when theword aligner alignsto a new boundary.

Asserted high forone parallel clockcycle when the wordalignment patternappears in thecurrent wordboundary.

10 Bit slip 7 rx_std_wa_patternalign has noeffect on wordalignment. Thesingle width wordaligner updates theword boundary,only when theFPGA fabric-asserted BITSLIPsignal toggles.

N/A N/A

Manual 7, 10 Word alignment iscontrolled byrx_std_wa_patternalign and islevel-sensitive tothis signal.

Asserted high forone parallel clockcycle when theword aligner alignsto a new boundary.

Asserted high forone parallel clockcycle when the wordalignment patternappears in thecurrent wordboundary.

Deterministic latency(CPRI mode only)

10 Word alignment iscontrolled byrx_std_wa_patternalign (edge-sensitive to thissignal) and thestate machineworks inconjunction withPMA to achievedeterministiclatency on the RXpath for CPRI andOBSAI applications.

— —

Synchronous StateMachine

7, 10 rx_std_wa_patternalign has noeffect on wordalignment.

Stays high as longas thesynchronizationconditions aresatisfied.

Asserted high forone parallel clockcycle when the wordalignment pattern

continued...

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide488

Page 489: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

PCS-PMAInterface

Width

Supported WordAligner Modes

SupportedWord Aligner

PatternLengths

rx_std_wa_patternalign behavior

rx_syncstatusbehavior

rx_patterndetectbehavior

appears in thecurrent wordboundary.

16 Bit slip 16 rx_std_wa_patternalign has noeffect on wordalignment. Thedouble width wordaligner updates theword boundary,only when theFPGA fabric-asserted BITSLIPsignal toggles.

N/A N/A

Manual 8, 16, 32 Word alignment iscontrolled byrising-edge ofrx_std_wa_patternalign.

Stays high afterthe word aligneraligns to the wordalignment pattern.Goes low onreceiving a risingedge onrx_std_wa_patternalign until anew wordalignment patternis received.

Asserted high forone parallel clockcycle when the wordalignment patternappears in thecurrent wordboundary.

20 Bit slip 7 rx_std_wa_patternalign has noeffect on wordalignment. Thedouble width wordaligner updates theword boundary,only when theFPGA fabric-asserted BITSLIPsignal toggles.

N/A N/A

Manual 7, 10, 20, 40 Word alignment iscontrolled by risingedge ofrx_std_wa_patternalign.

Stays high afterthe word aligneraligns to the wordalignment pattern.Goes low onreceiving a risingedge onrx_std_wa_patternalign until anew wordalignment patternis received.

Asserted high forone parallel clockcycle when the wordalignment patternappears in thecurrent wordboundary.

Deterministic latency(CPRI mode only)

10 Word alignment iscontrolled byrx_std_wa_patternalign (edge-sensitive to thissignal) and thedeterministiclatency statemachine whichcontrols the PMA to

— —

continued...

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide489

Page 490: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

PCS-PMAInterface

Width

Supported WordAligner Modes

SupportedWord Aligner

PatternLengths

rx_std_wa_patternalign behavior

rx_syncstatusbehavior

rx_patterndetectbehavior

achievedeterministiclatency on the RXpath for CPRI andOBSAI applications.

Synchronous StateMachine

7, 10, 20 FPGA fabric-drivenrx_std_wa_patternalign signalhas no effect onword alignment.

Stays high as longas thesynchronizationconditions aresatisfied.

Asserted high forone parallel clockcycle when the wordalignment patternappears in thecurrent wordboundary.

5.3.2.1.6. Word Aligner RX Bit Reversal Feature

The RX bit reversal feature reverses the order of the data received from the PMA. It isperformed at the output of the Word Aligner and is available even when the WordAligner is disabled. If the data received from the PMA is a 10-bit data width, the bitreversal feature switches bit [0] with bit [9], bit [1] with bit [8], and so on. Forexample, if the 10-bit data is 1000010011, the bit reversal feature, when enabled,changes the data to 1100100001.

5.3.2.1.7. Word Aligner RX Byte Reversal Feature

The RX byte reversal feature is available only when the PCS-PMA interface width is 16bits or 20 bits. This feature reverses the order of the data received from the PMA. RXbyte reversal reverses the LSByte of the received data with its MSByte and vice versa.If the data received is 20-bits, bits[0..9] are swapped with bits[10..20] so that theresulting 20-bit data is [[10..20],[0..9]]. For example, if the 20-bit data is11001100001000011111, the byte reversal feature changes the data to10000111111100110000.

5.3.2.2. RX Polarity Inversion Feature

The RX polarity inversion feature inverts each bit of the data received from the PMA. Ifthe data received is a 10-bit data. Bit[0] content is inverted to its complement,~bit[0], bit[1] is inverted to its complement, ~bit[1], bit[2] is inverted to itscomplement, ~bit[2], and so on. For example, if the 10-bit data is 1111100000, thepolarity inversion feature inverts it to 0000011111.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide490

Page 491: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

5.3.2.3. Rate Match FIFO

The rate match FIFO compensates for the frequency differences between the localclock and the recovered clock up to ± 300 ppm by inserting and deleting skip/idlecharacters in the data stream. The rate match FIFO has several different protocolspecific modes of operation. All of the protocol specific modes depend upon thefollowing parameters:

• Rate match deletion—occurs when the distance between the write and readpointers exceeds a certain value due to write clock having a higher frequency thanthe read clock.

• Rate match insertion—occurs when the distance between the write and the readpointers becomes less than a certain value due to the read clock having a higherfrequency than the write clock.

• Rate match full—occurs when the write pointer wraps around and catches up tothe slower-advancing read pointer.

• Rate match empty—occurs when the read pointer catches up to the slower-advancing write pointer.

Rate match FIFO operates in six modes:

• Basic single width

• Basic double width

• GigE

• PIPE

• PIPE 0 ppm

• PCIe

Related Information

• Rate Match FIFO in Basic (Single Width) Mode on page 306For more information about implementing rate match FIFO in basic single widthmode.

• Rate Match FIFO Basic (Double Width) Mode on page 308For more information about implementing rate match FIFO in basic doublewidth mode.

• How to Implement GbE, GbE with IEEE 1588v2 in Arria 10 Transceivers on page118

For more information about implementing rate match FIFO in GigE mode.

• PCI Express (PIPE) on page 229For more information about implementing rate match FIFO in PCIe mode.

• How to Implement PCI Express (PIPE) in Arria 10 Transceivers on page 246For more information about implementing rate match FIFO in PIPE mode.

• Using the Basic/Custom, Basic/Custom with Rate Match Configurations of StandardPCS on page 300

• How to Implement the Basic Rate Match Protocol Using the Arria 10 TransceiverNative PHY IP Core on page 300

For more information about implementing rate match FIFO for each mode.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide491

Page 492: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

5.3.2.4. 8B/10B Decoder

The general functionality for the 8B/10B decoder is to take a 10-bit encoded value asinput and produce an 8-bit data value and a 1-bit control value as output. Inconfigurations with the rate match FIFO enabled, the 8B/10B decoder receives datafrom the rate match FIFO. In configurations with the rate match FIFO disabled, the8B/10B decoder receives data from the word aligner. The 8B/10B decoder operates intwo conditions:

• When the PCS-PMA interface width is 10 bits and FGPA fabric-PCS interface widthis 8 bits

• When the PCS-PMA interface width is 20 bits and FPGA fabric-PCS interface widthis 16 bits

Figure 260. 8B/10B Decoder in Single-Width and Double-Width ModeSingle-Width Mode

Current Running Disparity

datain[19:10] rx_dataout[15:8]

rx_datak[1]

rx_errdetect[1]

rx_disperr[1]

recovered clock ortx_clkout[0]

datain[9:0] rx_dataout[7:0]

rx_datak[0]

rx_errdetect[0]

rx_disperr[0]

recovered clock ortx_clkout[0]

Double-Width Mode

8B/10B Decoder(MSByte)

8B/10B Decoder(LSByte)

datain[9:0] rx_dataout[7:0]

rx_datak

rx_errdetect

rx_disperr

recovered clock ortx_clkout[0]

8B/10B Decoder(LSByte)

When the PCS-PMA interface width is 10 bits, only one 8B/10B decoder is used toperform the conversion. When the PCS-PMA interface width is 20 bits, two cascaded8B/10B decoders are used. The 10-bit LSByte of the received 20-bit encoded data isdecoded first and the ending running disparity is forwarded to the 8B/10B decoderresponsible for decoding the 10-bit MSByte. The cascaded 8B/10B decoder decodesthe 20- bit encoded data into 16-bit data + 2-bit control identifier. The MSB and LSBof the 2-bit control identifier correspond to the MSByte and LSByte of the 16-bitdecoded data code group. The decoded data is fed to the byte deserializer or the RXFIFO.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide492

Page 493: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

5.3.2.4.1. 8B/10B Decoder Control Code Encoding

Figure 261. 8B/10B Decoder in Control Code Group Detection

datain[9:0]

dataout[7:0]

D31.5D3.4 D24.3 D28.5 K28.5 D15.0 D0.0

BF0083 78 BC BC 0F

tx_clkout

rx_datak

datain[19:10]

tx_clkout

datain[9:0]

rx_datak[1:0]

dataout[15:0]

When the PCS-PMA Interface Width is 10 Bits

When the PCS-PMA Interface Width is 20 Bits

TX

RX

D15.0D3.4 D28.5 D15.0 D3.4 D3.4 D28.5 D3.4

D15.0D24.3 K28.5 D15.0 D3.4 D24.3 K28.5 D3.4

00 01 00 00 01 00

16’h8378 16’hBCBC 16’h0F0F 16’h8383 16’h8378 16’hBCBC 16’h0F0F 16’h8383

83

RX

TX

D3.4

The 8B/10B decoder indicates whether the decoded 8-bit code group is a data orcontrol code group on rx_datak . If the received 10-bit code group is one of the 12control code groups (/Kx.y/) specified in the IEEE 802.3 specification, rx_datak isdriven high. If the received 10-bit code group is a data code group (/Dx.y/),rx_datak is driven low.

5.3.2.4.2. 8B/10B Decoder Running Disparity Checker Feature

Running disparity checker resides in 8B/10B decoder module. This checker checks thecurrent running disparity value and error based on the rate match output.rx_runningdisp and rx_disperr indicate positive or negative disparity anddisparity errors, respectively.

5.3.2.5. Pseudo-Random Binary Sequence (PRBS) Checker

Note: Refer to the PRBS Checker section in the Enhanced PCS Architecture chapter.

Related Information

Arria 10 Enhanced PCS Architecture on page 461

5.3.2.6. Byte Deserializer

The byte deserializer allows the transceiver to operate at data rates higher than thosesupported by the FPGA fabric. It deserializes the recovered data by multiplying thedata width two or four times, depending upon the deserialization mode selected. Thebyte deserializer is optional in designs that do not exceed the FPGA fabric interfacefrequency upper limit. You can bypass the byte deserializer by disabling it in theQuartus Prime Transceiver Native PHY. The byte deserializer operates in disabled,deserialize x2, or deserialize x4 modes.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide493

Page 494: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 262. Byte Deserializer Block Diagram

ByteDeserializer

Datapath from the8B/10B Decoder,Rate Match FIFO,or Word Aligner

Datapath to the RX PCS FIFO

/2,/4

Low speedparallel clock

5.3.2.6.1. Byte Deserializer Disabled Mode

In disabled mode, the byte deserializer is bypassed. The data from the 8B/10Bdecoder, rate match FIFO, or word aligner is directly transmitted to the RX FIFO,depending on whether or not the 8B/10B decoder and rate match FIFO are enabled.Disabled mode is used in low speed applications such as GigE, where the FPGA fabricand the PCS can operate at the same clock rate.

5.3.2.6.2. Byte Deserializer Deserialize x2 Mode

The deserialize x2 mode is used in high-speed applications such as the PCIe Gen1 orGen2 protocol implementation, where the FPGA fabric cannot operate as fast as the TXPCS.

In deserialize x2 mode, the byte deserializer deserializes 8-bit, 10-bit (when the8B/10B encoder is not enabled), 16-bit, and 20-bit (when the 8B/10B encoder is notenabled) input data into 16-bit, 20-bit, 32-bit, and 40-bit data, respectively. As theparallel data width from the word aligner is doubled, the clock rate is halved.

5.3.2.6.3. Byte Deserializer Deserialize x4 Mode

The deserialize x4 mode is used in high-speed applications where the FPGA fabriccannot operate as fast as the TX PCS.

In deserialize x4 mode, the byte deserializer deserializes 8-bit data into 32-bit data.As the parallel data width from the word aligner is quadrupled, the clock rate isdivided four times.

5.3.2.6.4. Bonded Byte Deserializer

The bonded byte deserializer is also available for channel-bundled applications such asPIPE. In this configuration, the control signals of the byte deserializers of all thechannels are bonded together. A master channel controls all the other channels toprevent skew between the channels.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide494

Page 495: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

5.3.2.7. RX FIFO (Shared with Enhanced PCS and PCIe Gen3 PCS)

The RX FIFO interfaces between the PCS on the receiver side and the FPGA fabric andensures reliable transfer of data and status signals. It compensates for the phasedifference between the FPGA fabric and the PCS on the receiver side. The RX FIFO hasa depth of 8. It operates in register FIFO and low latency modes.

Figure 263. RX FIFO Block Diagram

RXFIFO Datapath to FPGA Fabric

or PIPE Interface

rx_coreclkin

Datapath fromByte Deserializer, 8B/10B Decoder,Rate Match FIFO, or Deserializer

wr_clk rd_clk

Parallel clock(recovered)from clock divider

rx_clkout

5.3.2.7.1. RX FIFO Low Latency Mode

The low latency mode incurs two to three cycles of latency when connecting it with theFPGA fabric. The FIFO empty and the FIFO full threshold values are made closer sothat the depth of the FIFO decreases, which in turn decreases the latency.

5.3.2.7.2. RX FIFO Register Mode

The register mode bypasses the FIFO functionality to eliminate the FIFO latencyuncertainty for applications with stringent latency requirements. This is accomplishedby tying the read clock of the FIFO with its write clock. The register mode incurs onlyone clock cycle of latency when interfacing to the FPGA fabric.

5.4. Arria 10 PCI Express Gen3 PCS Architecture

Arria 10 architecture supports the PCIe Gen3 specification. Intel provides two optionsto implement the PCI Express solution:

• You can use the Intel Hard IP solution. This complete package provides both theMAC layer and the physical (PHY) layer functionality.

• You can implement the MAC in the FPGA core and connect this MAC to thetransceiver PHY through the PIPE interface.

This section focuses on the basic blocks of PIPE 3.0-based Gen3 PCS architecture. ThePIPE 3.0-based Gen3 PCS uses a 128b/130b block encoding/decoding scheme, whichis different from the 8B/10B scheme used in Gen1 and Gen2. The 130-bit blockcontains a 2-bit sync header and a 128-bit data payload. For this reason, Arria 10devices include a separate Gen3 PCS that supports functionality at Gen3 speeds. ThisPIPE interface supports the seamless switching of Data and Clock between the Gen1,Gen2, and Gen3 data rates, and provides support for PIPE 3.0 features. The PCIeGen3 PCS supports the PIPE interface with the Hard IP enabled, as well as with theHard IP bypassed.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide495

Page 496: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 264. Gen3 PCS Block Diagram

32

32

32

32

Rate Match

FIFO

Auto-Speed NegotiationGen3 x1, x2, x4, x8

CDRControl

TXPMA

RXPMA

PIPE Interface

TX PCIe Gen3 PCS

RX PCIe Gen3 PCS

TX PhaseCom

pensationFIFO

RX PhaseCom

pensationFIFO

Gearbox

/4

Standard PCS

Block Synchronizer

rx_clkout

pll_p

cie_c

lk

tx_clkout

rx_c

orec

lkin

rx_clkout ortx_clkoutrx_clkout ortx_clkout

FPGAFabric

tx_c

orec

lkin

tx_clkout

Clock Divider

Parallel and Serial Clocks

Clock Generation Block (CGB)

Serial Clock

Input Reference Clock

ATX PLLfPLL

tx_clkouttx_clkout

Serial ClockParallel and Serial Clockshclk for ASN Block

tx_clkout or rx_clkout

Related Information

• PCI Express (PIPE) on page 229For more information about PCIe Gen1, Gen2, and Gen3 implementation andconfiguration, refer to "Supported PIPE Features."

• Intel Arria 10 Hard IP for PCIe IP Cores

5.4.1. Transmitter Datapath

This section describes the TX FIFO and the Gearbox of the Gen3 PCS transmitter.

5.4.1.1. TX FIFO (Shared with Standard and Enhanced PCS)

The TX FIFO in each channel ensures a reliable transfer of data and status signalsbetween the PCS channel and the FPGA fabric. The TX FIFO compensates for thephase difference between the low speed parallel PCS clock and the FPGA fabric clock.The RX and TX FIFOs are shared with standard and enhanced PCS. In Hard IP mode,the TX FIFO works in register mode. In PIPE mode, the TX FIFO works in low latencymode.

The TX FIFO operates in low latency mode in PIPE Gen1, Gen2, and Gen3configurations. The Low Latency mode incurs 3-4 cycles of latency when connectingwith the FPGA fabric. The FIFO empty and the FIFO full threshold values are madecloser so that the depth of the FIFO decreases, which decreases the latency.

Related Information

Arria 10 Standard PCS Architecture on page 479For more information about TX FIFO.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide496

Page 497: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

5.4.1.2. Gearbox

The PCIe 3.0 base specification specifies a block size of 130 bits, with the exception ofthe SKP Ordered Sets, which can be of variable length. An implementation of a 130-bitdata path takes significant resources, so the PCIe Gen3 PCS data path is implementedas 32-bits wide. Because the TX PMA data width is fixed to 32 bits, and the block sizeis 130 bits with variations, a gearbox is needed to convert 130 bits to 32 bits.

The gearbox block in the TX PCS converts the 130-bit data( tx_parallel_data[127:0] + pipe_tx_sync_hdr[1:0]) to 32-bit data requiredby the TX PMA as the datapath implementation is 32 bits to reduce usage ofresources. The 130-bit data is received as follows in the 32-bit datapath: 34 (32 + 2-bit sync header), 32, 32, 32. During the first cycle the gearbox converts the 34-bitinput data to 32-bit data. During the next 3 clock cycles the gearbox merges bits fromadjacent cycles to form the 32-bit data. In order for the gearbox to work correctly, agap must be provided in the data for every 16 shifts as each shift is 2 bits forconverting the initial 34-bit to 32-bit in the gearbox. After 16 shifts the gearbox hasan extra 32-bit data that was transmitted out, and thus a gap is required in the inputdata stream. This gap is achieved by driving pipe_tx_data_valid low for one cycleafter every 16 blocks of input data(tx_parallel_data).

Related Information

Gearbox on page 239

5.4.2. Receiver Datapath

This section describes the Block Synchronizer, Rate Match FIFO, and RX FIFO of theGen3 PCS receiver.

5.4.2.1. Block Synchronizer

PMA parallelization occurs at arbitrary word boundaries. Consequently, the paralleldata from the RX PMA CDR must be realigned to meaningful character boundaries. ThePCI Express 3.0 base specification outlines that the data is formed using 130-bitblocks, with the exception of SKP blocks.

The SKP Ordered Set can be 66, 98, 130, 162, or 194 bits long. The blocksynchronizer searches for the Electrical Idle Exit Sequence Ordered Set (or the lastnumber of fast training sequences (NFTS) Ordered Set) or skip (SKP) Ordered Set toidentify the correct boundary for the incoming stream and to achieve the blockalignment. The block is realigned to the new block boundary following the receipt of aSKP Ordered Set, as it can be of variable length.

5.4.2.2. Rate Match FIFO

In asynchronous systems, the upstream transmitter and local receiver can be clockedwith independent reference clocks. Frequency differences in the order of a fewhundred PPM can corrupt the data when latching from the recovered clock domain tothe local receiver reference clock domain. The rate match FIFO compensates for smallclock frequency differences between these two clock domains by inserting or removingSKP symbols in the data stream to keep the FIFO from going empty or fullrespectively.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide497

Page 498: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The PCI Express 3.0 base specification defines that the SKP Ordered Set (OS) can be66, 98, 130, 162, or 194 bits long. The SKP OS has the following fixed bits: 2-bitSync, 8-bit SKP END, and a 24-bit LFSR = 34 Bits. The Rate Match/Clockcompensation block adds or deletes the 4 SKP characters (32-bit) to keep the FIFOfrom going empty or full, respectively. If the FIFO is nearly full, it deletes the 4 SKPcharacters (32-bit) by disabling write whenever a SKP is found. If the FIFO is nearlyempty, the design waits for a SKP Ordered Set to start and then stops reading thedata from the FIFO, and inserts a SKP in the outgoing data. The actual FIFO core(memory element) is in the Shared Memory block in the PCS channel.

Figure 265. Rate Match FIFO

SKPInserter

AsynchronousFIFO

SKPDeleterdata_out data_in

rd_clk wr_clk

fifo_pempty

rd_en

data

fifo_pfull

wr_en

data

5.4.2.3. RX FIFO (Shared with Standard and Enhanced PCS)

The RX FIFO in each channel ensures a reliable transfer of data and status signalsbetween the PCS channel and the FPGA fabric. The RX FIFO compensates for thephase difference between the parallel PCS clock and the FPGA fabric clock. In PIPEmode, the RX FIFO works in low latency mode.

Related Information

Arria 10 Standard PCS Architecture on page 479For more information about RX FIFO.

5.4.3. PIPE Interface

This section describes the Auto Speed Negotiation and the Clock Data RecoveryControl of the PIPE interface.

5.4.3.1. Auto Speed Negotiation

Auto speed negotiation controls the operating speed of the transceiver when operatingunder PIPE 3.0 modes. By monitoring the pipe_rate signal from the PHY-MAC, thisfeature changes the transceiver from PIPE Gen1 operation mode to Gen2 operationmode, or from PIPE Gen1 operation mode to Gen2 operation mode to Gen3 operationmode, or vice versa. The PIPE interface clock rate is adjusted to match the datathroughput.

Related Information

Rate Switch on page 237

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide498

Page 499: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

5.4.3.2. Clock Data Recovery Control

The CDR control feature is used for the L0s fast exit when operating in PIPE Gen3mode. Upon detecting an Electrical Idle Ordered Set (EIOS), this feature takes manualcontrol of the CDR by forcing it into a lock-to-reference mode. When an exit fromelectrical idle is detected, this feature moves the CDR into lock-to-data mode toachieve fast data lock.

5.5. Intel Arria 10 Transceiver PHY Architecture Revision History

DocumentVersion

Changes

2018.06.15 Made the following changes:• Changed the AC gain settings for high bandwidth and medium bandwidth mode in the "High Gain

Mode" section.• Added a note about bit slipping to the "RX Gearbox, RX Bitslip, and Polarity Inversion" section.• Clarified the description of DC gain circuitry in the "Continuous Time Linear Equalization (CTLE)"

section.• Clarified the description of CTLE manual mode in the "High Data Rate Mode" section.• Removed the Channel Loss Compensation column from the "Pre-Emphasis Taps" table.• Updated Serial Loopback Path and Reverse Serial Loopback Path/Pre CDR figures and their notes.• Changed the instruction in step 1 of the "How to Enable CTLE and DFE" section.

2016.10.31 Made the following changes:• Added a note below the diagram "Diagnostic Loopback Path/Pre CDR" saying "TX pre-emp is not

supported in pre-CDR loopback. TX pre-emp is recommended to set to zero for all taps."• "Idle OS Deletion" description updated to "Deletion of Idles occurs in groups of four OS (when there

are two consecutive OS) until the rx_enh_fifo_pfull flag deasserts".• Removed square wave pattern generator.

2015.05.02 Made the following changes:• Updated the configuration methods for the CTLE,and DFE schemes in the Arria 10 PMA Architecture

section.• Removed a signal in the "Gen3 PCS Block Diagram" in the Arria 10 PCI Express Architecture

section.

2015.12.18 Made the following changes:• Updated the configuration methods for the CTLE, DFE, and adaptation schemes in the Arria 10 PMA

Architecture section.

2015.11.02 Made the following changes to the PMA Architecture section:• Updated "Channel Pulse Response" figure in the Decision Feedback Equalization (DFE) section.• Updated the value for the "Number of fixed DFE taps" in the Equalization table in the PMA

Parameters section.Made the following changes to the Enhanced PCS Architecture section:• Updated Phase Compensation Mode and Basic Mode sections.• Added 64B/66B Encoder Reset Condition section.• Updated TX Gearbox, TX Bitslip and Polarity Inversion sections.• Updated RX Bitslip in RX Gearbox, RX Bitslip, and Polarity Inversion figure.• Added “block synchronization” in Enhanced PCS introduction note.• Updated Enhanced PCS TX FIFO section.• Updated reference link for TX Phase Compensation Mode section.• Updated TX Register Mode description.• Updated Interlaken Frame Generator section description.• Updated 64B/66B Encoder and Transmitter State Machine section title.• Updated PRBS Pattern Generator (Shared between Enhanced and Standard) title• Updated Square Wave Pattern Generator (Shared between Enhanced and Standard)• Updated RX Register Mode description.

continued...

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide499

Page 500: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

DocumentVersion

Changes

Made the following changes to the Standard PCS Architecture section:• Updated the Byte Serializer section for Serialize x2 and x4 modes.• Added new figures for 8B/10B Encoder Bit and Byte Reversal features.

2015.05.11 Made the following changes to the PMA Architecture section:• Updated link to XCVR_A10_RX_TERM_SEL in the "Transmitter Buffer".• Updated ODI vertical steps to 63 (0 and +/-32) in the "Receiver Buffer".• Updated CTLE section for adaptation modes. Moved CTLE in the "How to Enable CTLE and DFE"

section.• Updated VGA section for adaptation modes.• Updated DFE section for adaptation modes. Moved DFE to the new "How to Enable CTLE and DFE"

section.• Removed Triggered DFE mode.• Removed all references related to floating taps.Made the following changes to the Enhanced PCS Architecture section:• Updated pattern generators (PRBS, Square Wave and PRP), PRBS Checker and PRP Verifier

sections.• Revised the descriptions of TX FIFO Fast Register Mode.• Changed the title and descriptions in "Enhanced PCS Pattern Generators".• Added new sections for "PRBS Pattern Generator (Shared between Enhanced and Standard PCSes)",

"Square Wave Pattern Generator (Shared between Enhanced and Standard PCSes)", and "Pseudo-Random Pattern Generator."

• Changed sub title "PRBS Verifier" to "PRBS Checker" and changed their descriptions.• Changed descriptions in "PRP Verifier".

2014.12.15 Made the following changes to the Enhanced PCS Architecture section:• Added PRBS7 Generator to support 64-bit width only.• Updated the rule for tx_enh_data_valid control signal when TX FIFO is used in phase compensation

mode.Made the following changes to the PCI Express Gen3 PCS Architecture section:• Updated TX FIFO in Transmitter Datapath.• Changed the Standard PCS data rate from 12.5 Gbps to 12 Gbps.Made the following changes to the Standard PCS Architecture section:• Changed the Standard PCS data rate from 12.5 Gbps to 12 Gbps.Made the following changes to the PMA Architecture section:• Added High Speed Differential I/O and Power Distribution Network to the Transmitter Buffer

circuitry.• Added Power Distribution Network induced Inter-Symbol Interference compensation.• Replaced the figures related to Programmable Pre Emphasis with a link to Pre Emphasis and Output

Swing Settings Estimator.

2014.08.15 Made the following changes to the PCI Express Gen3 PCS Architecture section:• Corrected the low latency mode cycles of latency in the TX FIFO (Shared with Standard and

Enhanced PCS).Made the following changes to the Standard PCS Architecture section:• Removed the features not supported by 8B/10B Decoder.• Changed the description of TX FIFO to include the depth of the TX FIFO.• Updated the description of Polarity Inversion feature to include how to enable Polarity Inversion.• Updated the description of Pseudo-Random Binary Sequence (PRBS) Generator on the supported

PCS-PMA interface widths.• Changed the value for Supported Word Aligner Pattern Lengths for Bitslip Mode when the PCS-PMA

Interface Width is 8 in Table 5-8 Word Aligner Pattern Length for Various Word Aligner Modes.• Changed the description of RX FIFO to include the depth of the RX FIFO.• Changed the RX Word Aligner pattern length for PCS-PMA interface width 8 in Bitslip Mode.Made the following changes to the Enhanced PCS Architecture section:• Changed references from MegaWizard to Parameters Editor.

continued...

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide500

Page 501: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

DocumentVersion

Changes

Made the following changes to the PMA Architecture section:• Added 2nd post-tap and pre-tap Pre-Emphasis signals .• Updated DFE and CTLE modes of operation and Use Models.• Added new sections on How to Enable CTLE and How to Enable DFE.• Changed max data rate for GT channels to 25.8 Gbps in the Receiver Buffer CTLE section.• Updated Receiver Buffer figure by adding and modifying Adaptive Parametric Tuning Engine to

include CDR and DFE.• Updated VGA section that includes VGA Frequency response for different gain settings.

2013.12.02 Initial release.

5. Arria 10 Transceiver PHY Architecture

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide501

Page 502: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

6. Reconfiguration Interface and DynamicReconfiguration

This chapter explains the purpose and the use of the Arria 10 reconfiguration interfacethat is part of the Transceiver Native PHY IP core and the Transceiver PLL IP cores.

Dynamic reconfiguration is the process of dynamically modifying transceiver channelsand PLLs to meet changing requirements during device operation. Arria 10 transceiverchannels and PLLs are fully customizable, allowing a system to adapt to its operatingenvironment. You can customize channels and PLLs by dynamically triggeringreconfiguration during device operation or following power-up. Dynamicreconfiguration is available for Arria 10 Transceiver Native PHY, fPLL, ATX PLL, andCMU PLL IP cores.

Use the reconfiguration interface to dynamically change the transceiver channel or PLLsettings for the following applications:

• Fine tuning signal integrity by adjusting TX and RX analog settings

• Enabling or disabling transceiver channel blocks, such as the PRBS generator andthe checker

• Changing data rates to perform auto negotiation in CPRI, SATA, or SASapplications

• Changing data rates in Ethernet (1G/10G) applications by switching betweenstandard and enhanced PCS datapaths

• Changing TX PLL settings for multi-data rate support protocols such as CPRI

• Changing RX CDR settings from one data rate to another

• Switching between multiple TX PLLs for multi-data rate support

The Native PHY and Transmit PLL IP cores provide the following features that allowdynamic reconfiguration:

• Reconfiguration interface

• Configuration files

• Feature to add PMA analog settings (optional) to the Configuration files (NativePHY only)

• Multiple reconfiguration profiles (Native PHY and ATX PLL)

• Embedded reconfiguration streamer (Native PHY and ATX PLL)

• Altera Debug Master Endpoint (ADME)

• Optional reconfiguration logic

UG-01143 | 2018.06.15

Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartusand Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or othercountries. Intel warrants performance of its FPGA and semiconductor products to current specifications inaccordance with Intel's standard warranty, but reserves the right to make changes to any products and servicesat any time without notice. Intel assumes no responsibility or liability arising out of the application or use of anyinformation, product, or service described herein except as expressly agreed to in writing by Intel. Intelcustomers are advised to obtain the latest version of device specifications before relying on any publishedinformation and before placing orders for products or services.*Other names and brands may be claimed as the property of others.

ISO9001:2008Registered

Page 503: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

6.1. Reconfiguring Channel and PLL Blocks

The following table lists some of the available dynamic reconfiguration features inArria 10 devices.

Table 262. Arria 10 Dynamic Reconfiguration Feature Support

Reconfiguration Features

Channel Reconfiguration PMA analog features• VOD

• Pre-emphasis• Continuous Time Linear Equalizer (CTLE)• Decision Feedback Equalization (DFE)

TX PLL• TX local clock dividers• TX PLL switching

RX CDR• RX CDR settings• RX CDR reference clock switching

Reconfiguration of PCS blocks within the datapath

Datapath switching• Standard, Enhanced, PCS Direct

PLL Reconfiguration PLL settings• Counters

PLL reference clock switching

Related Information

Unsupported Features on page 563

6.2. Interacting with the Reconfiguration Interface

Each transceiver channel and PLL contains an Avalon Memory-Mapped (Avalon-MM)reconfiguration interface. The reconfiguration interface provides direct access to theprogrammable space of each channel and PLL. Communication with the channel andPLL reconfiguration interface requires an Avalon-MM master. Because each channeland PLL has its own dedicated Avalon-MM interface, you can dynamically modifychannels either concurrently or sequentially, depending on how the Avalon-MM masteris connected to the Avalon-MM reconfiguration interface.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide503

Page 504: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 266. Reconfiguration Interface in Arria 10 Transceiver IP Cores

Embedded Controller in FPGAor Embedded Processor on PCB

Avalon-MM Master

Ch0: AvalonReconfiguration

Interface

Ch1: AvalonReconfiguration

Interface

Native PHY IP Core

AvalonReconfiguration

Interface

Transceiver PLL IP Core

A transmit PLL instance has a maximum of one reconfiguration interface. Unlike PLLinstances, a Native PHY IP core instance can specify multiple channels. You can use adedicated reconfiguration interface for each channel or share a single reconfigurationinterface across all channels to perform dynamic reconfiguration.

Avalon-MM masters interact with the reconfiguration interface by performing Avalonread and write operations to initiate dynamic reconfiguration of specific transceiverparameters. All read and write operations must comply with Avalon-MM specifications.

Figure 267. Top-Level Signals of the Reconfiguration Interface

Native PHY IP coreor

Transceiver PLL IP core

reconfig_clk

reconfig_reset

reconfig_read

reconfig_write

reconfig_address

reconfig_writedata

reconfig_readdata

reconfig_waitrequest

The user-accessible Avalon-MM reconfiguration interface and PreSICE Avalon-MMinterface share a single internal configuration bus. This bus is arbitrated to get accessto the Avalon-MM interface of the channel or PLL. Refer to the Arbitration section formore details about requesting access to and returning control of the internalconfiguration bus from PreSICE.

Related Information

• Arbitration on page 512

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide504

Page 505: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

• Reconfiguration Interface and Arbitration with PreSICE Calibration Engine on page567

• Avalon Interface Specifications

6.2.1. Reading from the Reconfiguration Interface

Reading from the reconfiguration interface of the Transceiver Native PHY IP core orTransceiver PLL IP core retrieves the current value at a specific address.

Figure 268. Reading from the Reconfiguration Interface

reconfig_clk

reconfig_address

reconfig_read

reconfig_waitrequest

reconfig_readdata

reconfig_write

reconfig_writedata

1

2

3

4

5

1. The master asserts reconfig_address and reconfig_read after the rising edge of reconfig_clk.2. The slave asserts reconfig_waitrequest, stalling the transfer.3. The master samples reconfig_waitrequest. Because reconfig_waitrequest is asserted, the cycle becomes a wait state and reconfig_address, reconfig_read, and reconfig_write remain constant.4. The slave presents valid reconfig_readdata and deasserts reconfig_waitrequest. 5. The master samples reconfig_waitrequest and reconfig_readdata, completing the transfer.

119h

Valid readdata

After the reconfig_read signal is asserted, the reconfig_waitrequest signalasserts for a few reconfig_clock cycles, then deasserts. This deassertion indicatesthe reconfig_readdata bus contains valid data.

Note: You must check for the internal configuration bus arbitration before performingreconfiguration. Refer to the Arbitration section for more details about requestingaccess to and returning control of the internal configuration bus from PreSICE.

Related Information

Arbitration on page 512

6.2.2. Writing to the Reconfiguration Interface

Writing to the reconfiguration interface of the Transceiver Native PHY IP core or TX PLLIP core changes the data value at a specific address. All writes to the reconfigurationinterface must be read-modify-writes, because two or more features may share thesame reconfiguration address. When two or more features share the samereconfiguration address, one feature's data bits are interleaved with another feature'sdata bits.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide505

Page 506: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 269. Writing to the Reconfiguration Interface

reconfig_address

reconfig_write

reconfig_waitrequest

reconfig_read

reconfig_readdata

21

reconfig_writedata

reconfig_clk

1. The master asserts the reconfig_address, reconfig_write, and reconfig_writedata signals.2. The slave (channel or PLL) captures reconfig_writedata, ending the transfer.

119h

0000000c

Note: You must check for the internal configuration bus arbitration before performingreconfiguration. Refer to the Arbitration section for more details about requestingaccess to and returning control of the internal configuration bus from PreSICE.

Related Information

• Arbitration on page 512

• Ports and Parameters on page 535

6.3. Configuration Files

The Arria 10 Transceiver Native PHY and Transmit PLL IP cores optionally allow you tosave the parameters you specify for the IP instances as configuration files. Theconfiguration file stores addresses and data values for that specific IP instance.

The configuration files are generated during IP generation. They are located in the <IPinstance name>\altera_xcvr_<IP type>_a10_<quartus version>\synth\reconfig subfolder of the IP instance. The configuration data is available in thefollowing formats:

• SystemVerilog packages: <name>.sv

• C Header files: <name>.h

• Memory Initialization File (MIF): <name>.mif

Select one or more of the configuration file formats on the DynamicReconfiguration tab of the Transceiver Native PHY or Transmit PLL parameter editorto store the configuration data. All configuration files generated for a particular IPinstance contain the same address and data values. The contents of the configurationfiles can be used to reconfigure from one transceiver /PLL configuration to another.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide506

Page 507: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

You can optionally allow the Native PHY IP core to include PMA Analog settings in theconfiguration files by enabling the feature Include PMA Analog settings inconfiguration files in the Dynamic Reconfirmation tab of the Transceiver NativePHY IP Parameter Editor. This feature is disabled by default. Enabling this feature addsthe PMA analog settings specified in the Analog PMA settings (Optional) tab of theNative PHY IP Parameter Editor to the configuration files. Even with this optionenabled in the Native PHY IP Parameter Editor, you must still specify Quartus SettingsFile (QSF) assignments for your analog settings when compiling your static design.The analog settings selected in the Native PHY IP Parameter Editor are used only toinclude these settings and their dependent settings in the selected configuration files.Refer to the Analog Parameter Settings chapter for details about QSF assignments forthe analog settings.

Example 2. SystemVerilog Configuration File

26'h008FF04, // [25:16]-DPRIO address=0x008;// [15:8]-bit mask=0xFF; // [7:7]- hssi_tx_pcs_pma_interface_pldif_datawidth_mode=pldif_data_10bit(1'h0); // [6:5]-hssi_tx_pcs_pma_interface_tx_pma_data_sel=ten_g_pcs(2'h0); // [4:4]-hssi_tx_pcs_pma_interface_prbs_gen_pat=prbs_gen_dis(1'h0); // [3:0]-hssi_tx_pcs_pma_interface_sq_wave_num=sq_wave_default(4'h4);…

localparam HSSI_TX_PCS_PMA_INTERFACE_PLDIF_DATAWIDTH_MODE_VALUE = "pldif_data_10bit";localparam HSSI_TX_PCS_PMA_INTERFACE_PLDIF_DATAWIDTH_MODE_ADDR_OFST = 8;localparam HSSI_TX_PCS_PMA_INTERFACE_PLDIF_DATAWIDTH_MODE_ADDR_FIELD_OFST = 7;localparam HSSI_TX_PCS_PMA_INTERFACE_PLDIF_DATAWIDTH_MODE_ADDR_FIELD_HIGH = 7;localparam HSSI_TX_PCS_PMA_INTERFACE_PLDIF_DATAWIDTH_MODE_ADDR_FIELD_SIZE = 1;localparam HSSI_TX_PCS_PMA_INTERFACE_PLDIF_DATAWIDTH_MODE_ADDR_FIELD_BITMASK = 32'h00000080;localparam HSSI_TX_PCS_PMA_INTERFACE_PLDIF_DATAWIDTH_MODE_ADDR_FIELD_VALMASK = 32'h00000000;localparam HSSI_TX_PCS_PMA_INTERFACE_PLDIF_DATAWIDTH_MODE_ADDR_FIELD_VALUE = 1'h0;

The SystemVerilog configuration files contain two parts. The first part consists of adata array of 26-bit hexadecimal values. The second part consists of parametervalues. For the data array, each 26-bit hexadecimal value is associated with acomment that describes the various bit positions.

Table 263. Mapping of SystemVerilog Configuration File Line

Bit Position Description

[25:16] DPRIO address. Refer to Intel Arria 10 Transceiver Register Map for details of the address.

[15:8] The channel or PLL bit mask. The bit mask exposes the bits that are configured in either the TransceiverNative PHY or the transmit PLL IP cores.

[7:0] Feature bit values.

For example, a value of 26'h008FF04 represents an address of 0x008 and a bit maskof 0xFF. The four features that reside at address 0x008 are:

• hssi_tx_pcs_pma_interface_pldif_datawidth_mode with a value of 1'h0

• hssi_tx_pcs_pma_interface_tx_pma_data_sel with a value of 2'h0

• hssi_tx_pcs_pma_interface_prbs_gen_pat with a value of 1'h0

• hssi_tx_pcs_pma_interface_sq_wave_num with a value of 4'h4

Writing to bit 7 of address 0x008 changes thehssi_tx_pcs_pma_interface_pldif_datawidth_mode feature.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide507

Page 508: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The MIF file and C header file are set up similarly to the SystemVerilog package file.Multiple transceiver features may reside at the same address. Also, a singletransceiver feature may span across multiple addresses.

Dynamic reconfiguration requires at least two configurations of the Transceiver NativePHY IP core or PLL IP core. One configuration defines the base transceiver or PLLconfiguration and the other configurations define the modified or target configurations.Use the IP Parameter Editor to create base and modified configurations of theTransceiver Native PHY or PLL IP core, according to the following table.

Table 264. Transceiver Native PHY or PLL IP Parameters (Base and ModifiedConfigurations)

Native PHY or PLLInstance

Required Parameter Settings Saved In

Base Configuration • Click Interfaces ➤ Transceiver PHY ➤ Arria 10Transceiver Native PHY for the Native PHY IPcore. Or, select one of the supported transmit PLL IPcores under PLL. Enable all options required for thebase configuration, such as data rate, PCS options,and PMA options.

• Enable all ports to be used by the modifiedconfiguration. For example, if the bitslip feature isnot required in the base configuration, but requiredin modified configuration, then you must enable thetx_std_bitslipboundarysel port. Reconfiguringbetween Standard PCS, Enhanced PCS, and PCSDirect requires that you turn on Enable datapathand interface reconfiguration. The Transceiverconfiguration rules define the initial mode of thePHY instance.

• On the Dynamic Reconfiguration tab, turn onEnable dynamic reconfiguration and specify theConfiguration Options.

This flow requires that you turn on Configuration fileoption.

• <Native PHY Base Instance Name>/reconfig/altera_xcvr_native_a10_reconfig_parameters.sv contains alltransceiver register addresses andtheir bit value for that transceiverconfiguration.

Or• <PLL Base Instance Name>/

reconfig/altera_xcvr_<type>_pll_a10_reconfig_parameters.sv containsall PLL register addresses and their bitvalue for that PLL configuration.

ModifiedConfiguration

• Click Interfaces ➤ Transceiver PHY ➤ Arria 10Transceiver Native PHY. Or, select one of thesupported transmit PLL IP cores under PLL. Enableall options required for the modified configuration,such as data rate, PCS options, and PMA options.

• Enable all ports that are used by the modifiedconfiguration. Reconfiguring between Standard PCS,Enhanced PCS, and PCS Direct requires Enabledatapath and interface reconfiguration beenabled. The Transceiver configuration rulesdefine the mode of the PHY instance.

• On the Dynamic Reconfiguration tab, turn onEnable dynamic reconfiguration and specify thesame Configuration Options as the base instance.

• <Native PHY Modified InstanceName>/reconfig/altera_xcvr_native_a10_reconfig_parameters.sv contains alltransceiver register addresses andtheir bit value for that transceiverconfiguration.

Or• <PLL Modified Instance Name>/

reconfig/altera_xcvr_<type>_pll_a10_reconfig_parameters.sv containsall PLL register addresses and their bitvalue for that PLL configuration.

Note: You can generate the base and modified configuration files in the same or differentfolders. If you use the same folder, each configuration name must be unique.

Intel recommends following the flow described in the Steps to Perform DynamicReconfiguration section when performing dynamic reconfiguration of either the NativePHY IP core or transmit PLL IP core.

Related Information

• Steps to Perform Dynamic Reconfiguration on page 516

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide508

Page 509: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

• Analog Parameter Settings on page 585

• Arria 10 Transceiver Register Map

6.4. Multiple Reconfiguration Profiles

You can optionally enable multiple configurations or profiles in the same Native PHY IPor ATX PLL IP core Parameter Editor (or both) for performing dynamic reconfiguration.This allows the IP Parameter Editor to create, store, and analyze the parametersettings for multiple configurations or profiles.

When you enable the multiple reconfiguration profiles feature, the Native PHY IP Core,ATX PLL IP core, or both can generate configuration files for all the profiles in theformat desired (SystemVerilog package, MIF, or C header file). The configuration filesare located in the <IP instance name>\altera_xcvr_<IPtype>_a10_<quartus version>\synth\reconfig subfolder of the IP instancewith the configuration profile index added to the filename. For example, theconfiguration file for Profile 0 is stored as <filename_CFG0.sv>. The Intel QuartusPrime Timing Analyzer Timing Analyzer includes the necessary timing paths for all theconfigurations based on initial and target profiles. You can also generate reducedconfiguration files that contain only the attributes that differ between the multipleconfigured profiles. You can create up to eight reconfiguration profiles (Profile 0 toProfile 7) at a time for each instance of the Native PHY/ATX PLL IP core.

You can optionally allow the Native PHY IP core to include PMA Analog settings in theconfiguration files by enabling the feature Include PMA Analog settings inconfiguration files in the Dynamic Reconfiguration tab of the Transceiver NativePHY IP Parameter Editor. This feature is disabled by default. Enabling this feature addsthe PMA analog settings specified in the Analog PMA settings (Optional) tab of theNative PHY IP Parameter Editor to the configuration files. Even with this optionenabled in the Native PHY IP Parameter Editor, you must still specify QSF assignmentsfor your analog settings when compiling your static design. The analog settingsselected in the Native PHY IP Parameter Editor are used only to include these settingsand their dependent settings in the selected configuration files. Refer to the AnalogParameter Settings chapter for details about QSF assignments for the analog settings.

Refer to Steps to Perform Dynamic Reconfiguration for a complete list of steps toperform dynamic reconfiguration using the IP guided reconfiguration flow with multiplereconfiguration profiles enabled.

The Quartus Prime Timing Analyzer only includes the necessary PCS timing paths forall the profiles. To perform a PMA reconfiguration such as TX PLL switching, CGBdivider switching, or reference clock switching, you must use the flow described inSteps to Perform Dynamic Reconfiguration. Refer to Timing Closure Recommendationsfor more details about enabling multiple profiles and running timing analyses.

You can use the multiple reconfiguration profiles feature without using the embeddedreconfiguration streamer feature. When using the multiple reconfiguration profilesfeature by itself, you must write the user logic to reconfigure all the entries that aredifferent between the profiles while moving from one profile to another.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide509

Page 510: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Note: You must ensure that none of the profiles in the Native PHY IP and ATX PLL IPParameter Editor gives error messages, or else IP generation fails. The Native PHY IPcore and ATX PLL IP only validates the current active profile dynamically. For example,if you store a profile with error messages in the Native PHY IP or ATX PLL IP ParameterEditor and load another profile without any error messages, the error messagesdisappear in the IP. You are then allowed to generate the IP, but the generation fails.

Related Information

• Steps to Perform Dynamic Reconfiguration on page 516

• Timing Closure Recommendations on page 560

• Analog Parameter Settings on page 585

6.5. Embedded Reconfiguration Streamer

You can optionally enable the embedded reconfiguration streamer in the Native PHY IPcore, ATX PLL IP core, or both to automate the reconfiguration operation.

The embedded reconfiguration streamer is a feature block that can perform Avalon-MM transactions to access channel/ATX PLL configuration registers in the transceiver.

When you enable the embedded streamer, the Native PHY/ATX PLL IP cores embed thereconfiguration profiles and reconfiguration control logic in the IP files.

For the ATX PLL IP, you can control the embedded streamer block through thereconfiguration interface. Control and status signals of the streamer block are memorymapped in the PLL’s soft control and status registers.

Table 265. Control and Status Register Memory Map for Embedded ReconfigurationStreamer in ATX PLL IP

Reconfiguration

Address(hex)

Reconfiguration Bit

AttributeName

AttributeDescription

BitEncoding

Transceiver Block Description

340 7 cfg_load Startstreaming

1'b1 EmbeddedReconfigurationStreamer

Set to 1'b1 to initiatestreaming, self-clearing bit

[2:0] cfg_sel Configuration profileselect

Directmapped

EmbeddedReconfigurationStreamer

Binary encoding of theconfiguration Profile tostream

341 0 rcfg_busy Busy Statusbit

1'b1 EmbeddedReconfigurationStreamer

Bit is set to:• 1'b1—streaming is in

progress• 1'b0—streaming is

complete

Note: The soft control and status registers at x340 and x341 are enabled when you enablethe embedded reconfiguration streamer in the ATX PLL IP core.

Refer to Steps to Perform Dynamic Reconfiguration for a complete list of steps toperform dynamic reconfiguration using the IP guided reconfiguration flow withembedded streamer enabled. To perform a reference clock switching, use thereconfiguration flow for special cases described in Steps to Perform DynamicReconfiguration.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide510

Page 511: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

For the Native PHY IP, you can control the embedded streamer block through thereconfiguration interface. Control and status signals of the streamer block are memorymapped in the PHY’s soft control and status registers. These embeddedreconfiguration control and status registers are replicated for each channel. Youcannot merge reconfiguration interfaces across multiple IP cores when the embeddedreconfiguration streamer is enabled because the embedded reconfiguration streamermakes use of soft logic for control and status registers.

You can optionally allow the Native PHY IP core to include PMA Analog settings in theconfiguration files by enabling the feature Include PMA Analog settings inconfiguration files in the Dynamic Reconfirmation tab of the Transceiver NativePHY IP Parameter Editor. This feature is disabled by default. Enabling this feature addsthe PMA analog settings specified in the Analog PMA settings (Optional) tab of theNative PHY IP Parameter Editor to the configuration files. Even with this optionenabled in the Native PHY IP Parameter Editor, you must still specify QSF assignmentsfor your analog settings when compiling your static design. The analog settingsselected in the Native PHY IP Parameter Editor are used only to include these settingsand their dependent settings in the selected configuration files. For details about QSFassignments for the analog settings, refer to the Analog Parameter Settings chapter.

For example, if the Native PHY IP core has four channels—logical channel 0 to logicalchannel 3—and you want to reconfigure logical channel 3 using the embeddedreconfiguration streamer, you must write to the control register of logical channel 3using the reconfiguration interface with the appropriate bit settings.

Note: The soft control and status registers at x340 and x341 are enabled when you enablethe embedded reconfiguration streamer in the Native PHY IP core.

Refer to Steps to Perform Dynamic Reconfiguration for a complete list of steps toperform dynamic reconfiguration using the IP guided reconfiguration flow withembedded streamer enabled. To perform a PMA reconfiguration such as TX PLLswitching, CGB divider switching, or reference clock switching, use the reconfigurationflow for special cases described in Steps to Perform Dynamic Reconfiguration.

Table 266. Control and Status Register Memory Map for Embedded ReconfigurationStreamer in Native PHY IP

Reconfiguration

Address(hex)

Reconfiguration Bit

AttributeName

AttributeDescription

BitEncoding

Transceiver Block Description

340 7 cfg_load Startstreaming

1'b1 EmbeddedReconfigurationStreamer

Set to 1'b1 to initiatestreaming, self-clearing bit

6 bcast_en Broadcastenable

1'b1 EmbeddedReconfigurationStreamer

Set to 1'b1 to broadcastthe same profile to all thechannels

[2:0] cfg_sel Configuration profileselect

Directmapped

EmbeddedReconfigurationStreamer

Binary encoding of theconfiguration Profile tostream

341 0 rcfg_busy Busy Statusbit

1'b1 EmbeddedReconfigurationStreamer

Bit is set to:• 1'b1—streaming is in

progress• 1'b0—streaming is

complete

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide511

Page 512: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

You can write to the address 0x340 at the same time to start the streaming, enablebroadcasting, and select the profile to be streamed. Different requests to multiplechannels can be made simultaneously by writing to the addresses of the desiredchannels through the user reconfiguration interface (shared or independent). Thereconfig_waitrequest signal remains asserted after the reconfiguration streamingis complete.

Related Information

• Steps to Perform Dynamic Reconfiguration on page 516

• Analog Parameter Settings on page 585

6.6. Arbitration

Figure 270. Arria 10 ATX PLL with Embedded Streamer

User Reconfiguration

Logic Streamer

ADME

Configuration RegistersAvalon-MM

To/From PreSICE

Interface

Optional Reconfiguration Logic(Capability, Control and Status)

Arria 10 ATX PLLInternal

Configuration Bus

Arria 10 ATX PLL IP

Debug Fabric

Host Link

Intel IP

Connectivity to channel reconfiguration registers and optional soft registers

User Logic

Arbit

ratio

n

Arbit

ratio

n

ReconfigurationInterface

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide512

Page 513: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 271. Arria 10 Native PHY with Embedded Streamer

User Reconfiguration

Logic Streamer

ADME

Channel Configuration

RegistersAvalon-MM

To/From PreSICE

Interface

Optional Reconfiguration Logic(Capability, Control and Status,

PRBS Soft Accumulators

Arria 10 TransceiverInternal

Configuration Bus

Arria 10 Native PHY

Debug Fabric

Host Link

Intel IP

Connectivity to channel reconfiguration registers and optional soft registers

User Logic

Arbit

ratio

n

Arbit

ratio

n

ReconfigurationInterface

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide513

Page 514: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

In Arria 10 devices, there are two levels of arbitration:

• Reconfiguration interface arbitration with the PreSICE calibration engine

When you have control over the internal configuration bus, refer to the secondlevel of arbitration: Arbitration between multiple masters within the NativePHY/PLL IPs.

For more details about arbitration between the reconfiguration interface andPreSICE, refer to the Calibration chapter.

• Arbitration between multiple masters within the Native PHY/PLL IPs

Below are the feature blocks that can access the programmable registers:

— Embedded reconfiguration streamer (Available in the Native PHY and ATX PLLIPs only)

— ADME

— User reconfiguration logic connected to the reconfiguration interface

When the internal configuration bus is not owned by the PreSICE, which featureblock has access depends on which of them are enabled.

These feature blocks arbitrate for control over the programmable space of eachtransceiver channel/PLL. Each of these feature blocks can request access to theprogrammable registers of a channel/PLL by performing a read or write operationto that channel/PLL. For any of these feature blocks to be used, you must firsthave control over the internal configuration bus. You must ensure that thesefeature blocks have completed all the read/write operations before you return thebus access to PreSICE.

The embedded reconfiguration streamer has the highest priority, followed by thereconfiguration interface, followed by the ADME. When two feature blocks aretrying to access the same transceiver channel on the same clock cycle, the featureblock with the highest priority is given access. The only exception is when a lower-priority feature block is in the middle of a read/write operation and a higher-priority feature block tries to access the same channel. In this case, the higherpriority feature block is made to wait until the lower priority feature block hasfinished the read/write operation.

Note: When you enable ADME in your design, you must

— connect an Avalon-MM master to the reconfiguration interface

— OR connect the reconfig_clock,reconfig_reset signals andground the reconfig_write, reconfig_read, reconfig_addressand reconfig_writedata signals of the reconfiguration interface. Ifthe reconfiguration interface signals are not connected appropriately,there is no clock or reset for the ADME, and the ADME does not functionas expected.

Related Information

• Steps to Perform Dynamic Reconfiguration on page 516

• Calibration on page 567

• Arria 10 Transceiver Register Map

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide514

Page 515: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

6.7. Recommendations for Dynamic Reconfiguration

Recommendations for TX PLLs

Intel recommends you control pll_powerdown for the fPLL through the soft registersin the following cases:

• Reconfiguring fPLL from integer mode to fractional mode

• Reconfiguring fPLL within fractional mode from one rate to another

For all other reconfiguration scenarios, do not hold the PLL in reset before and duringreconfiguration.

When reconfiguring across data rates or protocol modes, Intel recommends that youhold the channel transmitter (analog and digital) associated with the PLL in resetduring reconfiguration and recalibration of the PLL.You can use thetx_digitalreset, rx_digitalreset, tx_analogreset, and rx_analogresetports or use the channel soft register for digital and analog resets. For details aboutplacing the channel in analog reset, refer to the "Model 1: Default Model" and "Model2: Acknowledgment Model" sections of the Resetting Transceiver Channels chapter.

Note: If you need to reconfigure the ATX PLL, use TX PLL switching mode or use local clockdivider to achieve new data rate to avoid recalibrating the ATX PLL. Refer to "ATX PLLUsage Guideline" in the "PLLs and Clock Networks" chapter for more details.

Recommendations for Channels

• When reconfiguring across data rates or protocol modes, Intel recommends thatyou hold the channel transmitter (analog and digital) in reset duringreconfiguration and recalibration of the channel transmitter. You can use thetx_digitalreset, rx_digitalreset, tx_analogreset, andrx_analogreset ports or use the channel soft register for digital and analogresets. For details about placing the channel in analog reset, refer to the "Model 1:Default Model" and "Model 2: Acknowledgment Model" sections of the ResettingTransceiver Channels chapter.

• When reconfiguring across data rates or protocol modes, Intel recommends thatyou hold the channel receiver (analog and digital) in reset during reconfigurationand recalibration of the channel receiver. You can use the tx_digitalreset,rx_digitalreset, tx_analogreset, and rx_analogreset ports or use thechannel soft register for digital and analog resets. For details about placing thechannel in analog reset, refer to the "Model 1: Default Model" and "Model 2:Acknowledgment Model" sections of the Resetting Transceiver Channels chapter.

• When performing reconfiguration on channels not involving data rate or protocolmode change, Intel recommends that you hold the channel transmitter (digitalonly) in reset during reconfiguration.

• When performing reconfiguration on channels not involving data rate or protocolmode change, Intel recommends that you hold the channel receiver (digital only)in reset during reconfiguration.

Refer to the Arria 10 Transceiver Register Map for detailed information about the softregisters for PLL powerdown.

Related Information

• Model 1: Default Model on page 418

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide515

Page 516: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

• Model 2: Acknowledgment Model on page 427

• PLLs and Clock Networks on page 347

• Arria 10 Transceiver Register Map

6.8. Steps to Perform Dynamic Reconfiguration

You can dynamically reconfigure blocks in the transceiver channel or PLL through thereconfiguration interface.

The following procedure shows the steps required to reconfigure the channel and PLLblocks.

1. Enable dynamic reconfiguration in the IP.

2. Enable the desired configuration file formats in the IP.

3. Enable the desired dynamic reconfiguration features (such as multiplereconfiguration profiles, including PMA analog settings in configuration files) orfeature blocks (such as embedded reconfiguration streamer and ADME).

4. If you are using:

• Direct reconfiguration flow—Refer to the register map for feature address andvalid value of write data for the feature.

• IP guided reconfiguration flow—Note the settings of the base configuration andgenerate the corresponding configuration files. Note the settings of themodified configuration and generate the corresponding configuration files. Findout the differences in settings between the base and modified configurations.

• IP guided reconfiguration flow using multiple profiles—Create and store theparameter settings between the various configurations or profiles usingconfiguration files. Find out the differences in settings between the variousconfigurations or profiles using configuration files.

• IP guided reconfiguration flow using the embedded streamer—Refer to thecontrol and status register map of the embedded reconfiguration streamer tostream the desired profile settings.

• Reconfiguration flow for special cases—Refer to the lookup registers to beaccessed for each special case, such as TX PLL switching, TX PLL referenceclock switching, and RX CDR reference clock switching.

5. Place the channels in digital reset either simultaneously or one after another. Fordetails about placing the channel in reset, refer to "Model 1: Default Model" and"Model 2: Acknowledgment Model" in the Resetting Transceiver Channels chapter.

If you are reconfiguring:

• PLLs—Place the channel transmitter associated with the PLL in reset (digital).

• TX simplex channels—Place the TX channels being reconfigured in reset(digital).

• RX simplex channels—Place the RX channels being reconfigured in reset(digital).

• Duplex channels—Place the channel TX and RX being reconfigured in reset(digital).

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide516

Page 517: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

6. If you are reconfiguring across data rates or protocol modes or enabling/disablingPRBS, place the channels in analog reset. For details about placing the channel inanalog reset, refer to "Model 1: Default Model" and "Model 2: AcknowledgmentModel" in the Resetting Transceiver Channels chapter.

If you are reconfiguring:

• PLLs—Place the channel transmitter associated with the PLL in reset (analog).

• TX simplex channels—Place the TX channels being reconfigured in reset(analog).

• RX simplex channels—Place the RX channels being reconfigured in reset(analog).

• Duplex channels—Place the channel TX and RX being reconfigured in reset(analog).

7. Check for internal configuration bus arbitration. If PreSICE has control, requestbus arbitration, otherwise go to the next step. For more details, refer to the"Arbitration" section.

8. Perform the necessary reconfiguration using the flow described in the followingsections:

• Direct Reconfiguration Flow

• Native PHY or PLL IP Guided Reconfiguration Flow

• Reconfiguration Flow for Special Cases

9. Perform all necessary reconfiguration. If reconfiguration involved data rate orprotocol mode changes, then you may have to reconfigure the PMA analogparameters of the channels. Refer to the Changing PMA Analog Parameters sectionfor more details.

10. If reconfiguration involved data rate or protocol mode change, then requestrecalibration and wait for the calibration to complete. Calibration is complete when*_cal_busy is deasserted. For more details about calibration registers and thesteps to perform recalibration, refer to the Calibration chapter.

If you reconfigured:

• PLL for data rate change—you must recalibrate the PLL and the channel TX.

• TX simplex channel for data rate change—you must recalibrate the channelTX.

• RX simplex channel for data rate change—you must recalibrate the channelRX.

• Duplex channel for data rate change—you must recalibrate the channel TX andRX.

11. Release the channel analog resets. For details about placing the channel in reset,refer to "Model 1: Default Model" and "Model 2: Acknowledgment Model" in theResetting Transceiver Channels chapter.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide517

Page 518: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

If you reconfigured:

• PLLs—Release the reset (analog) of the channel transmitters associated withthe PLL reconfigured.

• TX simplex channels—Release the reset (analog) of the TX channelsreconfigured.

• RX simplex channels—Release the reset (analog) of the RX channelsreconfigured.

• Duplex channels—Release the reset (analog) of the TX and RX channelsreconfigured.

12. Release the channel digital resets either simultaneously or one after another. Fordetails about releasing the channel resets, refer to "Model 1: Default Model" and"Model 2: Acknowledgment Model" in the Resetting Transceiver Channels chapter.(The figures in these sections are for analog resets, but they also contain timinginformation about digital resets.)

If you reconfigured:

• PLLs—Release the reset (digital) of the channel transmitters associated withthe PLL reconfigured.

• TX simplex channels—Release the reset (digital) of the TX channelsreconfigured.

• RX simplex channels—Release the reset (digital) of the RX channelsreconfigured.

• Duplex channels—Release the reset (digital) of the TX and RX channelsreconfigured.

Note: You cannot merge multiple reconfiguration interfaces across multiple IP blocks(merging independent instances of simplex TX/RX into the same physical location ormerging separate CMU PLL and TX channel into the same physical location) when youuse the optional reconfiguration logic soft control registers.

Related Information

• Resetting Transceiver Channels on page 416

• Model 1: Default Model on page 418

• Model 2: Acknowledgment Model on page 427

• Direct Reconfiguration Flow on page 519

• Native PHY IP or PLL IP Core Guided Reconfiguration Flow on page 519

• Reconfiguration Flow for Special Cases on page 521

• Changing PMA Analog Parameters on page 527

• Calibration on page 567

• Arbitration on page 512

• Arria 10 Transceiver Register Map

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide518

Page 519: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

6.9. Direct Reconfiguration Flow

Use this flow to perform dynamic reconfiguration when you know exactly whichparameter and value to change for the transceiver channel or PLL. You can use thisflow to change the PMA analog settings, enable/disable PRBS generator, and checkerhard blocks of the transceiver channel.

To perform dynamic reconfiguration using direct reconfiguration flow:

1. Perform the necessary steps from steps 1 to 7 in Steps to Perform DynamicReconfiguration.

2. Read from the desired feature address.

3. Perform a read-modify-write to feature address with a valid value.

4. Perform the necessary steps from steps 9 to 12 in Steps to Perform DynamicReconfiguration.

Related Information

• Steps to Perform Dynamic Reconfiguration on page 516

• Changing PMA Analog Parameters on page 527

• Using Data Pattern Generators and Checkers on page 550

• Resetting Transceiver Channels on page 416

• Calibration on page 567

• Arria 10 Transceiver Register Map

6.10. Native PHY IP or PLL IP Core Guided Reconfiguration Flow

Use the Native PHY IP core or PLL IP core guided reconfiguration flow to performdynamic reconfiguration when you need to change multiple parameters or parametersin multiple addresses for the transceiver channel or PLL. You can use this flow tochange data rates, change clock divider values, or switch from one PCS datapath toanother. You must generate the required configuration files for the base and modifiedTransceiver Native PHY IP core or PLL IP core configurations.

The configuration files contain addresses and bit values of the correspondingconfiguration. Compare the differences between the base and modified configurationfiles. The differences between these files indicate the addresses and bit values thatmust change to switch from one configuration to another. Perform read-modify-writesfor the bit values that are different from the base configuration to obtain the modifiedconfiguration.

To perform dynamic reconfiguration using the IP Guided Reconfiguration Flow:

1. Perform the necessary steps from steps 1 to 7 in Steps to Perform DynamicReconfiguration.

2. Perform a read-modify-write to all addresses and bit values that are different fromthe base configuration.

3. Perform the necessary steps from steps 9 to 12 in Steps to Perform DynamicReconfiguration.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide519

Page 520: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Note: If reconfiguration involved data rate or protocol mode changes, you may need toreconfigure the PMA analog parameters of the channels. Refer to the Changing PMAAnalog Parameters section for more details.

The bit values that must be changed to obtain the new configuration may span acrossmultiple addresses, such as when switching between Standard, Enhanced, and PCSDirect data paths. It is difficult to manually compare these values for the base andmodified configurations and then build logic to stream the different values in themodified configuration. You can use the multiple profiles feature of the Native PHY/ATXPLL IP cores to store the parameter settings (MIF configuration file) to memory. Withthe configuration content saved, you can read from the memory and write the contentto the target channel for reconfiguration. Optionally, you can also use the embeddedreconfiguration streamer feature of the Native PHY/ATX PLL IP cores, which includesthe logic to store the individual profile information and logic to perform streaming.Using the embedded reconfiguration streamer, you can reduce the number of read-modify-write operations to obtain the modified configuration.

To perform dynamic reconfiguration using the Embedded Reconfiguration Streamer:

1. Perform the necessary steps from steps 1 to 7 in Steps to Perform DynamicReconfiguration.

2. Perform a read-modify-write to address x340 with the desired profile select,broadcast bit (applicable for Native PHY only), and configuration load bit setaccordingly. For example, to stream profile 1 to a channel, perform a read-modify-write to bits x340[2:0] with 3’b001, bit x340[6] with 1’b0 to disable broadcasting,and bit x340[7] with 1’b1 to initiate streaming.

3. Poll the streamer busy bit at address x341 (x341[0]) at regular intervals. Whenthe busy bit is 1’b0, the reconfiguration is complete.

4. Perform the necessary steps from steps 9 to 12 in Steps to Perform DynamicReconfiguration.

Note: If reconfiguration involved data rate or protocol mode changes, you may need toreconfigure the PMA analog parameters of the channels. Refer to the Changing PMAAnalog Parameters section for more details.

Figure 272. Timing Diagram for Embedded Streamer Reconfiguration

reconfig_clk

reconfig_address

reconfig_read

reconfig_readdata

reconfig_waitrequest

reconfig_write

reconfig_writedata

xxx 0x340h 0x341h 0x000h

0x00h0x01h0x01h0x01h0x00hxxx

0x00h 0x00h0x81h 0x00h

User RequestsStreaming

User PollsStreaming

Busy Bit

Streaming inProgress; Streaming

Busy Bit Is High

Streaming Completes;Streaming Busy Bit Is Low

Related Information

• Arbitration on page 512

• Changing PMA Analog Parameters on page 527

• Steps to Perform Dynamic Reconfiguration on page 516

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide520

Page 521: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

• Resetting Transceiver Channels on page 416

• Calibration on page 567

6.11. Reconfiguration Flow for Special Cases

Dynamic reconfiguration can be performed on logical operations such as switchingbetween multiple transmit PLLs or multiple reference clocks. In these cases,configuration files alone cannot be used. Configuration files are generated during IPgeneration and do not contain information on the placement of PLLs or referenceclocks.

To perform dynamic reconfiguration on logical operations, you must use lookupregisters that contain information about logical index to physical index mapping.Lookup registers are read-only registers. Use these lookup registers to perform aread-modify-write to the selection MUXes to switch between PLLs or reference clocks.

To perform dynamic reconfiguration using reconfiguration flow for special cases:

1. Perform the necessary steps from steps 1 to 7 in Steps to Perform DynamicReconfiguration.

2. Read from the desired lookup register. Refer to the Switching Transmitter PLL andSwitching Reference Clocks sections for information about lookup registers.

3. Perform Logical Encoding (only required for Transmitter PLL switching).

4. Perform read-modify-write to the required feature address with the desired/encoded value.

5. Perform the necessary steps from steps 9 to 12 in Steps to Perform DynamicReconfiguration.

Related Information

• Steps to Perform Dynamic Reconfiguration on page 516

• Switching Transmitter PLL on page 521

• Switching Reference Clocks on page 523

• Resetting Transceiver Channels on page 416

• Calibration on page 567

6.11.1. Switching Transmitter PLL

Dynamically switching data rates increases system flexibility to support multipleprotocols. You can change the transceiver channel data rate by switching from onetransmit PLL to another. To switch between transmit PLLs, you must reconfigure thelocal CGB MUX select lines of the channel by performing a channel reconfiguration.You can clock transceiver channels with up to four different transmitter PLLs. You canuse the reconfiguration interface on the Native PHY IP core to specify which PLL drivesthe transceiver channel. The PLL switching method is the same, regardless of thenumber of transmitter PLLs involved.

Before initiating the PLL switch procedure, ensure that your Transceiver Native PHYinstance defines more than one transmitter PLL input. Specify the Number of TX PLLclock inputs per channel parameter on the TX PMA tab during Transceiver NativePHY parameterization.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide521

Page 522: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The following table shows the addresses and bits for transmitter PLL switching. Thenumber of exposed tx_serial_clk bits varies according to the number oftransmitter PLLs you specify. Use the Native PHY reconfiguration interface for thisoperation.

Table 267. Register Map for Switching Transmitter PLLs

Transceiver Native PHYPort

Description Address Bits

tx_serial_clk0 Represents logical PLL0. Lookup register x117[3:0]stores the mapping from logical PLL0 to the physicalPLL.

0x117 (Lookup Register) [3:0]

tx_serial_clk1 Represents logical PLL1. Lookup register x117[7:4]stores the mapping from logical PLL1 to the physicalPLL.

0x117 (Lookup Register) [7:4]

tx_serial_clk2 Represents logical PLL2. Lookup register x118[3:0]stores the mapping from logical PLL2 to the physicalPLL.

0x118 (Lookup Register) [3:0]

tx_serial_clk3 Represents logical PLL3. Lookup register x118[7:4]stores the mapping from logical PLL3 to the physicalPLL.

0x118 (Lookup Register) [7:4]

N/A PLL selection MUX 0x111 [7:0]

When performing a PLL switch, you must specify the lookup register address and bitvalues you want to switch to. The following procedure describes selection of a specifictransmitter PLL when more than one PLL is connected to a channel. To change thedata rate of the CDR, follow the detailed steps for reconfiguring channel and PLLblocks. After determining the logical PLL to switch to, follow this procedure to switchto the desired transmitter PLL:

1. Perform the necessary steps from steps 1 to 7 in Steps to Perform DynamicReconfiguration.

2. Read from the appropriate lookup register address (refer to Table 267 on page522) and save the required 4-bit pattern. For example, switching to logical PLL1requires saving bits [7:4] of address 0x117.

3. Encode the 4-bit value read in the previous step into an 8-bit value according tothe following table:

Table 268. Logical PLL Encoding

4-bit Logical PLL Bits 8-bit Mapping to Address 0x111

[3..0] {~logical_PLL_offset_readdata[3],logical_PLL_offset_readdata[1:0],logical_PLL_offset_readdata[3],logical_PLL_offset_readdata[3:0] }

[7..4] {~logical_PLL_offset_readdata[7],logical_PLL_offset_readdata[5:4],logical_PLL_offset_readdata[7],logical_PLL_offset_readdata[7:4] }

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide522

Page 523: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Note: For example, if reconfiguring to logical PLL1 then bits [7:4] are encoded toan 8-bit value {~bit[7], bit[5:4], bit[7], bit[7:4]}.

4. Perform a read-modify-write to bits[7:0] of address 0x111 using the encoded 8-bitvalue.

5. Perform the necessary steps from steps 9 to 12 in Steps to Perform DynamicReconfiguration.

Related Information

Steps to Perform Dynamic Reconfiguration on page 516

6.11.2. Switching Reference Clocks

You can dynamically switch the input clock source for the ATX PLL, the fPLL, the CDR,and the CMU.

6.11.2.1. ATX Reference Clock Switching

You can use the reconfiguration interface on the ATX PLL instance to specify whichreference clock source drives the ATX PLL. The ATX PLL supports clocking up to fivedifferent reference clock sources. The flow to select between the different referenceclock sources is independent of the number of transmitter PLLs specified in theParameter Editor.

Before initiating a reference clock switch, ensure that your ATX PLL instance definesmore than one reference clock source. Specify the Number of PLL reference clocksparameter on the PLL tab during ATX PLL parameterization.

The following table shows the addresses and bits for switching between ATX PLLreference clock inputs. The number of exposed pll_refclk ports varies according tothe number of reference clocks you specify. Use the ATX PLL reconfiguration interfacefor this operation.

Table 269. Register Map for Switching ATX PLL Reference Clock Inputs

Transceiver ATX PLLPort

Description Address Bits

pll_refclk0 Represents logical refclk0. Lookup registerx113[7:0] stores the mapping from logicalrefclk0 to the physical refclk.

0x113 (Lookup Register) [7:0]

pll_refclk1 Represents logical refclk1. Lookup registerx114[7:0] stores the mapping from logicalrefclk1 to the physical refclk.

0x114 (Lookup Register) [7:0]

pll_refclk2 Represents logical refclk2. Lookup registerx115[7:0] stores the mapping from logicalrefclk2 to the physical refclk.

0x115 (Lookup Register) [7:0]

pll_refclk3 Represents logical refclk3. Lookup registerx116[7:0] stores the mapping from logicalrefclk3 to the physical refclk.

0x116 (Lookup Register) [7:0]

pll_refclk4 Represents logical refclk4. Lookup registerx117[7:0] stores the mapping from logicalrefclk4 to the physical refclk.

0x117 (Lookup Register) [7:0]

N/A ATX refclk selection MUX. 0x112 [7:0]

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide523

Page 524: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

When performing a reference clock switch, you must specify the lookup registeraddress and respective bits of the replacement clock. After determining the ATX PLL,follow this procedure to switch to the selected reference clock:

1. Perform the necessary steps from steps 1 to 7 in Steps to Perform DynamicReconfiguration.

2. Read from the lookup register address and save the required 8-bit pattern. Forexample, switching to logical refclk2 requires use of bits[7:0] at address0x115.

3. Perform a read-modify-write to bits [7:0] at address 0x112 using the 8-bit valueobtained from the lookup register.

4. Perform the necessary steps from steps 9 to 12 in Steps to Perform DynamicReconfiguration.

Related Information

Steps to Perform Dynamic Reconfiguration on page 516

6.11.2.2. fPLL Reference Clock Switching

You can use the reconfiguration interface on the fPLL instance to specify whichreference clock source drives the fPLL. The fPLL supports clocking by up to fivedifferent reference clock sources. The flow to select between the different referenceclock sources is independent of the number of transmitter PLLs specified in thereconfiguration interface.

Before initiating a reference clock switch, ensure that your fPLL instance defines morethan one reference clock source. Specify the Number of PLL reference clocksparameter on the PLL tab during fPLL parameterization.

The following table shows the addresses and bits for switching between fPLL referenceclock inputs. The number of exposed pll_refclk ports varies according to thenumber of reference clocks you specify. Use the fPLL reconfiguration interface for thisoperation.

Table 270. Register Map for Switching fPLL Reference Clock Inputs

Transceiver fPLL Port Description Address Bits

pll_refclk0 Represents logical refclk0 for MUX_0. Lookupregister x117[7:0] stores the mapping from logicalrefclk0 to the physical refclk for MUX_0.

0x117 (Lookup Register) [7:0]

pll_refclk1 Represents logical refclk1 for MUX_0. Lookupregister x118[7:0] stores the mapping from logicalrefclk1 to the physical refclk for MUX_0.

0x118 (Lookup Register) [7:0]

pll_refclk2 Represents logical refclk2 for MUX_0. Lookupregister x119[7:0] stores the mapping from logicalrefclk2 to the physical refclk for MUX_0.

0x119 (Lookup Register) [7:0]

pll_refclk3 Represents logical refclk3 for MUX_0. Lookupregister x11A[7:0] stores the mapping from logicalrefclk3 to the physical refclk for MUX_0.

0x11A (Lookup Register) [7:0]

pll_refclk4 Represents logical refclk4 for MUX_0. Lookupregister x11B[7:0] stores the mapping from logicalrefclk4 to the physical refclk for MUX_0.

0x11B (Lookup Register) [7:0]

continued...

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide524

Page 525: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Transceiver fPLL Port Description Address Bits

N/A fPLL refclk selection MUX_0. 0x114 [7:0]

pll_refclk0 Represents logical refclk0 for MUX_1. Lookupregister x11D[7:0] stores the mapping from logicalrefclk0 to the physical refclk for MUX_1.

0x11D (Lookup Register) [7:0]

pll_refclk1 Represents logical refclk1 for MUX_1. Lookupregister x11E[7:0] stores the mapping from logicalrefclk1 to the physical refclk for MUX_1.

0x11E (Lookup Register) [7:0]

pll_refclk2 Represents logical refclk2 for MUX_1. Lookupregister x11F[7:0] stores the mapping from logicalrefclk2 to the physical refclk for MUX_1.

0x11F (Lookup Register) [7:0]

pll_refclk3 Represents logical refclk3 for MUX_1. Lookupregister x120[7:0] stores the mapping from logicalrefclk3 to the physical refclk for MUX_1.

0x120 (Lookup Register) [7:0]

pll_refclk4 Represents logical refclk4 for MUX_1. Lookupregister x121[7:0] stores the mapping from logicalrefclk4 to the physical refclk for MUX_1.

0x121 (Lookup Register) [7:0]

N/A fPLL refclk selection MUX_1. 0x11C [7:0]

Specify the logical reference clock and respective address and bits of the replacementclock when performing a reference clock switch. Follow this procedure to switch to theselected reference clock:

1. Perform the necessary steps from steps 1 to 7 in Steps to Perform DynamicReconfiguration.

2. Read from the lookup register for MUX 0 and save the required 8-bit pattern. Forexample, switching to logical refclk3 requires use of bits[7:0] at lookup register0x11A.

3. Perform a read-modify-write to bits [7:0] at address 0x114 using the 8-bit valueobtained from the lookup register.

4. Read from the lookup register for MUX 1 and save the required 8-bit pattern. Forexample, switching to logical refclk3 requires use of bits[7:0] at lookup register0x120.

5. Perform a read-modify-write to bits [7:0] at address 0x11C using the 8-bit valueobtained from the lookup register.

6. Perform the necessary steps from steps 9 to 12 in Steps to Perform DynamicReconfiguration.

Example 1:

Switching from pll_refclk0 to pll_refclk1, you need to read-modify-write toboth fPLL refclk selection MUX_0 and MUX_1:

1. Modify MUX_0 value:

• Read from 0x118[7:0]

• Write the value from 0x118 [7:0] to 0x114 [7:0]

2. Modify MUX_1 value:

• Read from 0x11E [7:0]

• Write the value read from 0x11E [7:0] to 0x11C [7:0]

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide525

Page 526: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Example 2:

Switching from pll_refclk2 to pll_refclk3, you need to read-modify-write toboth fPLL refclk selection MUX_0 and MUX_1:

1. Modify MUX_0 value:

• Read from 0x11A [7:0]

• Write the value read from 0x11A [7:0] to 0x114 [7:0]

2. Modify MUX_1 value:

• Read from 0x120 [7:0]

• Write the value read from 0x120 [7:0] to 0x11C [7:0]

Related Information

Steps to Perform Dynamic Reconfiguration on page 516

6.11.2.3. CDR and CMU Reference Clock Switching

You can use the reconfiguration interface to specify which reference clock sourcedrives the CDR and CMU PLL. The CDR and CMU support clocking by up to fivedifferent reference clock sources.

Before initiating a reference clock switch, ensure that your CDR and CMU defines morethan one reference clock source. For the CDR, specify the parameter on the RX PMAtab during the Native PHY IP parameterization. For the CMU, specify the Number ofPLL reference clocks under the PLL tab when parameterizing the CMU PLL.

The following table describes the addresses and bits for switching CDR and CMUreference clock inputs. The number of exposed rx_cdr_refclk (CDR) orpll_refclk (CMU) varies according to the number of reference clocks you specify.Use the CMU reconfiguration interface for switching the CMU reference clock.

Table 271. Register Map for Switching CDR Reference Clock Inputs

Native PHY Port Description Address Bits

cdr_refclk0 Represents logical refclk0.Lookup register x16A[7:0]stores the mapping from logicalrefclk0 to the physical refclk.

0x16A (Lookup Register) [7:0]

cdr_refclk1 Represents logical refclk1.Lookup register x16B[7:0]stores the mapping from logicalrefclk1 to the physical refclk.

0x16B (Lookup Register) [7:0]

cdr_refclk2 Represents logical refclk2.Lookup register x16C[7:0]stores the mapping from logicalrefclk2 to the physical refclk.

0x16C (Lookup Register) [7:0]

continued...

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide526

Page 527: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Native PHY Port Description Address Bits

cdr_refclk3 Represents logical refclk3.Lookup register x16D[7:0]stores the mapping from logicalrefclk3 to the physical refclk.

0x16D (Lookup Register) [7:0]

cdr_refclk4 Represents logical refclk4.Lookup register x16E[7:0]stores the mapping from logicalrefclk4 to the physical refclk.

0x16E (Lookup Register) [7:0]

N/A CDR refclk selection MUX. 0x141 [7:0]

When performing a reference clock switch, note the logical reference clock to switch toand the respective address and bits. After determining the logical reference clock,follow this procedure to switch to the selected CDR reference clock:

1. Perform the necessary steps from steps 1 to 7 in Steps to Perform DynamicReconfiguration.

2. Read from the lookup register and save the required 8-bit pattern. For example,switching to logical refclk3 requires saving bits[7:0] at address 0x16D.

3. Perform a read-modify-write to bits [7:0] at address 0x141 using the 8-bit valueobtained from the lookup register.

4. Perform the necessary steps from steps 9 to 12 in Steps to Perform DynamicReconfiguration.

Related Information

Steps to Perform Dynamic Reconfiguration on page 516

6.12. Changing PMA Analog Parameters

You can use the reconfiguration interface on the Transceiver Native PHY IP core tochange the value of PMA analog features.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide527

Page 528: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The PMA analog settings can be broadly divided into the following groups:

• PMA analog settings that are channel or system dependent:

— These settings may vary from channel to channel based on channel loss orother factors

— You can set these PMA analog settings based on IBIS-AMI or Advanced LinkAnalyzer simulations

— You can set these PMA analog settings using QSF assignments or byperforming RMWs to the respective registers

— These PMA analog settings are not included in the configuration files bydefault. To include these PMA analog settings in the configuration files, youmust enable the Include PMA Analog settings in configuration filesoption in the Dynamic Reconfirmation tab of the Transceiver Native PHY IPParameter Editor. Enabling this feature adds the PMA analog settings specifiedin the Analog PMA settings (Optional) tab of the Native PHY IP ParameterEditor to the configuration files. Even with this option enabled in the NativePHY IP Parameter Editor, you must still specify QSF assignments for youranalog settings when compiling your static design. The analog settingsselected in the Native PHY IP Parameter Editor are used only to include thesesettings and their dependent settings in the selected configuration files. Fordetails about optional analog settings, refer to the "Analog PMA Settings(Optional) for Dynamic Reconfiguration" table in the Ports and Parameterssection. For details about QSF assignments for the analog settings, refer to theAnalog Parameter Settings chapter.

— If you do not enable the Include PMA Analog settings in configurationfiles option, then you can change these analog settings by performing RMWsusing direct reconfiguration flow

Table 272. PMA Analog Settings that are Channel or System Dependent

PMA Analog Feature Fitter Report Name Arria 10 Transceiver Register Map AttributeName

VOD vod_output_swing_ctrl vod_output_swing_ctrl

Pre-emphasis pre_emp_sign_1st_post_tap pre_emp_sign_1st_post_tap

pre_emp_sign_2nd_post_tap pre_emp_sign_2nd_post_tap

pre_emp_sign_pre_tap_1t pre_emp_sign_pre_tap_1t

pre_emp_sign_pre_tap_2t pre_emp_sign_pre_tap_2t

pre_emp_switching_ctrl_1st_post_tap pre_emp_switching_ctrl_1st_post_tap

pre_emp_switching_ctrl_2nd_post_tap pre_emp_switching_ctrl_2nd_post_tap

pre_emp_switching_ctrl_pre_tap_1t pre_emp_switching_ctrl_pre_tap_1t

pre_emp_switching_ctrl_pre_tap_2t pre_emp_switching_ctrl_pre_tap_2t

CTLE eq_dc_gain_trim eq_dc_gain_trim

one_stage_enable one_stage_enable

eq_bw_sel eq_bw_sel

adp_ctle_eqz_1s_sel adp_ctle_eqz_1s_sel

adp_ctle_acgain_4s adp_ctle_acgain_4s

VGA adp_vga_sel adp_vga_sel

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide528

Page 529: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

• PMA analog settings that are device dependent

— These settings may vary for each transceiver protocol-type and data rate inyour design

— These settings are not included in the configuration files by default. To includethese analog settings in the configuration files, you must enable the featureInclude PMA Analog settings in configuration files under the DynamicReconfiguration tab of the Transceiver Native PHY IP Parameter Editor.Enabling this feature adds the PMA analog settings specified in the AnalogPMA settings (Optional) tab of Native PHY IP Parameter Editor to theconfiguration files. Even with this option enabled in the Native PHY IPParameter Editor, you must still specify QSF assignments for your analogsettings when compiling your static design. The analog settings selected in theNative PHY IP Parameter Editor are used only to include these settings andtheir dependent settings in the selected configuration files. For details aboutoptional analog settings, refer to the "Analog PMA Settings (Optional) forDynamic Reconfiguration" table in the Ports and Parameters section. Fordetails about QSF assignments for the analog settings, refer to the AnalogParameter Settings chapter.

— If the Include PMA analog settings in configuration files option isdisabled, then you must set these PMA analog settings. In addition tostreaming the configuration files generated by the Native PHY IP ParameterEditor, you must perform RMWs using Direct Reconfiguration Flow to changethese PMA analog settings through the Avalon-MM reconfiguration interface

— The values of all these PMA analog settings that change when changingprotocol-type or data rates must be obtained from the respective Fitter reportsby performing full compilation for each of the base and target configurations

— For example, when changing the data rate from A to B, you must first performa full compile with the data rate configured to A and note the PMA analogsettings from the fitter report. Next, you must perform a full compile with datarate configured to B and note the PMA analog settings from the fitter report. Ifany of these PMA analog settings changed values between the two compiles,you must perform RMWs with the target values to the respective registersafter streaming the configuration files.

— Examples: Slew rate, Equalizer Bandwidth, Compensation Enable

Table 273. PMA Analog Settings that are Device Dependent

PMA Analog Feature Fitter Report Name Arria 10 Transceiver Register Map AttributeName

Slew Rate (TX Buffer) Slew_rate_ctrl Slew_rate_ctrl

Equalizer Bandwidth(RX Buffer)

Eq_bw_sel Eq_bw_sel

Compensation Enable(TX Buffer)

Compensation_en Compensation_en

One Stage Enable (RXCTLE)

One_stage_enable One_stage_enable

Related Information

• Ports and Parameters on page 535

• Analog Parameter Settings on page 585

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide529

Page 530: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

6.12.1. Changing VOD, Pre-emphasis Using Direct Reconfiguration Flow

1. Perform the necessary steps from steps 1 to 7 in Steps to Perform DynamicReconfiguration.

2. Read from the PMA analog feature address of the channel you want to change. Forexample, to change pre-emphasis 1st post-tap, read and store the value ofaddress 0x105.

3. Select a valid value for the feature according to the Arria 10 register map. Forexample, a valid setting for pre-emphasis 1st post-tap has a bit encoding of5'b00001.

4. Perform a read-modify-write to the address of the PMA analog feature using thevalid value. For example, to change the pre-emphasis 1st post-tap, write 5'b00001to address 0x105.

5. Perform the necessary steps from steps 9 to 12 in Steps to Perform DynamicReconfiguration.

Table 274. Register Map for PMA Analog Feature

PMA Analog Feature Address Bit Values

Pre-emphasis 1st post-tap 0x105 [4:0] 5'b00000 - 5'b11001

Pre-emphasis 1st post-tap polarity 0x105 [6] 1'b0 = positive1'b1 = negative

Pre-emphasis 2nd post-tap 0x106 [3:0] 4'b0000 - 4'b1100

Pre-emphasis 2nd post-tap polarity 0x106 [5] 1'b0 = positive1'b1 = negative

Pre-emphasis 1st pre-tap 0x107 [4:0] 5'b00000 - 5'b10000

Pre-emphasis 1st pre-tap polarity 0x107 [5] 1'b0 = positive1'b1 = negative

Pre-emphasis 2nd pre-tap 0x108 [2:0] 3'b000 - 3'b111

Pre-emphasis 2nd pre-tap polarity 0x108 [4] 1'b0 = positive1'b1 = negative

Differential output voltage (VOD) 0x109 [4:0] 5'b00000 - 5'b11111

The PMA analog settings are governed by a set of rules. Not all combinations of VODand pre-emphasis are valid. Please refer to Arria 10 Pre-Emphasis and Output SwingSettings for current valid settings. Also, refer to "Analog Parameter Settings" andsetup guidelines on post_tap polarity settings.

Related Information

• Steps to Perform Dynamic Reconfiguration on page 516

• Arria 10 PMA Architecture on page 447

• Arria 10 Pre-Emphasis and Output Swing Settings

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide530

Page 531: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

6.12.2. Changing CTLE Settings in Manual Mode Using DirectReconfiguration Flow

You can use the reconfiguration interface on the Transceiver Native PHY IP core tochange the CTLE settings in manual mode.

1. Perform the necessary steps from steps 1 to 7 in Steps to Perform DynamicReconfiguration.

2. Read from the CTLE feature address of the channel you want to change. Forexample, to change CTLE AC gain in high gain mode, read and store the value ofaddress 0x167[5:1].

3. Select a valid value for the feature according to the Arria 10 register map. Forexample, a valid setting for CTLE AC Gain has a bit encoding of 5’b00000.

4. Perform a read-modify-write to the address of the CTLE feature using the validvalue. For example, to change the CTLE AC gain in high gain mode, write5’b00000 to address 0x167[5:1].

5. Perform the necessary steps from steps 9 to 12 in Steps to Perform DynamicReconfiguration.

Table 275. Register Map for CTLE Settings

CTLE Feature Address Bits Values Description

One Stage Enable 0x11B [3] 1’b0- Selects Four Stage1’b1- Selects One Stage

Selects the equalizer path as eitherOne Stage or Four Stage mode.

DC Gain 0x11C,0x11A

[3:0], [7:0] 12’b00000000000012’b11100000000012’b11111100000012’b11111111100012’b111111111111

Sets the DC gain values. Thisregister can only be controlled whenin Four stage mode.

CTLE AC Gain OneStage

0x166 [4:1] 4’b0000- 4’b1111 Sets the AC gain value when onestage mode (High data rate mode) isselected. A higher value meanshigher peaking by suppressing DCgain.

CTLE AC Gain FourStage

0x167 [5:1] 5’b00000 – 5’b11100 Sets the AC gain value when fourstage mode (High gain mode) isselected.

VGA SEL 0x160 [3:1] 3’b000 – 3’b111 Sets the VGA Gain value

Related Information

• Steps to Perform Dynamic Reconfiguration on page 516

• Arria 10 PMA Architecture on page 447

6.12.3. CTLE Settings in Triggered Adaptation Mode

CTLE triggered adaptation mode should only be used for PCIe Gen3. Refer to thesection "How to enable CTLE and DFE" in Chapter Arria 10 Transceiver PHYArchitecture of Arria 10 Transceiver PHY User Guide for details on using the triggeredadaptation mode of CTLE.

User need to change the register bit settings accordingly when moving from PCIeGen1/2 (CTLE manual, DFE disabled) to PCIe Gen3 (CTLE triggered, DFE disabled) orvice versa. Refer to Table 276 on page 532 for the difference in register bit settings

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide531

Page 532: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

when moving from CTLE manual, DFE disabled to CTLE triggered, DFE disabled. Userneed to perform read modify writes to all the register bits that differ through dynamicreconfiguration (AVMM) interface.

Table 276. Register Map for CTLE triggered and CTLE manual settings

Register Address Register Bit Description

Value

CTLE Triggered, DFEDisabled

CTLE Manual, DFEDisabled

0x123

1:1 Enable Adaptation Slicers 1'b1 1'b0

2:2 Enable DFE Fix Tap 8 to 11 1'b0

3:3 Enable DFE Fix Tap 4 to 7 1'b0

0x148

0:0 Enable DFE Fix TAP 1 to 7 Adaptation 1'b0

1:1 Enable DFE Fix TAP 8 to 11 Adaptation 1'b0

2:2 Enable VREF Adaptation 1'b1 1'b0

3:3 Enable VGA Adaptation 1'b1 1'b0

4:4 Enable CTLE Adaptation 1'b1 1'b0

0x14B 7:7 Enable CTLE Adaptation 1'b1 1'b0

0x15B 4:4 Enable CTLE Adaptation 1'b1 1'b0

0x15B0:0 Bypass DFE Fix TAP 1 to 7 Adaptation 1'b1

2:2 Bypass DFE Fix TAP 8 to 11 Adaptation 1'b1

0x15E 0:0 Bypass VREF Adaptations 1'b0 1'b1

0x160 0:0 Bypass VGA Adaptations 1'b1

0x166 0:0 Bypass Single Stage CTLE 1'b0 1'b1

0x167 0:0 Bypass 4 Stage CTLE 1'b0 1'b1

0x163 7:5 CTLE Adaptation Timer Window 3'b111

0x14D 2:0 DFE Adaptation Mode 3'b100 3'b111

0x124 5:5 Enable DFT 1'b1

0x11F 5:4 Eq_bw_sel 2'b01 (Gen3) 2'b00 (Gen1/2)

Refer to the "Arria 10 Register Map" and "Arria 10 Adaptation Tool" for details onadaptation registers.

Related Information

• How to Enable CTLE and DFE on page 456

• Arria 10 Transceiver Register Map on page 564

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide532

Page 533: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

6.12.4. Enabling and Disabling Loopback Modes Using DirectReconfiguration Flow

Arria 10 devices have three loopback modes:

• Serial Loopback

• Reverse Serial Loopback (Pre-CDR)

• Reverse Serial Loopback (Post-CDR)

The loopback mode can be dynamically reconfigured by accessing the register space.

Serial Loopback Mode

In serial loopback mode, a path exists between the serializer of the transmitter andthe CDR of the receiver, so that the data from the CDR is recovered from the serializerwhile the data from the receiver serial input pin is ignored. You can enable or disablethis mode.

Figure 273. Serial Loopback Mode

PCS PMASerializer

PCS PMADeserializer

Transmitter

Receiver

CDR

Serial Loopback

To enable serial loopback mode:

1. Perform the necessary steps from steps 1 to 7 in Steps to Perform DynamicReconfiguration.

2. Perform a read-modify-write to address 0x2E1 to set bit 0 to 1’b1

3. Perform the necessary steps from steps 9 to 12 in Steps to Perform DynamicReconfiguration.

To disable serial loopback mode:

1. Perform the necessary steps from steps 1 to 7 in Steps to Perform DynamicReconfiguration.

2. Perform a read-modify-write to address 0x2E1 to set bit 0 to 1’b0

3. Perform the necessary steps from steps 9 to 12 in Steps to Perform DynamicReconfiguration.

You can also enable the serial loopback mode by turning on Enable rx_seriallpbkenport in the Native PHY IP Parameter Editor and driving the port to 1’b1.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide533

Page 534: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Reverse Serial Loopback Mode (Pre-CDR)

In the pre-CDR mode, data received through the RX input buffer is looped back to theTX output buffer. You can enable the reverse serial loopback mode by performingread-modify-write to the following registers.

Figure 274. Reverse Serial Loopback Mode (Pre-CDR)

PCS PMASerializer

PCS PMADeserializer

Transmitter

Receiver

CDR

Pre-CDR ReverseSerial Loopback

Table 277. Bit Values to Be Set

Address Bit Values

0x137[7] 1’b1

0x13C[7] 1’b0

0x132[5:4] 2’b00

0x142[4] 1’b1

0x11D[0] 1’b1

Reverse Serial Loopback Mode (Post-CDR)

In the post-CDR mode, received data passes through the RX CDR and then loops backto the TX output buffer. Perform read-modify-write to the following registers to enablethis mode.

Figure 275. Reverse Serial Loopback Mode (Post-CDR)

PCS PMASerializer

PCS PMA

Transmitter

Receiver

Deserializer CDR

Post-CDR ReverseSerial Loopback

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide534

Page 535: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 278. Bit Values to Be Set

Address Bit Values

0x137[7] 1’b0

0x13C[7] 1’b1

0x132[5:4] 2’b01

0x142[4] 1’b0

0x11D[0] 1’b0

Disabling Reverse Serial Loopback Mode (Pre-CDR and Post-CDR)

To disable reverse-serial loopback mode, set the address bits to the following values,by performing read-modify-write.

Table 279. Bit Values to Be Set

Address Bit Values

0x137[7] 1’b0

0x13C[7] 1’b0

0x132[5:4] 2’b00

0x142[4] 1’b0

0x11D[0] 1’b0

Related Information

Steps to Perform Dynamic Reconfiguration on page 516

6.13. Ports and Parameters

The reconfiguration interface is integrated in the Native PHY instance and the TX PLLinstances. Instantiate the Native PHY and the TX PLL IP cores in Qsys by clickingTools ➤ IP Catalog. You can define parameters for IP cores by using the IP core-specific parameter editor. To expose the reconfiguration interface ports, select theEnable dynamic reconfiguration option when parameterizing the IP core.

You can share the reconfiguration interface among all the channels by turning onShare reconfiguration interface when parameterizing the IP core. When this optionis enabled, the IP core presents a single reconfiguration interface for dynamicreconfiguration of all channels. Address bits [9:0] provide the register address in thereconfiguration space of the selected channel. The remaining address bits of thereconfiguration address specify the selected logical channel. For example, if there arefour channels in the Native PHY IP instance, reconfig_address[9:0] specifies theaddress and reconfig_address[11:10] are binary encoded to specify the fourchannels. For example, 2'b01 in reconfig_address[11:10] specifies logicalchannel 1.

The following figure shows the signals available when the Native PHY IP core isconfigured for four channels and the Share reconfiguration interface option isenabled.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide535

Page 536: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 276. Signals Available with Shared Native PHY Reconfiguration Interface

Native PHY IP Core

clkresetwritereadaddresswritedatareaddatawaitrequest

reconfig_clkreconfig_resetreconfig_writereconfig_read

reconfig_address[11:0]reconfig_writedata[31:0]reconfig_readdata[31:0]

reconfig_waitrequest

Table 280. Reconfiguration Interface Ports with Shared Native PHY ReconfigurationInterfaceThe reconfiguration interface ports when Share reconfiguration interface is enabled. <N> represents thenumber of channels.

Port Name Direction Clock Domain Description

reconfig_clk Input N/A Avalon clock. The clock frequency is 100-125MHz.

reconfig_reset Input reconfig_clk Resets the Avalon interface. Asynchronous toassertion and synchronous to deassertion.

reconfig_write Input reconfig_clk Write enable signal. Signal is active high.

reconfig_read Input reconfig_clk Read enable signal. Signal is active high.

reconfig_address[log2<N>+9:0] Input reconfig_clk Address bus. The lower 10 bits specify addressand the upper bits specify the channel.

reconfig_writedata[31:0] Input reconfig_clk A 32-bit data write bus. Data to be writteninto the address indicated byreconfig_address.

reconfig_readdata[31:0] Output reconfig_clk A 32-bit data read bus. Valid data is placed onthis bus after a read operation. Signal is validafter reconfig_waitrequest goes high andthen low.

reconfig_waitrequest Output reconfig_clk A one-bit signal that indicates the Avaloninterface is busy. Keep the Avalon commandasserted until the interface is ready to proceedwith the read/write transfer. The behavior ofthis signal depends on whether the featureSeparate reconfig_waitrequest from thestatus of AVMM arbitration with PreSICEis enabled or not. For more details, refer tothe Arbitration section.

When Share reconfiguration interface is off, the Native PHY IP core provides anindependent reconfiguration interface for each channel. For example, when areconfiguration interface is not shared for a four-channel Native PHY IP instance,reconfig_address[9:0] corresponds to the reconfiguration address bus of logicalchannel 0, reconfig_address[19:10] correspond to the reconfiguration addressbus of logical channel 1, reconfig_address[29:20] corresponds to thereconfiguration address bus of logical channel 2, and reconfig_address[39:30]correspond to the reconfiguration address bus of logical channel 3.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide536

Page 537: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

The following figure shows the signals available when the Native PHY is configured forfour channels and the Share reconfiguration interface option is not enabled.

Figure 277. Signals Available with Independent Native PHY Reconfiguration Interfaces

Native PHY IP Core

clkresetwritereadaddresswritedatareaddatawaitrequest

reconfig_clk[3:0]reconfig_reset[3:0]reconfig_write[3:0]reconfig_read[3:0]

reconfig_address[39:0]reconfig_writedata[127:0]reconfig_readdata[127:0]

reconfig_waitrequest[3:0]

Table 281. Reconfiguration Interface Ports with Independent Native PHYReconfiguration InterfacesThe reconfiguration interface ports when Share reconfiguration interface is disabled. <N> represents thenumber of channels.

Port Name Direction Clock Domain Description

reconfig_clk[N-1:0] Input N/A Avalon clock for each channel. The clockfrequency is 100-125 MHz.

reconfig_reset[N-1:0] Input reconfig_clk Resets the Avalon interface for each channel.Asynchronous to assertion and synchronous todeassertion.

reconfig_write[N-1:0] Input reconfig_clk Write enable signal for each channel. Signal isactive high.

reconfig_read[N-1:0] Input reconfig_clk Read enable signal for each channel. Signal isactive high.

reconfig_address[N*10-1:0] Input reconfig_clk A 10-bit address bus for each channel.

reconfig_writedata[N*32-1:0] Input reconfig_clk A 32-bit data write bus for each channel. Datato be written into the address indicated by thecorresponding address field inreconfig_address.

reconfig_readdata[N*32-1:0] Output reconfig_clk A 32-bit data read bus for each channel. Validdata is placed on this bus after a readoperation. Signal is valid after waitrequestgoes high and then low.

reconfig_waitrequest[N-1:0] Output reconfig_clk A one-bit signal for each channel thatindicates the Avalon interface is busy. Keepthe Avalon command asserted until theinterface is ready to proceed with the read/write transfer. The behavior of this signaldepends on whether the feature Separatereconfig_waitrequest from the status ofAVMM arbitration with PreSICE is enabledor not. For more details, refer to theArbitration section.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide537

Page 538: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 282. Avalon Interface ParametersThe following parameters are available in the Dynamic Reconfiguration tab of the Transceiver Native PHYand TX PLL parameter editors.

Note: The Native PHY and the PLL IP Parameter Editors give an error or warning message if any ofthe parameter selections violate the legality checks.

Parameter Value Description

Enable dynamic reconfiguration On / Off Available in Native PHY and TX PLL IP parameter editors. Enablesthe reconfiguration interface. Off by default. The reconfigurationinterface is exposed when this option is enabled.

Share reconfiguration interface On / Off Available in Native PHY IP parameter editor only. Enables you touse a single reconfiguration interface to control all channels. Offby default. If enabled, the uppermost bits of reconfig_addressidentifies the active channel. The lower 10 bits specify thereconfiguration address. Binary encoding is used to identify theactive channel (available only for Transceiver Native PHY). Enablethis option if the Native PHY is configured with more than onechannel.

Enable Altera Debug MasterEndpoint

On / Off Available in Native PHY and TX PLL IP parameter editors. Whenenabled, the Altera Debug Master Endpoint (ADME) is instantiatedand has access to the Avalon-MM interface of the Native PHY. Youcan access certain test and debug functions using System Consolewith the ADME. Refer to the Embedded Debug Features sectionfor more details about ADME.

Separate reconfig_waitrequestfrom the status of AVMMarbitration with PreSICE

On / Off When enabled, reconfig_waitrequest do not indicate thestatus of AVMM arbitration with PreSICE. The AVMM arbitrationstatus is reflected in a soft status register bit. This featurerequires that the Enable control and status registers featureunder Optional Reconfiguration Logic be enabled. Refer toArbitration for more details on this feature. Refer to theCalibration chapter for more details about calibration.

Enable capability registers On / Off Available in Native PHY and TX PLL IP parameter editors. Enablescapability registers. These registers provide high-level informationabout the transceiver channel's /PLL's configuration.

Set user-defined IP identifier User-specified Available in Native PHY and TX PLL IP parameter editors. Sets auser-defined numeric identifier that can be read from theuser_identifier offset when the capability registers areenabled.

Enable control and statusregisters

On / Off Available in Native PHY and TX PLL IP parameter editors. Enablessoft registers for reading status signals and writing control signalson the PHY /PLL interface through the ADME or reconfigurationinterface.

Enable PRBS soft accumulators On / Off Available in Native PHY IP parameter editor only. Enables softlogic to perform PRBS bit and error accumulation when using thehard PRBS generator and checker.

Configuration file prefix User-specified Available in Native PHY and TX PLL IP parameter editors. Specifiesthe file prefix used for generating configuration files. Use a uniqueprefix for configuration files for each variant of the Native PHYand PLL.

Generate SystemVerilog packagefile

On / Off Available in Native PHY and TX PLL IP parameter editors. Createsa SystemVerilog package file that contains the currentconfiguration data values for all reconfiguration addresses.Disabled by default.

Generate C header file On / Off Available in Native PHY and TX PLL IP parameter editors. Createsa C header file that contains the current configuration data valuesfor all reconfiguration addresses. Disabled by default.

continued...

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide538

Page 539: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Value Description

Generate MIF (Memory InitializeFile)

On / Off Available in Native PHY and TX PLL IP parameter editors. Createsa MIF file that contains the current configuration data values forall reconfiguration addresses. Disabled by default.

Include PMA analog settings inthe configuration files

On / Off Available in Native PHY IP parameter editor only. When enabled,the IP allows you to configure the analog settings for the PMA.These settings are included in your generated configuration files.Note: Even with this option enabled in the Native PHY IP

Parameter Editor, you must still specify QSF assignmentsfor your analog settings when compiling your staticdesign. The analog settings selected in the Native PHY IPParameter Editor are used only to include these settingsand their dependent settings in the selected configurationfiles. For details about QSF assignments for the analogsettings, refer to the Analog Parameter Settings chapter.

Enable multiple reconfigurationprofiles

On / Off Available in Native PHY and ATX PLL IP parameter editors only.Use the Parameter Editor to store multiple configurations. Theparameter settings for each profile are tabulated in the ParameterEditor.

Enable embedded reconfigurationstreamer

On / Off Available in Native PHY and ATX PLL IP parameter editors only.Embeds the reconfiguration streamer into the Native PHY/ATX PLLIP cores and automates the dynamic reconfiguration processbetween multiple predefined configuration profiles.

Generate reducedreconfiguration files

On / Off Available in Native PHY and ATX PLLIP parameter editors only.Enables the Native PHY and ATX PLL IP cores to generatereconfiguration files that contain only the attributes that differbetween multiple profiles.

Number of reconfigurationprofiles

1 to 8 Available in Native PHY and ATX PLL IP parameter editors only.Specifies the number of reconfiguration profiles to support whenmultiple reconfiguration profiles are enabled.

Selected reconfiguration profile 0 to 7 Available in Native PHY and ATX PLL IP parameter editors only.Selects which reconfiguration profile to store when you clickStore profile.

Store configuration to selectedprofile

N/A Available in Native PHY and ATX PLL IP parameter editors only.Stores the current Native PHY and ATX PLL parameter settings tothe profile specified by the Selected reconfiguration profileparameter.

Load configuration from selectedprofile

N/A Available in Native PHY and ATX PLL IP parameter editors only.Loads the current Native PHY/ATX PLL IP with parameter settingsfrom the stored profile specified by the Selectedreconfiguration profile parameter.

Clear selected profile N/A Available in Native PHY and ATX PLL IP parameter editors only.Clears the stored Native PHY/ATX PLL IP parameter settings forthe profile specified by the Selected reconfiguration profileparameter. An empty profile defaults to the current parametersettings of the Native PHY/ATX PLL. In other words, an emptyprofile reflects the Native PHY/ATX PLL current parametersettings.

Clear all profiles N/A Available in Native PHY and ATX PLL IP parameter editors only.Clears the Native PHY/ATX PLL IP parameter settings for all theprofiles.

Refresh selected_profile N/A Available in Native PHY and ATX PLL IP parameter editors only.Equivalent to clicking the Load configuration from selectedprofile and Store configuration to selected profile buttons insequence. This operation loads the parameter settings fromstored profile specified by the Selected reconfiguration profileparameter and then stores the parameters back to the profile.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide539

Page 540: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 283. Analog PMA Settings (Optional) for Dynamic ReconfigurationThe following parameters are available in the Analog PMA Settings (Optional) tab of the Transceiver NativePHY parameter editor. Refer to Changing PMA Analog Parameters for more details. Refer to the AnalogParameter Settings chapter for details about using the QSF assignments.

Parameter Value Description

TX Analog PMA Settings

Analog Mode (Load Intel-recommended Defaultsettings)

cei_11100_lr toxfp_9950

Selects the analog protocol mode to pre-select the TX pinswing settings (VOD, Pre-emphasis, and Slew Rate). Afterloading the pre-selected values in the Parameter Editor, ifone or more of the individual TX pin swing settings need tobe changed, then enable the option to override the Intel-recommended defaults to individually modify the settings.For details about QSF assignments for the analog settings,refer to the Analog Parameter Settings chapter.

Override Intel-recommendedAnalog Mode Default settings

On / Off Enables the option to override the Intel-recommendedsettings for the selected TX Analog Mode for one or more TXanalog parameters.

Output Swing Level (VOD) 0-31 Selects the transmitter programmable output differentialvoltage swing.

Pre-Emphasis First Pre-TapPolarity

Fir_pre_1t_neg,Fir_pre_1t_pos

Selects the polarity of the first pre-tap for pre-emphasis.

Pre-Emphasis First Pre-TapMagnitude

0-16 Selects the magnitude of the first pre-tap for pre-emphasis.

Pre-Emphasis Second Pre-TapPolarity

Fir_pre_2t_neg,Fir_pre_2t_pos

Selects the polarity of the second pre-tap for pre-emphasis.

Pre-Emphasis Second Pre-TapMagnitude

0-7 Selects the magnitude of the second pre-tap for pre-emphasis.

Pre-Emphasis First Post-TapPolarity

Fir_post_1t_neg,Fir_post_1t_pos

Selects the polarity of the first post-tap for pre-emphasis.

Pre-Emphasis First Post-TapMagnitude

0-25 Selects the magnitude of the first post-tap for pre-emphasis.

Pre-Emphasis Second Post-Tap Polarity

Fir_post_2t_neg,Fir_post_2t_pos

Selects the polarity of the second post-tap for pre-emphasis.

Pre-Emphasis Second Post-Tap Magnitude

0-12 Selects the magnitude of the second post-tap for pre-emphasis.

Slew Rate Control slew_r0 to slew_r5 Selects the slew rate of the TX output signal. Valid valuesspan from slowest to the fastest rate.

High-Speed Compensation Enable / Disable Enables the power-distribution network (PDN) induced inter-symbol interference (ISI) compensation in the TX driver.When enabled, it reduces the PDN induced ISI jitter, butincreases the power consumption.

On-Chip termination r_r1, r_r2 Selects the on-chip TX differential termination.

RX Analog PMA settings

Override Intel-recommendedDefault settings

On / Off Enables the option to override the Intel-recommendedsettings for one or more RX analog parameters. For detailsabout QSF assignments for the analog settings, refer to theAnalog Parameter Settings chapter.

CTLE (Continuous Time LinearEqualizer) mode

non_s1_mode,s1_mode

Selects between the RX high gain mode (non_s1_mode) orRX high data rate mode (s1_mode) for the Continuous TimeLinear Equalizer (CTLE).

continued...

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide540

Page 541: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Parameter Value Description

DC gain control of high gainmode CTLE

no_dc_gain tostg4_gain7

Selects the DC gain of the Continuous Time Linear Equalizer(CTLE) in high gain mode

AC Gain Control of High GainMode CTLE

radp_ctle_acgain_4s_0toradp_ctle_acgain_4s_28

Selects the AC gain of the Continuous Time Linear Equalizer(CTLE) in high gain mode when CTLE is in manual mode

AC Gain Control of High DataRate Mode CTLE

radp_ctle_eqz_1s_sel_0 toradp_ctle_eqz_1s_sel_15

Selects the AC gain of the Continuous Time Linear Equalizer(CTLE) in high data rate mode when CTLE is in manualmode

Variable Gain Amplifier (VGA)Voltage Swing Select

radp_vga_sel_0 toradp_vga_sel_7

Selects the Variable Gain Amplifier (VGA) output voltageswing when both the CTLE and DFE blocks are in manualmode.

Decision Feedback Equalizer(DFE) Fixed Tap 1 Coefficient

radp_dfe_fxtap1_0 toradp_dfe_fxtap1_127

Selects the coefficient of the fixed tap 1 of the DecisionFeedback Equalizer (DFE) when operating in manual mode.

Decision Feedback Equalizer(DFE) Fixed Tap 2 Coefficient

radp_dfe_fxtap2_0 toradp_dfe_fxtap2_127

Selects the coefficient of the fixed tap 2 of the DecisionFeedback Equalizer (DFE) when operating in manual mode.

Decision Feedback Equalizer(DFE) Fixed Tap 3 Coefficient

radp_dfe_fxtap3_0 toradp_dfe_fxtap3_127

Selects the coefficient of the fixed tap 3 of the DecisionFeedback Equalizer (DFE) when operating in manual mode.

Decision Feedback Equalizer(DFE) Fixed Tap 4 Coefficient

radp_dfe_fxtap4_0 toradp_dfe_fxtap4_63

Selects the coefficient of the fixed tap 4 of the DecisionFeedback Equalizer (DFE) when operating in manual mode.

Decision Feedback Equalizer(DFE) Fixed Tap 5 Coefficient

radp_dfe_fxtap5_0 toradp_dfe_fxtap5_63

Selects the coefficient of the fixed tap 5 of the DecisionFeedback Equalizer (DFE) when operating in manual mode.

Decision Feedback Equalizer(DFE) Fixed Tap 6 Coefficient

radp_dfe_fxtap6_0 toradp_dfe_fxtap6_31

Selects the coefficient of the fixed tap 6 of the DecisionFeedback Equalizer (DFE) when operating in manual mode.

Decision Feedback Equalizer(DFE) Fixed Tap 7 Coefficient

radp_dfe_fxtap7_0 toradp_dfe_fxtap7_31

Selects the coefficient of the fixed tap 7 of the DecisionFeedback Equalizer (DFE) when operating in manual mode.

Decision Feedback Equalizer(DFE) Fixed Tap 8 Coefficient

radp_dfe_fxtap8_0 toradp_dfe_fxtap8_31

Selects the coefficient of the fixed tap 8 of the DecisionFeedback Equalizer (DFE) when operating in manual mode.

Decision Feedback Equalizer(DFE) Fixed Tap 9 Coefficient

radp_dfe_fxtap9_0 toradp_dfe_fxtap9_31

Selects the coefficient of the fixed tap 9 of the DecisionFeedback Equalizer (DFE) when operating in manual mode.

Decision Feedback Equalizer(DFE) Fixed Tap 10 Coefficient

radp_dfe_fxtap10_0 toradp_dfe_fxtap10_31

Selects the coefficient of the fixed tap 10 of the DecisionFeedback Equalizer (DFE) when operating in manual mode.

Decision Feedback Equalizer(DFE) Fixed Tap 11 Coefficient

radp_dfe_fxtap11_0 toradp_dfe_fxtap11_31

Selects the coefficient of the fixed tap 11 of the DecisionFeedback Equalizer (DFE) when operating in manual mode.

On-Chip termination r_ext0, r_r1, r_r2 Selects the on-chip RX differential termination.

Related Information

• Analog Parameter Settings on page 585

• Embedded Debug Features on page 544

• Arbitration on page 512

• Changing PMA Analog Parameters on page 527

• Calibration on page 567

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide541

Page 542: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

6.14. Dynamic Reconfiguration Interface Merging Across MultipleIP Blocks

Dynamic reconfiguration interfaces may need to be shared between multiple IP blocksto maximize transceiver channel utilization. The Native PHY provides the ability tocreate channels that are either simplex or duplex instances. However, each physicaltransceiver channel in Arria 10 devices is fully duplex.

You can share the reconfiguration interfaces across different IP blocks by manuallymaking a QSF assignment. There are two cases where a dynamic reconfigurationinterface might need to be shared between multiple IP blocks:

• Independent instances of simplex receivers and transmitters in the same physicallocation

• Separate CMU PLL and TX channel in the same physical location

The following example shows one Native PHY IP instance of a TX-only channel andanother instance of an RX-only channel.

Figure 278. Independent Instances of Simplex TX/RX in the Same Physical Location

Reconfiguration Interface 0

TX Channel

RX Channel

User Logic

Native PHY IP Core

Native PHY IP Core

Logical

User Logic

Native PHY IP Core

Physical

TX Channel

RX Channel

Reconfiguration Interface 0 merged into Reconfiguration Interface1

Merging QSF: from Reconfiguration Interface 0 to Reconfiguration Interface 1

Reconfiguration Interface 1

Reconfiguration Interface 1

The following example shows one Native PHY IP instance of a TX-only channel and aninstance of a CMU PLL.

Figure 279. Separate CMU PLL and TX Channel in the Same Physical Location

CMU

TX Channel

User Logic

Transceiver PLL IP Core

Native PHY IP Core

Logical

User Logic

Native PHY IP Core

Physical

CMU

TX Channel

Reconfiguration Interface 1 merged into Reconfiguration Interface 0

Merging QSF: from Reconfiguration Interface 1 to Reconfiguration Interface 0

Reconfiguration Interface 0

Reconfiguration Interface 0

Reconfiguration Interface 1

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide542

Page 543: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Rules for Merging Reconfiguration Interfaces Across Multiple IP Cores

To merge reconfiguration interfaces across multiple IP blocks, you must follow theserules:

1. The control signals for the reconfiguration interfaces of the IP blocks must bedriven by the same source. The reconfig_clk, reconfig_reset,reconfig_write, reconfig_read, reconfig_address, andreconfig_writedata ports of the two interfaces to be merged must be drivenfrom the same source.

2. You must make a QSF assignment to manually specify which two reconfigurationinterfaces are to be merged.

a. Use the XCVR_RECONFIG_GROUP assignment.

b. Set the To field of the assignment to either the reconfiguration interfaces ofthe instances to be merged or to the pin names. The reconfiguration interfacehas the string twentynm_hssi_avmm_if_inst.

c. Assign the two instances to be merged to the same reconfiguration group.

You cannot merge multiple reconfiguration interfaces when ADME, optionalreconfiguration logic, or embedded reconfiguration streamer are enabled in the NativePHY IP core. (62)

You cannot merge the TX and RX channels when the Shared reconfigurationinterface parameter is enabled in the Native PHY IP core Parameter Editor. You canmerge channels only if the reconfiguration interfaces are independent.

Refer to the following two examples to merge reconfiguration interfaces.

Example 3. Using reconfiguration interface names

This example shows how to merge a transmit-only Native PHY instance with a receive-only instance using the reconfiguration interface names. These instances are assignedto reconfiguration group 0.

For Native PHY 0—transmit-only instance:

set_instance_assignment -name XCVR_RECONFIG_GROUP 0 -to topdesign:topdesign_inst|<TX only instance name>*twentynm_hssi_avmm_if_inst*

For Native PHY 1—receive-only instance to be merged with Native PHY 0:

set_instance_assignment -name XCVR_RECONFIG_GROUP 0 -to topdesign:topdesign_inst|<RX only instance name>*twentynm_hssi_avmm_if_inst*

Example 4. Using pin names

This example shows how to merge a transmit-only Native PHY instance with a receive-only instance using pin names. These instances are assigned to reconfiguration group1.

For Native PHY 0—transmit-only instance:

set_instance_assignment -name XCVR_RECONFIG_GROUP 1 -to tx[0]

(62) Please refer to Calibration section on how to calibrate when those features are not available.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide543

Page 544: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

For Native PHY 1—receive-only instance to be merged with Native PHY 0:

set_instance_assignment -name XCVR_RECONFIG_GROUP 1 -to rx[0]

Related Information

Calibration on page 567

6.15. Embedded Debug Features

Note: For details on TTK usage refer to "Debugging Transceiver Links" in Quartus PrimeStandard Edition Handbook Volume 3: Verification.

The Arria 10 Transceiver Native PHY, ATX PLL, fPLL, and CMU PLL IP cores provide thefollowing optional debug features to facilitate embedded test and debug capability:

• Altera Debug Master Endpoint (ADME)

• Optional Reconfiguration Logic

Related Information

Quartus Prime Standard Edition Handbook Volume 3: Verification

6.15.1. Altera Debug Master Endpoint

The ADME is a JTAG-based Avalon Memory-Mapped (Avalon-MM) master that providesaccess to the transceiver and PLL registers through the system console. You canenable ADME using the Enable Altera Debug Master Endpoint option availableunder the Dynamic Reconfiguration tab in the Native PHY and PLL IP cores. Whenusing ADME, the Quartus Prime software inserts the debug interconnect fabric toconnect with USB, JTAG, or other net hosts. Select the Share ReconfigurationInterface parameter when the Native PHY IP instance has more than one channel.

When you enable ADME in your design, you must

• connect an Avalon-MM master to the reconfiguration interface.

• OR connect the, reconfig_reset signals and ground the reconfig_write,reconfig_read, reconfig_address and reconfig_write data signals of thereconfiguration interface. If the reconfiguration interface signals are not connectedappropriately, there is no clock or reset for the ADME, and the ADME does notfunction as expected.

6.15.2. Optional Reconfiguration Logic

The Arria 10 Transceiver Native PHY, ATX PLL, fPLL, and CMU PLL IP cores contain softlogic for debug purposes known as the Optional Reconfiguration Logic. This soft logicprovides a set of registers that enable you to determine the state of the Native PHYand PLL IP cores.

You can enable the following optional reconfiguration logic options in the transceiverNative PHY and PLL IP cores:

• Capability registers

• Control and status registers

• PRBS soft accumulators (Native PHY IP core only)

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide544

Page 545: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

6.15.2.1. Capability Registers

The capability registers provide high level information about the transceiver channeland PLL configuration.

The capability registers capture a set of chosen capabilities of the PHY that cannot bereconfigured. The following capability registers are available for the Native PHY IPcore.

Table 284. Capability Registers for the Native PHY IP Core

Address Type Name Description

0x200[7:0] RO IP Identifier Unique identifier for the Native PHY IP instance.

0x204[0] RO Status Register Enabled Indicates whether the status registers have beenenabled. 1'b1 indicates that the status registers areenabled.

0x205[0] RO Control Register Enabled Indicates whether the control registers have beenenabled. 1'b1 indicates that the control registers areenabled.

0x210[7:0] RO Number of Channels Shows the number of channels specified for theNative PHY IP instance.

0x211[7:0] RO Channel Number Shows the unique channel number.

0x212[7:0] RO Duplex Shows the transceiver mode:• 2'b00 = Unused• 2'b01 = TX• 2'b10 = RX• 2'b11 = Duplex

0x213[0] RO PRBS Soft Enabled Indicates whether the PRBS soft accumulators areenabled. 1’b1 indicates the accumulators areenabled.

The following capability registers are available for the PLL IP cores.

Table 285. Capability Registers for the PLL IP Cores

Address Type Name Description

0x200[7:0] RO IP Identifier Unique identifier for the PLL IP instance.

0x204[0] RO Status Register Enabled Indicates if the status registers have been enabled ornot. 1'b1 indicates that the status registers havebeen enabled.

0x205[0] RO Control Register Enabled Indicates if the control registers have been enabledor not. 1'b1 indicates that the control registers havebeen enabled.

0x210[7:0] RO Master CGB Enabled Indicates if the Master Clock Generation Block hasbeen enabled. 1'b1 indicates the master CGB isenabled.

6.15.2.2. Control and Status Registers

Control and status registers are optional registers that memory-map some of thestatus outputs from and control inputs to the Native PHY and PLL.

The following control and status registers are available for the Native PHY IP core.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide545

Page 546: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 286. Control Registers for the Native PHY IP Core

Address Type Register Description

0x2E0[0] RW set_rx_locktodata Asserts the set_rx_locktodata signal to thereceiver. 1'b1 sets the ADME set_rx_locktodataregister. See override_set_rx_locktodata.

0x2E0[1] RW set_rx_locktoref Asserts the set_rx_locktoref signal to thereceiver. 1'b1 sets the ADME set_rx_locktorefregister. See override_set_rx_locktoref rowbelow.

0x2E0[2] RW override_set_rx_locktodata

Selects whether the receiver listens to the ADMEset_rx_locktodata register or therx_set_locktodata port. 1'b1 indicates that thereceiver listens to the ADME set_rx_locktodataregister.

0x2E0[3] RW override_set_rx_locktoref

Selects whether the receiver is listens to the AMDEset_rx_locktoref register or therx_set_locktoref port. 1'b1 indicates that thereceiver listens to the ADME set_rx_locktorefregister.

0x2E1[0] RW rx_seriallpbken Enables the rx_seriallopbken feature in thetransceiver. 1’b1 enables reverse serial loopback.

0x2E2[0] RW rx_analogreset Drives rx_analogreset when the override is set.

0x2E2[1] RW rx_digitalreset Drives rx_digitalreset when the override is set.

0x2E2[2] RW tx_analogreset Drives tx_analogreset when the override is set.

0x2E2[3] RW tx_digitalreset Drives tx_digitalreset when the override is set.

0x2E2[4] RW override_rx_analogreset

Selects whether the receiver listens to the ADMErx_analogreset register or the rx_analogresetport. 1'b1 indicates the receiver listens to the ADMErx_analogreset register.

0x2E2[5] RW override_rx_digitalreset

Selects whether the receiver listens to the ADMErx_digitalreset register or therx_digitalreset port. 1'b1 indicates the receiverlistens to the ADME rx_digitalreset register.

0x2E2[6] RW override_tx_analogreset

Selects whether the receiver listens to the ADMEtx_analogreset register or the tx_analogresetport. 1'b1 indicates the receiver listens to the ADMEtx_analogreset register.

0x2E2[7] RW override_tx_digitalreset

Selects whether the receiver listens to the ADMEtx_digitalreset register or thetx_digitalreset port. 1'b1 indicates the receiverlistens to the ADME tx_digitalreset register.

Table 287. Status Registers for the Native PHY IP Core

Address Type Register Description

0x280[0] RO rx_is_lockedtodata Shows the status of the current channel’srx_is_lockedtodata signal. 1’b1 indicates thereceiver is locked to the incoming data.

0x280[1] RO rx_is_lockedtoref Shows the status of the current channel’srx_is_lockedtoref signal. 1’b1 indicates thereceiver is locked to the reference clock.

continued...

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide546

Page 547: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Address Type Register Description

0x281[0] RO tx_cal_busy Shows the status of the transmitter calibrationstatus. 1’b1 indicates the transmitter calibration is inprogress.

0x281[1] RO rx_cal_busy Shows the status of the receiver calibration status.1’b1 indicates the receiver calibration is in progress.

0x281[2] RO avmm_busy Shows the status of the internal configuration busarbitration. 1’b1 indicates PreSICE has control of theinternal configuration bus. 1'b0 indicates the userhas control of the internal configuration bus. Refer tothe Arbitration section for more details. For moredetails about calibration registers and performinguser recalibration, refer to the Calibration chapter.

The following control and status registers are available for the PLL IP cores.

Table 288. Control Registers for the PLL IP Cores

Address Type Register Description

0x2E0[0] RW pll_powerdown Drives the PLL powerdown when the Override is set.

0x2E0[1] RW override_pll_powerdown

Selects whether the receiver listens to the ADMEpll_powerdown register or the pll_powerdownport. 1’b1 indicates the receiver listens to the ADMEpll_powerdown.

Table 289. Status Registers for the PLL IP Cores

Address Type Register Description

0x280[0] RO pll_locked Indicates if the PLL is locked. 1'b1 indicates the PLLis locked.

0x280[1] RO pll_cal_busy Indicates the calibration status. 1'b1 indicates thePLL is currently being calibrated.

0x280[2] RO avmm_busy Shows the status of the internal configuration busarbitration. 1’b1 indicates PreSICE has control of theinternal configuration bus. 1'b0 indicates the userhas control of the internal configuration bus. Refer tothe Arbitration section for more details.

Related Information

Arbitration on page 512

6.15.2.3. PRBS Soft Accumulators

The Pseudo Random Binary Sequence (PRBS) soft accumulators are used inconjunction with the hard PRBS blocks in the transceiver channel. This sectiondescribes the soft logic that can be added to the Native PHY IP core. To enable thisoption, turn on the Enable PRBS Soft Accumulators option in the Native PHY IPParameter Editor.

The PRBS soft accumulator has three control bits (Enable, Reset, and Snapshot) andone status bit (PRBS Done).

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide547

Page 548: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

• Enable bit—used to turn on the accumulation logic. This bit is also used forselective error accumulation and to pause the sequence.

• Reset bit—resets the PRBS polynomial and the bit and error accumulators. It alsoresets the snapshot registers if independent channel snapshots are used.

• Snapshot bit—captures the current value of the accumulated bits and the errorssimultaneously. This neutralizes the impact of the added read time when theAvalon-MM interface is used. Capturing a snapshot provides an accurate errorcount with respect to the bit count at a specific time.

• PRBS Done bit—indicates the PRBS checker has had sufficient time to lock to theincoming pattern.

For example, to capture the accumulated errors at any instance of time and read themback, you can perform the following operations.

1. Perform the necessary steps from steps 1 to 7 in Steps to Perform DynamicReconfiguration.

2. Perform read-modify-write to address 0x300 and set bit 0 to 1'b1. This actionenables the error and bit counters.

3. To capture the errors accumulated at a particular instant, perform read-modify-write to address 0x300 and set bit 2 to 1'b1. This takes a snapshot of the errorcounters and stores the value to the error count registers.

4. To read the number of errors accumulated when the snapshot was captured,perform a read from the corresponding error registers 0x301 to 0x307.

5. To reset the bit and error accumulators, perform a read-modify-write to address0x300 bit 1.

6. Perform the necessary steps from steps 9 to 12 in Steps to Perform DynamicReconfiguration.

Note: You can enable the error and bit counters (0x300[0]) and capture the accumulatedbits and errors at different times. The error count registers and bit count registers areupdated with the latest counter values as long as the counter enable bit is set.

Use the PRBS soft accumulators to count the number of accumulated bits and errorswhen the hard PRBS blocks are used. PRBS soft accumulators are word-based counter.The value read out from the PRBS soft accumulators represent the number of wordscounted. Hence, in order to obtain the total accumulated bit, user needs to multiplythe value read out from the Accumulated bit pass through count [49:0] registers withthe width of PCS-PMA interface. For Accumulated error count [49:0] registers, itcounts one as long as there are bit errors in a word (be it one bit error in a word or allthe bits in a word are erroneous). Hence, the Accumulated error count [49:0]registers do not give absolute bit errors counted. For each count, the absolute biterrors could range from one to the width of PCS-PMA interface.

For more information about using the hard PRBS blocks, refer to the "Using DataPattern Generators and Checkers" section.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide548

Page 549: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 290. PRBS Accumulator Registers

Address Type Name Description

0x300[0] RW Counter enable (enables both errorand bit counters)

Counter enable (enables both error and bitcounters)

0x300[1] RW Reset Reset the error accumulators

0x300[2] RW Error Count Snapshot Snapshot captures the current value ofaccumulated bits and the errors at that timeinstance

0x300[3] RO PRBS Done PRBS Done when asserted indicates the verifierhas captured consecutive PRBS patterns andfirst pass of polynomial is complete

0x301[7:0] RO Accumulated error count [7:0] Accumulated error count [7:0]

0x302[7:0] RO Accumulated error count [15:8] Accumulated error count [15:8]

0x303[7:0] RO Accumulated error count [23:16] Accumulated error count [23:16]

0x304[7:0] RO Accumulated error count [31:24] Accumulated error count [31:24]

0x305[7:0] RO Accumulated error count [39:32] Accumulated error count [39:32]

0x306[7:0] RO Accumulated error count [47:40] Accumulated error count [47:40]

0x307[1:0] RO Accumulated error count [49:48] Accumulated error count [49:48]

0x30D[7:0] RO Accumulated bit pass throughcount[7:0]

Accumulated bit pass through count[7:0]

0x30E[7:0] RO Accumulated bit pass throughcount[15:8]

Accumulated bit pass through count[15:8]

0x30F[7:0] RO Accumulated bit pass throughcount[23:16]

Accumulated bit pass through count[23:16]

0x310[7:0] RO Accumulated bit pass throughcount[31:24]

Accumulated bit pass through count[31:24]

0x311[7:0] RO Accumulated bit pass throughcount[39:32]

Accumulated bit pass through count[39:32]

0x312[7:0] RO Accumulated bit pass throughcount[47:40]

Accumulated bit pass through count[47:40]

0x313[1:0] RO Accumulated bit pass throughcount[49:48]

Accumulated bit pass through count[49:48]

Note: Intel recommends that you disable the byte serializer and deserializer blocks whenusing the soft PRBS accumulators. When the byte serializer and deserializer blocks areenabled, the number of bits counted are halved because the clock is running at halfthe rate.

Related Information

• Steps to Perform Dynamic Reconfiguration on page 516

• Arbitration on page 512

• Using Data Pattern Generators and Checkers on page 550

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide549

Page 550: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

6.16. Using Data Pattern Generators and Checkers

The Arria 10 transceivers contain hardened data generators and checkers to provide asimple and easy way to verify and characterize high speed links. Hardening the datagenerators and verifiers saves FPGA fabric logic resources. The pattern generatorblock supports the following patterns:

• Pseudo Random Binary Sequence (PRBS)

• Pseudo Random Pattern (PRP)

The pattern generators and checkers are supported only for non-bonded channels.

6.16.1. Using PRBS Data Pattern Generator and Checker

Use the Arria 10 PRBS generator and checker to simulate traffic and easilycharacterize high-speed links without fully implementing any upper protocol stacklayer. The PRBS generator generates a self-aligning pattern and covers a knownnumber of unique sequences. Because the PRBS pattern is generated by a LinearFeedback Shift Register (LFSR), the next pattern can be determined from the previouspattern. When the PRBS checker receives a portion of the received pattern, it cangenerate the next sequence of bits to verify whether the next data sequence receivedis correct.

The PRBS generator and checker are shared between the Standard and Enhanceddatapaths through the PCS. Therefore, they have only one set of control signals andregisters. The data lines from the various PCSs and shared PRBS generator are MUXedbefore they are sent to the PMA. When the PRBS generator is enabled, the data on thePRBS data lines is selected to be sent to the PMA. Either the data from the PCS or thedata generated from the PRBS generator can be sent to the PMA at any time.

The PRBS generator and checker can be configured for two widths of the PCS-PMAinterface: 10 bits and 64 bits. PRBS9 is available in both 10-bit and 64-bit PCS-PMAwidths. All other PRBS patterns are available in 64-bit PCS-PMA width only. The PRBSgenerator and checker patterns can only be used when the PCS-PMA interface width isconfigured to 10 bits or 64 bits. For any other PCS-PMA width, to ensure the correctclocks are provided to the PRBS blocks you must first reconfigure the width to either10 or 64 bits before using the PRBS generator and checker. For example, when thetransceiver is configured to a 20-bit PCS/PMA interface, you must first reconfigure thePCS-PMA width to 10 bits before setting up the PRBS generator and checker. The PRBSsetup does not automatically change the PCS/PMA width.

The 10-bit PCS-PMA width for PRBS9 is available for lower frequency testing. You canconfigure PRBS9 in either 10-bit or 64-bit width, based on the data rate. The FPGAfabric-PCS interface must run in the recommended speed range of the FPGA core.Therefore, you must configure PRBS9 in one of the two bit width modes, so that theFPGA fabric-PCS interface parallel clock runs in this operating range.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide550

Page 551: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Examples:

• If you want to use PRBS9 and the data rate is 2.5 Gbps, you can use the PRBS9 in10-bit mode (PCS-PMA width = 10). In this case, the parallel clock frequency =Data rate / PCS-PMA width = 2500 Mbps/10 = 250 MHz.

• If you want to use PRBS9 and the data rate is 6.4 Gbps, you can use the PRBS9 in64-bit mode (PCS-PMA width = 64). In this case, the parallel clock frequency =Data rate / PCS-PMA width = 6400 Mbps/64 = 100 MHz.

• If you want to use PRBS9 and the data rate is 12.5 Gbps, you can use the PRBS9in 64 bit mode (PCS-PMA width = 64). In this case, the parallel clock frequency =Data rate / PCS-PMA width = 12500 Mbps/64 = 195.3125 MHz.

Table 291. PRBS Supported Polynomials and Data WidthsUse the 10-bit mode of PRBS9 when the data rate is lower than 3 Gbps.

Pattern Polynomial 64-Bit 10-Bit

PRBS7 G(x) = 1+ x6 + x7 X

PRBS9 G(x) = 1+ x5 + x9 X X

PRBS15 G(x) = 1+ x14 + x15 X

PRBS23 G(x) = 1+ x18 + x23 X

PRBS31 G(x) = 1+ x28 + x31 X

The PRBS checker has the following control and status signals available to the FPGAfabric:

• rx_prbs_done—Indicates the PRBS sequence has completed one full cycle. Itstays high until you reset it with rx_prbs_err_clr.

• rx_prbs_err—Goes high if an error occurs. This signal is pulse-extended toallow you to capture it in the RX FPGA CLK domain.

• rx_prbs_err_clr—Used to reset the rx_prbs_err signal.

Enable the PRBS checker control and status ports through the Native PHY IPParameter Editor in the Quartus Prime software.

Use the PRBS soft accumulators to count the number of accumulated bits and errorswhen the hard PRBS blocks are used. For more information about using theaccumulators and reading the error values, refer to the PRBS Soft Accumulatorssection.

Table 292. Register Map for PRBS Generators for bonded and non bonded designs

Reconfiguration

Address(HEX)

Reconfiguration Bit

Attribute Name RelatedAddresses

AttributeEncoding

BitEncoding

Description

0x006 [2:0] tx_pma_data_sel 0x8 prbs_pat 3'b100 Select PRBS GeneratorBlock

[3] prbs9_dwidth prbs9_10b 1'b1 Enable PRBS9 in 10-bitmode

prbs9_64b 1'b0 Enable PRBS9 in 64-bitmode

continued...

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide551

Page 552: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Reconfiguration

Address(HEX)

Reconfiguration Bit

Attribute Name RelatedAddresses

AttributeEncoding

BitEncoding

Description

[6] prbs_clken prbs_clk_dis 1'b0 Disable PRBS generatorclock

prbs_clk_en 1'b1 Enable PRBS generatorclock

0x007 [7:4] prbs_gen_pat 0x8 prbs_7 4'b0001 Enable PRBS7 pattern

prbs_9 4'b0010 Enable PRBS9 pattern

prbs_15 4'b0100 Enable PRBS15 pattern

prbs_23 4'b1000 Enable PRBS23 pattern

prbs_31 4'b0000 Enable PRBS31 pattern

0x008 [4] prbs_gen_pat 0x7 prbs_7 1'b0 Enable PRBS7 pattern

prbs_9 1'b0 Enable PRBS9 pattern

prbs_15 1'b0 Enable PRBS15 pattern

prbs_23 1'b0 Enable PRBS23 pattern

prbs_31 1'b1 Enable PRBS31 pattern

[6:5] tx_pma_data_sel 0x6 prbs_pat 2'b00 Enable PRBS generator

0x110 [2:0] ser_mode sixty_four_bit 3'b011 64-bit mode

ten_bit 3'b100 10-bit mode

0x111 [4:0] x1_clock_source_sel

0x119 xn_non_bonding(63)

5'b11000 Enables xn non bonding

Table 293. Register Map for PRBS Checker for bonded and non bonded designs

Reconfiguration Address

(HEX)

Reconfiguration Bit

Attribute Name RelatedAddresses

AttributeEncoding

BitEncoding

Description

0x00A [7] prbs_clken prbs_clk_dis 1'b0 Disable PRBS checkerclock

prbs_clk_en 1'b1 Enable PRBS checkerclock

0x00B [3:2] rx_prbs_mask prbsmask1024 2'b11 Counter threshold to1023

prbsmask128 2'b00 Counter threshold to127

prbsmask256 2'b01 Counter threshold to255

prbsmask512 2'b10 Counter threshold to511

[7:4] prbs_ver 0xC prbs_7 4'b0001 Enable PRBS7 pattern

continued...

(63) You must read and save the value in the register 0x111[5:0] before changing thex1_clcok_source_sel setting to xN non bonding. To disable the PRBS generator, write theoriginal values back into the read-modify-write address.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide552

Page 553: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Reconfiguration Address

(HEX)

Reconfiguration Bit

Attribute Name RelatedAddresses

AttributeEncoding

BitEncoding

Description

prbs_9 4'b0010 Enable PRBS9 pattern

prbs_15 4'b0100 Enable PRBS15pattern

prbs_23 4'b1000 Enable PRBS23pattern

prbs_31 4'b0000 Enable PRBS31pattern

0x00C [0] prbs_ver 0xB prbs_7 1'b0 Enable PRBS7 pattern

prbs_9 1'b0 Enable PRBS9 pattern

prbs_15 1'b0 Enable PRBS15pattern

prbs_23 1'b0 Enable PRBS23pattern

prbs_31 1'b1 Enable PRBS31pattern

[3] prbs9_dwidth prbs9_10b 1'b1 PRBS9 10-bit

prbs9_64b 1'b0 PRBS9 64-bit

0x13F [3:0] deser_factor 10 4'b0001 10-bit mode

64 4'b1110 64-bit mode

Related Information

PRBS Soft Accumulators on page 547

6.16.1.1. Enabling the PRBS Data Generator in non bonded designs

You must perform a sequence of read-modify-writes to addresses 0x006, 0x007,0x008, and 0x110 to enable either the PRBS data generator. To enable either thePRBS data generator, follow these steps:

1. Perform the necessary steps from steps 1 to 7 in Steps to Perform DynamicReconfiguration.

2. Perform a read-modify-write to address 0x006 according to Register Map for PRBSGenerators for bonded and non bonded designs.

3. Perform a read-modify-write to address 0x007 according to Register Map for PRBSGenerators for bonded and non bonded designs.

4. Perform a read-modify-write to address 0x008 according to Register Map for PRBSGenerators for bonded and non bonded designs.

5. Perform a read-modify-write to address 0x110 with the specified width. This datawidth is either 64-bit or 10-bit.

6. Perform the necessary steps from steps 9 to 12 in Steps to Perform DynamicReconfiguration.To disable the PRBS generator, write the original values back into the read-modify-write addresses in Register Map for PRBS Generators for bonded and non bondeddesigns.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide553

Page 554: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Related Information

• Steps to Perform Dynamic Reconfiguration on page 516

• Arbitration on page 512

6.16.1.1.1. Examples of Enabling the PRBS9 and PRBS31 Pattern Generators in nonbonded designs

Example 5. Enabling the PRBS9 pattern generator in 10-bit mode

1. Perform the necessary steps from steps 1 to 7 in Steps to Perform DynamicReconfiguration.

2. Perform a read-modify-write to address x006[7:0] with the following bits: 8’b01- -1100

3. Perform a read-modify-write to address x007[7:0] with the following bits: 8’b0010- - - -

4. Perform a read-modify-write to address x008[7:0] with the following bits: 8’b -000 - - - -

5. Perform a read-modify-write to address x110[7:0] with the following bits: 8’b - - -- - 100

6. Perform the necessary steps from steps 9 to 12 in Steps to Perform DynamicReconfiguration.

Note: A dash (-) indicates that the corresponding bit value should not be modified duringread-modify-write.

Example 6. Enabling the PRBS31 pattern generator in 64-bit mode

1. Perform the necessary steps from steps 1 to 7 in Steps to Perform DynamicReconfiguration.

2. Perform a read-modify-write to address x006[7:0] with the following bits: 8’b01- -0100

3. Perform a read-modify-write to address x007[7:0] with the following bits: 8’b0000- - - -

4. Perform a read-modify-write to address x008[7:0] with the following bits: 8’b -001 - - - -

5. Perform a read-modify-write to address x110[7:0] with the following bits: 8’b - - -- - 011

6. Perform the necessary steps from steps 9 to 12 in Steps to Perform DynamicReconfiguration.

Note: A dash (-) indicates that the corresponding bit value should not be modified duringread-modify-write.

Related Information

Steps to Perform Dynamic Reconfiguration on page 516

6.16.1.2. Enabling the PRBS Data Generator in bonded designs

You must perform a sequence of read-modify-writes to addresses 0x006, 0x007,0x008, and 0x110, 0x111and to enable either the PRBS data generator in bondeddesigns. To enable either the PRBS data generator, follow these steps:

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide554

Page 555: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

1. Perform the necessary steps from steps 1 to 7 in Steps to Perform DynamicReconfiguration.

2. Perform a read-modify-write to address 0x006 according to Register Map for PRBSGenerators for bonded and non bonded designs.

3. Perform a read-modify-write to address 0x007 according to Register Map for PRBSGenerators for bonded and non bonded designs.

4. Perform a read-modify-write to address 0x008 according to Register Map for PRBSGenerators for bonded and non bonded designs.

5. Perform a read-modify-write to address 0x110 with the specified width. This datawidth is either 64-bit or 10-bit.

6. Perform a read-modify-write to address 0x111 according to Register Map for PRBSGenerators for bonded and non bonded designs. You must read and save the valuein the register 0x111[5:0] before changing the x1_clock_source_sel setting toxN non bonding.

7. Perform the necessary steps from steps 9 to 12 in Steps to Perform DynamicReconfiguration.To disable the PRBS generator, write the original values back into the read-modify-write addresses in Register Map for PRBS Generators for bonded and non bondeddesigns.

6.16.1.2.1. Examples of Enabling the PRBS9 and PRBS31 Pattern Generators in bondeddesigns

Example 7. Enabling the PRBS9 pattern generator in 10-bit mode

1. Perform the necessary steps from steps 1 to 7 in Steps to Perform DynamicReconfiguration.

2. Perform a read-modify-write to address x006[7:0] with the following bits: 8’b01- -1100

3. Perform a read-modify-write to address x007[7:0] with the following bits: 8’b0010- - - -

4. Perform a read-modify-write to address x008[7:0] with the following bits: 8’b -000 - - - -

5. Perform a read-modify-write to address x110[7:0] with the following bits: 8’b - - -- - 100

6. Perform a read-modify-write to address x111[5:0] with the following bits:8'b---11000. You must read and save the value in the register 0x111[5:0] beforechanging the x1_clock_source_sel setting to xN non bonding.

7. Perform the necessary steps from steps 9 to 12 in Steps to Perform DynamicReconfiguration.

Note: A dash (-) indicates that the corresponding bit value should not be modified duringread-modify-write.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide555

Page 556: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Example 8. Enabling the PRBS31 pattern generator in 64-bit mode

1. Perform the necessary steps from steps 1 to 7 in Steps to Perform DynamicReconfiguration.

2. Perform a read-modify-write to address x006[7:0] with the following bits: 8’b01- -0100

3. Perform a read-modify-write to address x007[7:0] with the following bits: 8’b0000- - - -

4. Perform a read-modify-write to address x008[7:0] with the following bits: 8’b -001 - - - -

5. Perform a read-modify-write to address x110[7:0] with the following bits: 8’b - - -- - 011

6. Perform a read-modify-write to address x111[5:0] with the following bits:8'b---11000. You must read and save the value in the register 0x111[5:0] beforechanging the x1_clock_source_sel setting to xN non bonding.

7. Perform the necessary steps from steps 9 to 12 in Steps to Perform DynamicReconfiguration.

Note: A dash (-) indicates that the corresponding bit value should not be modified duringread-modify-write.

6.16.1.3. Enabling the PRBS Data Checker in non bonded design

You must perform a sequence of read-modify-writes to the Transceiver Native PHYreconfiguration interface to enable the PRBS checker. You must perform read-modify-writes to addresses 0x00A, 0x00B, 0x00C, and 0x13F. To enable the PRBS checker,follow these steps:

1. Perform the necessary steps from steps 1 to 7 in Steps to Perform DynamicReconfiguration.

2. Perform a read-modify-write to address 0x00A with a value of 1'b1 to bit[7].

3. Perform a read-modify-write to address 0x00B according to Register Map for PRBSChecker for bonded and non bonded designs.

4. Perform a read-modify-write to address 0x00C according to Register Map for PRBSChecker for bonded and non bonded designs.

5. Perform a read-modify-write to address 0x13F according to Register Map for PRBSChecker for bonded and non bonded designs.

6. Perform the necessary steps from steps 9 to 12 in Steps to Perform DynamicReconfiguration.To disable the PRBS verifier write the original values back into the read-modify-write addresses listed above.

Related Information

Steps to Perform Dynamic Reconfiguration on page 516

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide556

Page 557: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

6.16.1.3.1. Examples of Enabling the PRBS Data Checker

Example 9. Enabling the PRBS9 pattern checker in 10-bit mode

1. Perform the necessary steps from steps 1 to 7 in Steps to Perform DynamicReconfiguration.

2. Perform a read-modify-write to address x00A[7:0] with the following bits: 8’b1- -- - - - -

3. Perform a read-modify-write to address x00B[7:0] with the following bits: 8’b001000 - -

4. Perform a read-modify-write to address x00C[7:0] with the following bits: 8’b - - -- 1 - - 0

5. Perform a read-modify-write to address x13F[7:0] with the following bits: 8’b - - -- 0001

6. Perform the necessary steps from steps 9 to 12 in Steps to Perform DynamicReconfiguration.

Note: A dash (-) indicates that the corresponding bit value should not be modified

Example 10. Enabling the PRBS31 pattern checker in 64-bit mode

1. Perform the necessary steps from steps 1 to 7 in Steps to Perform DynamicReconfiguration.

2. Perform a read-modify-write to address x00A[7:0] with the following bits: 8’b1- -- - - - -

3. Perform a read-modify-write to address x00B[7:0] with the following bits: 8’b000011 - -

4. Perform a read-modify-write to address x00C[7:0] with the following bits: 8’b - - -- - - - 1

5. Perform a read-modify-write to address x13F[7:0] with the following bits: 8’b - - -- 1110

6. Perform the necessary steps from steps 9 to 12 in Steps to Perform DynamicReconfiguration.

Note: A dash (-) indicates that the corresponding bit value should not be modified

Use the PRBS soft accumulators to count the number of accumulated bits and errorswhen the hard PRBS blocks are used. For more details about using the accumulatorsand reading the error values, refer to the "PRBS Soft Accumulators" section.

Related Information

• PRBS Soft Accumulators on page 547

• Steps to Perform Dynamic Reconfiguration on page 516

6.16.1.4. Enabling the PRBS Checker in bonded designs

You must perform a sequence of read-modify-writes to addresses 0x00A, 0x00B,0x00C, 0x13F, 0x111 and to enable the PRBS data checker in bonded designs. Toenable the PRBS checker, follow these steps:

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide557

Page 558: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

1. Perform the necessary steps from steps 1 to 7 in Steps to Perform DynamicReconfiguration.

2. Perform a read-modify-write to address 0x00A with a value of 1'b1 to bit[7].

3. Perform a read-modify-write to address 0x00B according to Register Map for PRBSChecker for bonded and non bonded designs.

4. Perform a read-modify-write to address 0x00C according to Register Map for PRBSChecker for bonded and non bonded designs.

5. Perform a read-modify-write to address 0x13F according to Register Map for PRBSChecker for bonded and non bonded designs.

6. Perform a read-modify-write to address 0x111 according to Register Map for PRBSChecker for bonded and non bonded designs.

7. Perform the necessary steps from steps 9 to 12 in Steps to Perform DynamicReconfiguration.To disable the PRBS verifier write the original values back into the read-modify-write addresses listed above.

6.16.1.5. Disabling/Enabling PRBS Pattern Inversion

The default PRBS pattern is inverted for both the PRBS generator and checker. You candisable pattern inversion for PRBS data leaving or entering the PRBS data patterngenerator and checker, respectively. Table 294 on page 558 shows the addresses andbits to control the inversion of the PRBS generator or checker. To disable the PRBSpattern inversion for the PRBS generator or checker, follow these steps:

1. Perform the necessary steps from steps 1 to 7 in Steps to Perform DynamicReconfiguration.

2. To disable the inverted PRBS pattern leaving the PRBS generator, perform a read-modify-write to bit[2] with a value of 1'b1 to address 0x7.

3. To disable the inverted PRBS pattern entering the PRBS checker, perform a read-modify-write to bit[4] with a value of 1'b1 to address 0xA.

4. Perform the necessary steps from steps 9 to 12 in Steps to Perform DynamicReconfiguration.

Table 294. Register Map for PRBS Pattern Inversion

ReconfigurationAddress (HEX)

Reconfiguration Bit Attribute Name Bit Encoding Description

0x7 [2] tx_static_polarity_inversion 1'b1 Disables PRBS inversion

1'b0 Enables PRBS inversion(default)

0xA [4] rx_static_polarity_inversion 1'b1 Disables PRBS inversion

1'b0 Enables PRBS inversion(default)

Related Information

Steps to Perform Dynamic Reconfiguration on page 516

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide558

Page 559: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

6.16.2. Using Pseudo Random Pattern Mode

You can use the Arria 10 Pseudo Random Pattern (PRP) generator and verifier in thescrambler and descrambler to generate random data pattern and seed that thescrambler can use. PRP mode is a test mode of the scrambler. Two seeds are availableto seed the scrambler: all 0s or two local fault-ordered sets. The seed is used in thescrambler to produce the pattern. The r_tx_data_pat_sel is the data pattern thatthe scrambler will scramble. PRP is only available when the scrambler is enabled. ThePRP verifier shares the rx_prbs_err error signal with PRBS. The error count can beread out from the corresponding registers.

6.16.2.1. Enabling Pseudo Random Pattern Mode

You must perform a sequence of read-modify-writes to the reconfiguration interface toenable the Pseudo Random Pattern. The read-modify-writes are required to addresses0x082, 0x097, and 0x0AC. To enable the Pseudo Random Pattern, complete thefollowing steps:

1. Perform the necessary steps from steps 1 to 7 in Steps to Perform DynamicReconfiguration.

2. Perform a read-modify-write to address 0x082 according to Table 295 on page559.

3. Perform a read-modify-write to address 0x097 according to Table 295 on page559.

4. Perform a read-modify-write to address 0x0AC according to Table 295 on page559.

5. Perform the necessary steps from steps 9 to 12 in Steps to Perform DynamicReconfiguration.To disable the PRP verifier, write the original values back to the read-modify-writeaddresses listed above.

Table 295. Register Map for Pseudo Random Pattern Mode

ReconfigurationAddress (HEX)

ReconfigurationBit

Attribute Name Bit Encoding Description

0x72 [7:0] r_tx_seed_a[7:0] Seed A valuebit[7:0]

0x73 [7:0] r_tx_seed_a[15:8] Seed A valuebit[15:8]

0x74 [7:0] r_tx_seed_a[23:16] Seed A valuebit[23:16]

0x75 [7:0] r_tx_seed_a[31:24] Seed A valuebit[31:24]

0x76 [7:0] r_tx_seed_a[39:32] Seed A valuebit[39:32]

0x77 [7:0] r_tx_seed_a[47:40] Seed A valuebit[47:40]

0x78 [7:0] r_tx_seed_a[55:48] Seed A valuebit[55:48]

0x79 [1:0] r_tx_seed_a[57:56] Seed A valuebit[57:56]

continued...

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide559

Page 560: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

ReconfigurationAddress (HEX)

ReconfigurationBit

Attribute Name Bit Encoding Description

0x7A [7:0] r_tx_seed_b[7:0] Seed B valuebit[7:0]

0x7B [7:0] r_tx_seed_b[15:8] Seed B valuebit[15:8]

0x7C [7:0] r_tx_seed_b[23:16] Seed B valuebit[23:16]

0x7D [7:0] r_tx_seed_b[31:24] Seed B valuebit[31:24]

0x7E [7:0] r_tx_seed_b[39:32] Seed B valuebit[39:32]

0x7F [7:0] r_tx_seed_b[47:40] Seed B valuebit[47:40]

0x80 [7:0] r_tx_seed_b[55:48] Seed B valuebit[55:48]

0x81 [1:0] r_tx_seed_b[57:56] Seed B valuebit[57:56]

0x82 [0] r_tx_data_pat_sel 1'b0 2 local faults

1'b1 0's

[1] r_tx_test_pat_sel 1'b0 Pseudo Random

[3] r_tx_test_en 1'b1

0x97 [2] r_rx_test_en 1'b1

0xAC [0] r_rx_test_pat_sel 1'b0 Pseudo random

0xD7 [7:0] random_err_cnt[7:0] Error count

0xD8 [7:0] random_err_cnt[7:0]

Related Information

Steps to Perform Dynamic Reconfiguration on page 516

6.17. Timing Closure Recommendations

Intel recommends that you enable the multiple reconfiguration profiles feature in theNative PHY IP core if any of the modified or target configurations involve changes toPCS settings. Using multiple reconfiguration profiles is optional if the reconfigurationinvolves changes to only PMA settings such as PLL switching, CGB divider switching,and refclk switching. When you enable multiple reconfiguration profiles, the QuartusPrime TimeQuest Timing Analyzer includes the necessary PCS timing arcs for allprofiles (initial profile and target profiles) during timing driven compilation. Thesetiming arcs make the timing more accurate.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide560

Page 561: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

When performing a dynamic reconfiguration, you must:

• Include constraints to create the extra clocks for all modified or targetconfigurations at the PCS-FPGA fabric interface. Clocks for the base configurationare created by the Quartus Prime software. These clocks enable the Quartus Primesoftware to perform static timing analysis for all the transceiver configurations andtheir corresponding FPGA fabric core logic blocks.

• Include the necessary false paths between the PCS – FPGA fabric interface and thecore logic.

For example, you can perform dynamic reconfiguration to switch the datapath fromStandard PCS to Enhanced PCS using the multiple reconfiguration profiles feature. Inthe following example, the base configuration uses the Standard PCS (data rate =1.25 Gbps, PCS-PMA width = 10) and drives core logic A in the FPGA fabric. The targetor modified configuration is configured to use the Enhanced PCS (data rate = 12.5Gbps, PCS-PMA width = 64) and drives core logic B in the FPGA fabric.

Figure 280. Using Multiple Reconfiguration Profiles

Core Logic (A) for Standard

PCS

Core Logic (B) for Enhanced

PCS

Transceiver Channel

FPGA Fabric

tx_clkout

rx_clkout

Transmitter (TX)

Receiver (RX)

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide561

Page 562: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

To enable the Quartus Prime software to close timing more accurately in this example,the following constraints must be created:

• create_clock -name tx_clkout_enh -period 5.12 [get_pins{native_inst|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_tx_pld_pcs_interface.inst_twentynm_hssi_tx_pld_pcs_interface|pld_pcs_tx_clk_out}] -add

This constraint creates the tx_clkout clock that is used to clock the core logic Bin the FPGA fabric.

• create_clock -name rx_clkout_enh –period 5.12 [get_pins{native_inst|xcvr_native_a10_0|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_rx_pld_pcs_interface.inst_twentynm_hssi_rx_pld_pcs_interface|pld_pcs_rx_clk_out}] -add

This constraint creates the rx_clkout clock that is used to clock the core logic Bin the FPGA fabric.

• set_false_path -from [get_clocks {tx_clkout_enh}] -to[get_registers <Core Logic A>]

Based on how the clocks are connected in the design, you might have to includeadditional constraints to set false paths from the registers in the core logic to theclocks.

• set_false_path -from [get_clocks {rx_clkout_enh}] -to[get_registers <Core Logic A>]

Based on how the clocks are connected in the design, you may have to includeadditional constraints to set false paths from the registers in the core logic to theclocks.

• set_false_path -from [get_clocks {tx_clkout}] -to[get_registers <Core Logic B>]

Based on how the clocks are connected in the design, you may have to includeadditional constraints to set false paths from the registers in the core logic to theclocks.

• set_false_path -from [get_clocks {rx_clkout}] -to[get_registers <Core Logic B>]

Based on how the clocks are connected in the design, you may have to includeadditional constraints to set false paths from the registers in the core logic to theclocks.

Note: If any of the profile or configuration switch involves switching from FIFO tothe register mode, then the false paths should be set between the PCS-PMAinterface register and the core logic because the common clock point iswithin the PCS-PMA interface.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide562

Page 563: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

For example, if the base configuration of the above case is configured for the TX andRX FIFOs in the Register Mode, the following constraint needs to be created:

• set_false_path -from [get_registers {native:native_inst|native_altera_xcvr_native_a10_150_lzjn6xi:xcvr_native_a10_0|twentynm_xcvr_native:g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_rev_20nm5es:twentynm_xcvr_native_inst|twentynm_pcs_rev_20nm5es:inst_twentynm_pcs|gen_twentynm_hssi_tx_pld_pcs_interface.inst_twentynm_hssi_tx_pld_pcs_interface~pma_tx_pma_clk_reg.reg}] -to [get_registers<Core Logic B>]

• set_false_path -from [get_registers {native:native_inst|native_altera_xcvr_native_a10_150_lzjn6xi:xcvr_native_a10_0|twentynm_xcvr_native:g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_rev_20nm5es:twentynm_xcvr_native_inst|twentynm_pcs_rev_20nm5es:inst_twentynm_pcs|gen_twentynm_hssi_rx_pld_pcs_interface.inst_twentynm_hssi_rx_pld_pcs_interface~pma_rx_pma_clk_reg.reg}] -to [get_registers<Core Logic B>]

Note: When the dynamic reconfiguration (multi profiles) is enabled, do not move or renamethe IP directory. Moving the IP location causes Quartus to fail to pick up theconfiguration profiles. If the IP directory is changed, the default configuration can besuccessfully time constrained and analyzed, but the non-default configuration hastiming issues as the timing arc may be missing.

6.18. Unsupported Features

The following features are not supported by either the Transceiver Native PHY IP coreor the PLL IP reconfiguration interface:

• Reconfiguration from a bonded configuration to a non-bonded configuration, orvice versa

• Reconfiguration from a bonded protocol to another bonded protocol

• Reconfiguration from PCIe (with Hard IP) to PCIe (without Hard IP) or non-PCIebonded protocol switching

• Switching between bonding schemes, such as xN to feedback compensation

• Master CGB reconfiguration

• Switching between two master CGBs

• Serialization factor changes on bonded channels

• TX PLL switching on bonded channels

Note: Transceiver Native PHY IP non-bonded configuration to another Transceiver Native PHYIP non-bonded configuration is supported.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide563

Page 564: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

6.19. Arria 10 Transceiver Register Map

The transceiver register map provides a list of available PCS, PMA, and PLL addressesthat are used in the reconfiguration process.

Use the register map in conjunction with a transceiver configuration file generated bythe Arria 10 Native PHY IP core. This configuration file includes details about theregisters that are set for a specific transceiver configuration. Do not use the registermap to locate and modify specific registers in the transceiver. Doing so may result inan illegal configuration. Refer to a valid transceiver configuration file for legal registervalues and combinations.

The register map is provided as an Excel spreadsheet for easy search and filtering.

Related Information

Arria 10 Transceiver Register Map

6.20. Reconfiguration Interface and Dynamic Revision History

DocumentVersion

Changes

2018.06.15 Made the following change:• Added instructions on how to enable the Transceiver Toolkit capability in the Native PHY IP to

Dynamic Reconfiguration Parameters.

2017.11.06 Made the following changes:• Updated the note in "Changing VOD, Pre-emphasis Using Direct Reconfiguration Flow" topic to "The

PMA analog settings are governed by a set of rules. Not all combinations of VOD and pre-emphasisare valid. Please refer to Arria 10 Pre-Emphasis and Output Swing Settings for current validsettings. Also, refer to "Analog Parameter Settings" and setup guidelines on post_tap polaritysettings."

• Updated the description of bit [25:16] in table "Mapping of SystemVerilog Configuration File Line" to"DPRIO address. Refer to Intel Arria 10 Transceiver Register Map for details of the address."

• Changes the configurations file path to "<IP instance name>\altera_xcvr_<IPtype>_a10_<quartus version>\synth\reconfig".

• Added Examples 1 and 2 in "fPLL Reference Clock Switching" topic.

2016.10.31 Made the following changes:• "VGA" PMA Analog Feature added in "PMA Analog Settings that are Channel or System Dependent"

table.• Updated the value of AC Gain Control of High Gain Mode CTLE parameter to

radp_ctle_acgain_4s_0 to radp_ctle_acgain_4s_28 in the "Analog PMA Settings (Optional) forDynamic Reconfiguration" table.

• Updated the value of Slew Rate Control parameter to slew_r0 to slew_r5 in the "Analog PMASettings (Optional) for Dynamic Reconfiguration" table.

2016.05.02 Made the following changes• Removed the topic "On-Die Instrumentation" and related information from the user guide.• Edited "Native PHY IP" with "Native PHY IP and ATX PLL IP" wherever necessary.• Edited "Embedded Reconfiguration Steamer" topic.• Edited the "Arbitration" topic.• Edited the "Using PRBS and Square Wave Data Pattern Generator and Checker" for bonded as well

as non bonded designs. Also added all the examples for each case.• Updated "Changing CTLE Settings in Manual Mode Using Direct Reconfiguration Flow" topic.

2015.12.18 Made the following changes:

continued...

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide564

Page 565: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

DocumentVersion

Changes

• Updated the switching bit register definitions in the “Register Map for Switching fPLL ReferenceClock Inputs” table

• Updated the “Bit Values to Be Set” table in the “Enabling and Disabling Loopback Modes UsingDirect Reconfiguration Flow” section.

2015.11.02 Made the following changes:• Changed the procedure in the "Steps to Perform Dynamic Reconfiguration" section to be more

general, allowing procedures in other sections to refer to it.• Added the "Changing VOD, Pre-emphasis Using Direct Reconfiguration Flow" section.• Added the "Analog PMA Settings (Optional) for Dynamic Reconfiguration" table.• Removed four tables from the "On-Die Instrumentation" section.• Changed the procedure in the "Using ODI to Build On-chip Eye Process" section.• Added entry to “Arria 10 Dynamic Reconfiguration Feature Support” table• Improved description of access requests in the “Interacting with the Reconfiguration Interface”

section• Updated the “Configuration Files” section• Added information to the “Embedded Reconfiguration Streamer” section• Modified the “Arria 10 Native PHY with Embedded Streamer” figure• Described the two levels of arbitration in the “Arbitration” section• Converted the “Steps to Perform Dynamic Reconfiguration” figure in the “Steps to Perform Dynamic

Reconfiguration” section to a set of procedures• Added the “Reset Recommendations for Dynamic Reconfiguration” section• Added information about the PMA analog settings to the “Changing PMA Analog Parameters” section• Added steps to the procedure in the “Changing CTLE Settings in Manual Mode” section• Updated the steps in the procedures in the “Serial Loopback Mode” section• Changed title of “IP Guided Reconfiguration Flow” to “Native PHY or PLL IP Guided Reconfiguration

Flow”• Updated the steps in the procedures in the “Native PHY or PLL IP Guided Reconfiguration Flow” and

added a note following the first procedure• Updated the steps in the procedure in the “Switching Transmitter PLL” section• Updated the steps in the procedures in the “ATX Reference Clock,” “fPLL Reference Clock,” and “CDR

and CMU Reference Clock,” sections• Updated the “Avalon Interface Parameters” table to show which parameter editors are valid for each

parameter• Corrected values in step 1a in the “Start Pattern Checker” section• Added information about hard PRBS blocks to the “PRBS Soft Accumulators” section• Added list of PRBS checker control and status signals to the “Using PRBS and Square Wave Data

Pattern Generator and Checker” section• Updated the steps in the procedures in the “Enabling the PRBS and Square Wave Data Generator”

and the “Enabling the PRBS and Data Checker” sections• Updated the steps in the procedures in the “Examples of Enabling the PRBS9 and PRBS31 Pattern

Generators” and the “Examples of Enabling the PRBS Data Checker” sections• Updated the steps in the procedure in the “Enabling Pseudo Random Pattern Mode” section

2015.05.11 Made the following changes:• Completely revised, updated, and reorganized the chapter.• Added the following new sections:

— Multiple Reconfiguration Profiles— Embedded Reconfiguration Streamer— Arbitration— Enabling and Disabling Loopback Modes— IP Guided Reconfiguration Flow— On-Die Instrumentation— Altera Debug Master Endpoint— ODI Acceleration Logic

2014.12.15 Made the following changes:

continued...

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide565

Page 566: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

DocumentVersion

Changes

• Re-organized the chapter outline to better match the reconfiguration flow.• Updated the introduction section of the chapter to better explain dynamic reconfiguration use cases.• Added figures Reconfiguration Interface in Arria 10 Transceiver IP Cores and Top Level Signals of

the Reconfiguration Interface.• Added Timing Closure Recommendations section.• Changed Max Vod Value in Table: PMA Analog Feature Offsets.• Updated Table: Valid Maximum Pre-Emphasis Settings.• Updated the Ports and Parameters section:

— Updated the description to better indicate the difference between "Shared" and "Not Shared"reconfiguration interface.

— Updated Avalon clock frequency to 100 MHz.— Updated the signal names in Table: Reconfiguration Interface Ports with Shared Reconfiguration

Interface Enabled and Reconfiguration Interface Ports with Shared Reconfiguration InterfaceDisabled.

• Added a description in Interfacing with Reconfiguration Interface section to indicate the steps torequest access of the Avalon-MM interface.

• Updated steps in Performing a Read to the Reconfiguration Interface and Performing a Write to theReconfiguration Interface sections.

• Updated Using Configuration Files section to with a detailed description of when to use configurationfiles.

• Updated the steps in Switching Transmitter PLL, Switching Reference Clocks, and Changing PMAAnalog Parameters sections.

2014.10.08 Made the following changes:• Minor editorial changes. Corrected typographical errors in Ports and Parameters and Native PHY IP

Core Embedded Debug sections.• Corrected an error in "Example 6-1: Steps to Merge Transceiver Channels" in Document Channel

Merging Requirements section.

2014.08.15 Made the following changes:• Updated MegaWizard references to IP Catalog or Parameter Editor.• Updated table "Avalon Interface Parameters"

— Added description for Altera Debug Master Endpoint.— Added Embedded Debug Parameters.

• Corrected typos and updated values in table "PMA Analog Feature Offsets".• Added a new table "Valid Maximum Pre-Emphasis Settings" in Changing Analog Parameters Section.• Updated the description for 0xB reconfiguration address bit[7:5] in table "PRBS Checker Offsets".• Updated the Unsupported Features section and removed some unsupported features.• Changed the name of Transceiver and PLL Address Map to Arria 10 Transceiver Register Map.

Updated the description to better explain the scope of the register map.• Added a new section for Embedded Debug feature.

2013.12.02 Initial release.

6. Reconfiguration Interface and Dynamic Reconfiguration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide566

Page 567: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

7. CalibrationTransceivers include both analog and digital blocks that require calibration tocompensate for process, voltage, and temperature (PVT) variations. Arria 10transceiver uses hardened Precision Signal Integrity Calibration Engine (PreSICE) toperform calibration routines.

Power-up Calibration and User Recalibration are the main types of calibration.

• Power-up calibration occurs automatically at device power-up. It runs duringdevice configuration.

• If you perform dynamic reconfiguration, then you must perform UserRecalibration. In this case, you are responsible for enabling the requiredcalibration sequence.

Note: If you are recalibrating your ATX PLL or fPLL, follow the ATX PLL-to-ATX PLL or fPLL-to-ATX PLL spacing guideline as stated in the "Transmit PLLs Spacing Guideline whenusing ATX PLLs and fPLLs" chapter.

Arria 10 devices use CLKUSR for transceiver calibration. To successfully complete thecalibration process, the CLKUSR clock must be stable and free running at the start ofFPGA configuration. Also, all reference clocks driving transceiver PLLs (ATX PLL, fPLL,CDR/CMU PLL) must be stable and free running at start of FPGA configuration. Formore information about CLKUSR pin requirements, refer to the Arria 10 GX, GT, andSX Device Family Pin Connection Guidelines.

Related Information

• Transmit PLLs Spacing Guideline when using ATX PLLs and fPLLs on page 349

• Arria 10 GX, GT, and SX Device Family Pin Connection Guidelines

7.1. Reconfiguration Interface and Arbitration with PreSICECalibration Engine

In Arria 10 devices, calibration is performed using the Precision Signal IntegrityCalibration Engine (PreSICE). The PreSICE includes an Avalon-MM interface to accessthe transceiver channel and PLL programmable registers. This Avalon-MM interfaceincludes a communication mechanism that enables you to request specific calibrationsequences from the calibration controller.

The PreSICE Avalon-MM interface and user Avalon-MM reconfiguration interface bothshare an internal configuration bus. This bus is arbitrated to gain access to thetransceiver channel and PLL programmable registers, and the calibration registers.

UG-01143 | 2018.06.15

Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartusand Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or othercountries. Intel warrants performance of its FPGA and semiconductor products to current specifications inaccordance with Intel's standard warranty, but reserves the right to make changes to any products and servicesat any time without notice. Intel assumes no responsibility or liability arising out of the application or use of anyinformation, product, or service described herein except as expressly agreed to in writing by Intel. Intelcustomers are advised to obtain the latest version of device specifications before relying on any publishedinformation and before placing orders for products or services.*Other names and brands may be claimed as the property of others.

ISO9001:2008Registered

Page 568: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

There are two ways to check who has the access to the internal configuration bus:

• Use reconfig_waitrequest

• Use capability registers

The Native PHY IP core and PLL default setting is to use reconfig_waitrequest.When PreSICE controls the internal configuration bus, the reconfig_waitrequestfrom the internal configuration bus is high. When user access is granted, thereconfig_waitrequest from the internal configuration bus goes low. At the Avalon-MM reconfiguration interface, the reconfig_waitrequest can come from a fewplaces inside Native PHY IP core. For example, it can come from the internalconfiguration bus, streamer, and so on. They are bundled together and become singlereconfig_waitrequest at the Avalon-MM reconfiguration interface. Thereconfig_address determines which reconfig_waitrequest to show at theAvalon-MM reconfiguration interface. After you return the internal configuration bus toPreSICE, the reconfig_waitrequest from the internal configuration bus is high. Ifyou set the reconfig_address to the streamer offset address at the Avalon-MMreconfiguration interface during calibration, the reconfig_waitrequest can be lowbefore calibration is finished. If you keep the reconfig_address the same as theinternal configuration bus offset address during calibration, thereconfig_waitrequest at the Avalon-MM reconfiguration interface is high untilPreSICE returns the internal configuration bus to you. It is important to keepreconfig_address static during calibration.

To use capability registers to check bus arbitration, you do the following to generatethe IP:

1. Select Enable dynamic reconfiguration from the Dynamic Reconfigurationtab.

2. Select both the Separate reconfig_waitrequest from the status of AVMMarbitration with PreSICE and Enable control and status registers options.

You can read the capability register 0x281[2] to check who is controlling the channelaccess, and read the capability register 0x280[2] to check who is controlling the PLLaccess. When Separate reconfig_waitrequest from the status of AVMMarbitration with PreSICE and Enable control and status registers are enabled,the reconfig_waitrequest is not asserted high when PreSICE controls the internalconfiguration bus.

To return the internal configuration bus to PreSICE:

• In order to trigger user re-calibration:

— Write 0x01 to offset address 0x000 [7:0], user re-calibration has to requestthrough offset address 0x100.

• In order to trigger DFE adaptation:

— Write 0x03 to offset address 0x000 [7:0], DFE adaptation triggering has toenable through 0x100[6].

• If you no longer need to use the internal reconfiguration bus:

— Write 0x03 to offset address 0x000 [7:0].

7. Calibration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide568

Page 569: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

To check if the calibration process is running, do one of the following:

• Monitor the pll_cal_busy, tx_cal_busy, and rx_cal_busy signals.

• Read the *_cal_busy signal status from the capability registers.

The *_cal_busy signals remain asserted as long as the calibration process isrunning. To check whether or not calibration is done, you can read the capabilityregisters or check the *_cal_busy signals. The reconfig_waitrequest from theAvalon-MM reconfiguration interface is not a reliable indicator to check whether or notcalibration is done. If you write 0x2 to 0x0 during calibration, PreSICE can stop thecalibration process and return the internal configuration bus back to you; therefore,calibration is not done while the reconfig_waitrequest is low. The PMAtx_cal_busy and rx_cal_busy are from the same internal node which cannot beseparated from the hardware. Configure the capability register 0x281[5:4] to enableor disable tx_cal_busy or rx_cal_busy individually through the Avalon-MMreconfiguration interface.

Related Information

• Arbitration on page 512

• Avalon Interface Specifications

• Reconfiguration Interface and Dynamic Reconfiguration Chapter on page 502

7.2. Calibration Registers

The Arria 10 transceiver PMA and PLLs include the following types of registers forcalibration:

• Avalon-MM interface arbitration registers

• Calibration enable registers

• Capability registers

• Rate switch flag registers

The Avalon-MM interface arbitration registers enable you to request internalconfiguration bus access.

The PMA and PLL calibration enable registers for user recalibration are mapped tooffset address 0x100. All calibration enable registers are self-cleared after thecalibration process is completed.

The tx_cal_busy, rx_cal_busy, ATX PLL pll_cal_busy, and fPLLpll_cal_busy signals are available from the capability registers.

The rate switch flag registers are only used for CDR rate change.

7.2.1. Avalon-MM Interface Arbitration Registers

Table 296. Avalon-MM Interface Arbitration Registers

Bit Offset Address Description

[0] 0x0(64) This bit arbitrates the control of Avalon-MM interface.

continued...

7. Calibration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide569

Page 570: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Bit Offset Address Description

• Set this bit to 0 to request control of the internal configurationbus by user.

• Set this bit to 1 to pass the internal configuration bus control toPreSICE.

[1] 0x0 This bit indicates whether or not calibration is done. This is theinverted cal_busy signal. You can write to this bit; however, ifyou accidentally write 0x0 without enabling any calibration bit in0x100, PreSICE may not set this bit to 0x1, and cal_busy remainhigh. Channel reset is triggered if cal_busy is connected to thereset controller.• 0x1 = calibration completed.• 0x0 = calibration not completed.

The cal_busy signal is activated two clock cycles after youwrite 0x0 to this bit.

Note: During calibration when Nios is controlling the internal configuration bus, you can notread offset address 0x0. However, you can write 0x0 to offset address 0x0[0] torequest bus access.

7.2.2. Transceiver Channel Calibration Registers

Table 297. Transceiver Channel PMA Calibration Registers

Bit PMA Calibration Enable Register Offset Address 0x100

0 Reserved

1 PMA RX calibration enable

2 Reserved

3 Reserved

4 Reserved

5 PMA TX calibration enable

6 Write 1'b0 to 0x100 [6] when you enable any PMA channel calibration to ensure adaptationtriggering request is disable.

7 Reserved

7.2.3. Fractional PLL Calibration Registers

Table 298. Fractional PLL Calibration Registers

Bit fPLL Calibration Enable Register Offset Address 0x100

0 Reserved

1 fPLL calibration enable. Set 1 to enable calibration.

(64) The transceiver channel, ATX PLL, and fPLL use the same offset address.

7. Calibration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide570

Page 571: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

7.2.4. ATX PLL Calibration Registers

Table 299. ATX PLL Calibration Registers

Bit ATX PLL Calibration Enable Register Offset Address 0x100

0 ATX PLL calibration enable. Set 1 to enable calibration.

1 Reserved

During calibration when reconfig_waitrequest is high, you cannot read or writecalibration enable registers.

To enable calibration, you must perform a read-modify-write on offset address 0x100.The following steps are an example of how to enable the ATX PLL calibration enablebit:

1. Read the offset address 0x100.

2. Keep the value from MSB[7:1] and set LSB[0] to 1.

3. Write new value to offset address 0x100.

7.2.5. Capability Registers

Capability registers allow you to read calibration status through the Avalon-MMreconfiguration interface. They are soft logic and reside in the FPGA fabric.

Reading capability registers does not require bus arbitration. You can read themduring the calibration process.

To use capability registers to check calibration status, you must enable the capabilityregisters when generating the Native PHY or PLL IP cores. To enable the capabilityregisters, select the Enable capability registers option in the DynamicReconfiguration tab.

The tx_cal_busy and rx_cal_busy signals from the hard PHY are from the samehardware and change state (high/low) concurrently during calibration. The registerbits 0x281[5:4] are defined to solve this issue. This prevents a TX channel beingaffected by RX calibration, or an RX channel being affected by TX calibration. Thisfeature cannot be enabled, when a Simplex TX and Simplex RX channel merging isinvolved. To merge a Simplex TX and a Simplex RX channel into one physical channel,refer to Dynamic Reconfiguration Interface Merging Across Multiple IP Blocks on page542.

7. Calibration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide571

Page 572: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Rules to Build Customized Gating Logic to Separate tx_cal_busy andrx_cal_busy signals

Figure 281. An Example of an AND Gate used as Customized LogicThe customized gates shown in the following figure are an example and not a unique solution

SimplexTX

reset

SimplexRX

reset

ResetContoller

tx_cal_busy

rx_cal_busy

tx/rx_cal_busy_out

tx_cal_busy_out_en

rx_cal_busy_out_en

Customized GatesReset

ControllerJTAG toAvalonMasterBridge

reset

reset

The capability register is not available for merging a Simplex TX and a Simplex RXsignal into the same physical channel. The tx_cal_busy_out andrx_cal_busy_out signals share the same port. So, you should build customizedgating logic to separate them.

• The tx_cal_busy_out_en signal enables the tx_cal_busy output.

• The rx_cal_busy_out_en signal enables the rx_cal_busy output.

• At power up, tx_cal_busy_out_en and rx_cal_busy_out_en should be set to“1”.

• At normal operation:

— When the RX is calibrating, setting tx_cal_busy_out_en to “0” andrx_cal_busy_out_en to “1” disables tx_cal_busy, so the TX does not resetwhile RX is calibrating.

— When the TX is calibrating, setting rx_cal_busy_out_en to “0” andtx_cal_busy_out_en to “1” disables rx_cal_busy, so the RX does notreset while TX is calibrating.

Table 300. PMA Capability Registers for Calibration Status

Bit Description

0x281[5] PMA channel rx_cal_busy output enable. The power up default value is 0x1.0x1: The rx_cal_busy output and 0x281[1] are asserted high whenever PMA TXor RX calibration is running.0x0: The rx_cal_busy output or 0x281[1] is never asserted high.

0x281[4] PMA channel tx_cal_busy output enable. The power up default value is 0x1.0x1: The tx_cal_busy output and 0x281[0] are asserted high whenever PMA TXor RX calibration is running.0x0: The tx_cal_busy output or 0x281[0] is never asserted high.

0x281[2] PreSICE Avalon-MM interface control. This register is available to check whocontrols the bus, no matter if, separate reconfig_waitrequest from the statusof AVMM arbitration with PreSICE is enabled or not.0x1: PreSICE is controlling the internal configuration bus.

continued...

7. Calibration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide572

Page 573: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Bit Description

0x0: The user has control of the internal configuration bus.

0x281[1] PMA channel rx_cal_busy active high0x1: PMA RX calibration is running0x0: PMA RX calibration is done

0x281[0] PMA channel tx_cal_busy active high0x1: PMA TX calibration is running0x0: PMA TX calibration is done

The PMA 0x281[5:4] is used to isolate the TX and RX calibration busy status. If youwant rx_cal_busy unchanged during the TX calibration, you must set 0x281[5] to0x0 before returning the bus to PreSICE. The channel RX is not reset due to the TXcalibration. If you want tx_cal_busy unchanged during the RX calibration, you mustset 0x281[4] to 0x0 before returning the bus to PreSICE. The channel TX is not resetdue to the RX calibration. If you accidentally write 0x00 to 0x281[5:4], tx_cal_busyand rx_cal_busy are never activated to high in the user interface. Neither of the0x281[1:0] registers go high either.

Table 301. ATX PLL Capability Registers for Calibration Status

Bit Description

0x280[2] PreSICE Avalon-MM interface control. This register is available to check whocontrols the bus, no matter if, separate reconfig_waitrequest from the statusof AVMM arbitration with PreSICE is enabled or not.0x1: PreSICE is controlling the internal configuration bus.0x0: The user has control of the internal configuration bus.

0x280[1] ATX PLL pll_cal_busy0x1: ATX PLL calibration is running0x0: ATX PLL calibration is done

Table 302. fPLL Capability Registers for Calibration Status

Bit Description

0x280[2] PreSICE Avalon-MM interface control0x1: PreSICE is controlling the internal configuration bus. This register is availableto check who controls the bus, no matter if, separate reconfig_waitrequestfrom the status of AVMM arbitration with PreSICE is enabled or not.0x0: The user has control of the internal configuration bus.

0x280[1] fPLL pll_cal_busy0x1: fPLL calibration is running0x0: fPLL calibration is done

7.2.6. Rate Switch Flag Register

The rate switch flag is for CDR charge pump calibration. Each SOF has CDR defaultcharge pump settings. After power up, these settings are loaded into the PreSICEmemory space. If you change the line rate, it may require new charge pump settings,which are stored into the Avalon-MM reconfiguration register space. During RX PMAcalibration (including CDR), PreSICE needs to know which set of CDR charge pumpsetting to use.

7. Calibration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide573

Page 574: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

If you set 0x166[7] = 0x1, PreSICE assumes the setting in its memory space is stillvalid. If after a rate change you set 0x166[7]=0x0, PreSICE uses the setting from theAvalon-MM reconfiguration register uploaded from the dynamic reconfigurationinterface or MIF streamed in. After calibration, 0x166[7] = 0x1 is set automaticallyand PreSICE uses the settings in its memory space. The rate switch flag only tellsPreSICE where to obtain the CDR charge pump settings for CDR calibration. The rateswitch flag should be used only when there is a rate change.

Multiple MIF files are required for rate change and reconfiguration. When the MIF,which you want to stream in, has CDR charge pump setting 0x139[7] and 0x133[7:5]that is different from the previous MIF, you need to recalibrate with 0x166[7]=0x0. Ifyou stream in the whole MIF, the 0x166[7] is set to the correct value inside the MIF. Ifyou stream in reduced MIF, you need to check if CDR charge pump setting 0x139[7]and 0x133[7:5] are inside the reduced MIF or not. If the reduced MIF has CDR chargepump setting 0x139[7] and 0x133[7:5] updated, you need to set 0x166[7]=0x0, ifthe reduced MIF does not include 0x139[7] and 0x133[7:5], you need to set0x166[7]=0x1.

Table 303. Rate Switch Flag Register for CDR Calibration

Bit Description

0x166[7] Rate switch flag register. Power up default value is 0x1.0x1, PreSICE uses the default CDR charge pump bandwidth from the default memoryspace.0x0, PreSICE uses the CDR charge pump bandwidth setting from the DPRIO register space.

If you use the Avalon-MM reconfiguration interface to perform a rate change, youmust write 0x0 to 0x166[7] before returning the bus to PreSICE.

7.3. Power-up Calibration

After the device is powered up and programmed, PreSICE automatically initiates thecalibration process. The calibration process may continue during device programming.The time required after device power-up to complete the calibration process can varyby device. The total time taken can extend into the user-mode. The cal_busy signalsdeassert to indicate the completion of the calibration process. You must ensure thatthe transceiver reset sequence in your design waits for the calibration to completebefore resetting the transceiver PLL and the transceiver channel.

The PreSICE may still control the internal configuration bus even after power-upcalibration is complete. You can request access when needed. If a system has an fPLL,an ATX PLL, and channels, the fPLL cal_busy signal goes low first. The ATX PLLcal_busy signal goes low after the channels’ tx_cal_busy and rx_cal_busysignals. Intel recommends that you wait until all *_cal_busy signals are low beforerequesting any access.

All power-up calibration starts from Vreg calibration for all banks and channels.

7. Calibration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide574

Page 575: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 282. Power-up Calibration Sequence for Non-PCIe Hard IP (HIP) ChannelsFor applications not using PCIe Hard IP, the power-up calibration starts from Vreg calibration for all banks andchannels. Then, PreSICE calibration is done in the sequence as shown in the following figure.

Bank 1Bank 2

Bank ...

ATX PLL Calibration

Bank 1Bank 2

Bank ...

fPLL Calibration

Bank 1Bank 2

Bank ...

RX PMA and TX PMA Calibration(1)

After All ATX PLLs Calibrated

After All fPLLs Calibrated

(1) CDR and CMU PLL calibration are part of RX PMA calibration.

For applications using both PCIe Hard IP and non-PCIe channels, the power-upcalibration sequence is:

1. Vreg calibration for all banks and channels.

2. Wait for PCIe reference clock toggle.

3. PCIe Hard IP 0 calibration (if used).

4. PCIe Hard IP 1 calibration (if used).

5. Calibration of all non-PCIe Hard IP channels in calibration sequence.

7. Calibration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide575

Page 576: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Figure 283. Power-up Calibration Sequence for PCIe Hard IP and non-PCIe Channels

Bank 1Bank 2

Bank ...

ATX PLL Calibration

PCIe Channels Calibration

Non-PCIe Channels Calibration

Vreg Calibration for AllTransceiver Banks and Channels

Bank 1Bank 2

Bank ...

fPLL Calibration

Bank 1Bank 2

Bank ...

RX PMA and TX PMA Calibration(1)

After All ATX PLLs Calibrated

After All fPLLs Calibrated

Hard IP 0Hard IP 1

ATX PLL Calibration

Hard IP 0Hard IP 1

fPLL Calibration

Hard IP 0Hard IP 1

RX PMA and TX PMA Calibration(1)

After All ATX PLL Calibrated

After All fPLL Calibrated

(1) CDR and CMU PLL calibration are part of RX PMA calibration.

7.4. User Recalibration

User Recalibration is needed if below conditions are met:

7. Calibration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide576

Page 577: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

• During Device Power Up:

— During device power up, CLKUSR is asserted and running, but the transceiverreference clock remains deasserted until after the power up process iscomplete.

— During device power up, CLKUSR and the transceiver reference clock areasserted and running. When the device power up process is complete, thetransceiver reference clock changes frequency. Either the transceiver referenceclock could become unstable, or your application requires a differenttransceiver reference clock during normal operation, which could cause a datarate change.

• After a dynamic reconfiguration process that triggers a data rate change:

After device power up in normal operation, you reconfigure the transceiver datarate by changing the channel configurations or the PLLs, recalibrate the:

— ATX PLL if ATX PLL has new VCO frequency to support new data rate.

— fPLL if the fPLL has new VCO frequency to support new data rate.

Note: fPLL recalibration is not needed if the dynamic reconfiguration methodused to achieve new data rate (new VCO frequency) is done using thefPLL L counter /1,2,4,8 division factor.

— CDU/CMU as TX PLL. You must recalibrate the RX PMA of the channel whichuses the CMU as TX PLL.

— RX PMA and TX PMA channel if the transceiver configuration changes tosupport new data rates.

• Other conditions that require a user recalibration:

— Recalibrate the fPLL if the fPLL is connected as a second PLL (downstreamcascaded PLL). The downstream fPLL received the reference clock from theupstream PLL (could be from fPLL/ CDR). Recalibrating the second fPLL isimportant especially if the upstream PLL output clock (which is thedownstream fPLL's reference clock) is not present or stable during power-upcalibration.

— For ATX PLL or fPLL used to drive PLL feedback compensation bonding,recalibrate the PLL after power up calibration.

Note: If you are recalibrating your ATX PLL or fPLL, follow the ATX PLL-to-ATX PLL or fPLL-to-ATX PLL spacing guideline as stated in the "Transmit PLLs Spacing Guideline whenusing ATX PLLs and fPLLs" chapter.

You can initiate the recalibration process by writing to the specific recalibrationregisters. You must also reset the transceivers after performing user recalibration. Forexample, if you perform data rate auto-negotiation that involves PLL reconfiguration,and PLL and channel interface switching, then you must reset the transceivers.

The proper reset sequence is required after calibration. Intel recommends you use theTransceiver PHY Reset Controller which has tx_cal_busy and rx_cal_busy inputsand follow Intel's recommended reset sequence. You need to connect tx_cal_busyand rx_cal_busy from the Native PHY IP core outputs to the reset controller inputsin your design. Reset upon calibration is automatically processed when you performuser recalibration.

7. Calibration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide577

Page 578: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Follow these steps to perform user recalibration:

1. Request internal configuration bus user access to the calibration registers bywriting 0x2 to offset address 0x0[7:0].

2. Wait for reconfig_waitrequest to be deasserted (logic low). Or wait untilcapability register of PreSICE Avalon-MM interface control =0x0. The avmm_busystatus register is 0x281[2] for PMA channel calibration and 0x280[2] for ATX PLLand fPLL calibration.

3. Set the required calibration enable bits by doing Read-Modify-Write the propervalue to offset address 0x100. You must also set the 0x100 [6] to 0x0 when youenable any PMA channel calibration to ensure adaptation triggering is disabled.

4. Set rate switch flag register for PMA calibration, skip this step for ATX PLL and fPLLcalibration.

• Read-Modify-Write 0x1 to offset address 0x166[7] if no CDR rate switch.

• Read-Modify-Write 0x0 to offset address 0x166[7] if switched rate withdifferent CDR bandwidth setting.

5. Set the proper value to capability register 0x281[5:4] for PMA calibration toenable/disable tx_cal_busy or rx_cal_busy output.

• To enable rx_cal_busy, Read-Modify-Write 0x1 to 0x281[5].

• To disable rx_cal_busy, Read-Modify-Write 0x0 to 0x281[5].

• To enable tx_cal_busy, Read-Modify-Write 0x1 to 0x281[4].

• To disable tx_cal_busy, Read-Modify-Write 0x0 to 0x281[4].

6. Release the internal configuration bus to PreSICE to perform recalibration bywriting 0x1 to offset address 0x0[7:0]. Recalibration is in progress until thecal_busy signals are deasserted (logic low).

7. Periodically check the *cal_busy output signals or read the capability registers tocheck *cal_busy status until calibration is complete.

Related Information

• Recommended Reset Sequence on page 418

• Implementing PLL Feedback Compensation Bonding Mode on page 405

• Implementing PLL Cascading on page 408

• Transmit PLLs Spacing Guideline when using ATX PLLs and fPLLs on page 349

7. Calibration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide578

Page 579: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

7.4.1. Recalibration After Transceiver Reference Clock Frequency or DataRate Change

Figure 284. Recalibration Sequence when the Transceiver Reference Clock or Data RateChanges

ATX PLL Calibration

fPLL Calibration

PMA RXCalibration (1)

PMA TXCalibration (2)

CalibrationDone

Note:(1) If you are using the CDR/CMU PLL, you need to trigger RX PMA recalibration.(2) If you are using the CMU PLL, you need to trigger RX PMA recalibration followed by a TX PMA recalibration.

7.4.1.1. User Recalibration

User recalibration requires access to the internal configuration bus and calibrationregisters through the Avalon-MM reconfiguration interface. Follow the steps below toperform a user recalibration.

1. Proceed to the next step if ATX PLL is not used in your application, otherwiseperform the ATX PLL calibration process:

a. Request access to the internal configuration bus by writing 0x2 to offsetaddress 0x0[7:0].

b. Wait for reconfig_waitrequest to deassert (logic low), or wait untilcapability register of PreSICE Avalon-MM interface control 0x280[2]=0x0.

c. Read-Modify-Write 0x1 to the offset address 0x100[0] of the ATX PLL.

d. Release the internal configuration bus to PreSICE to perform recalibration bywriting 0x1 to offset address 0x0[7:0].

e. Periodically check the *cal_busy output signals or read the capabilityregisters 0x280[1] to check *cal_busy status until calibration is complete.

If you are recalibrating your ATX PLL and have adjacent ATX PLL used on the sameside of the device, follow the ATX PLL-to-ATX PLL spacing guideline as stated in the"Transmit PLLs Spacing Guideline when using ATX PLLs and fPLLs" chapter.

2. Proceed to the next step if fPLL is not used in your application, otherwise performthe fPLL user recalibration process:

7. Calibration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide579

Page 580: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

a. Access the internal configuration bus by writing 0x2 to offset address0x0[7:0].

b. Wait for reconfig_waitrequest to deassert (logic low), or wait untilcapability register of PreSICE Avalon-MM interface control 0x280[2]=0x0.

c. Read-Modify-Write 0x1 to the offset address 0x100[1] of the fPLL.

d. Release the internal configuration bus to PreSICE to perform recalibration bywriting 0x1 to offset address 0x0[7:0].

e. Periodically check the *cal_busy output signals or read the capabilityregisters 0x280[1] to check *cal_busy status until calibration is complete.

If you are recalibrating your fPLL and have ATX PLL used on the same side of thedevice, follow the fPLL-to-ATX PLL spacing guideline as stated in the "TransmitPLLs Spacing Guideline when using ATX PLLs and fPLLs" chapter.

3. Perform the PMA user recalibration process:

a. Request access to the internal configuration bus by writing 0x2 to offsetaddress 0x0[7:0].

b. Wait for reconfig_waitrequest to deassert (logic low), or wait untilcapability register of PreSICE Avalon-MM interface control 0x281[2]=0x0.

c. Configure the PMA calibration enable register 0x100. You must set 0x0 to0x100[6] to enable any calibration.

• Read-Modify-Write 0x1 to 0x100[1] to start PMA RX calibration.

• Read-Modify-Write 0x1 to 0x100[5] to start PMA TX calibration.

d. Do Read-Modify-Write on the rate switch flag register if you switched rateswith a different CDR bandwidth setting.

e. Do Read-Modify-Write on 0x281[5:4] to disable/enable rx_cal_busy andtx_cal_busy.

• To enable rx_cal_busy, write 0x1 to 0x281[5].

• To disable rx_cal_busy, write 0x0 to 0x281[5].

• To enable tx_cal_busy, write 0x1 to 0x281[4].

• To disable tx_cal_busy, write 0x0 to 0x281[4].

f. Release the internal configuration bus to PreSICE to perform recalibration bywriting 0x1 to offset address 0x0[7:0].

g. Perform a loop to check the tx_cal_busy and rx_cal_busy output signalsor read the capability registers 0x281[1:0] to check *cal_busy status untilcalibration is complete.

Related Information

Transmit PLLs Spacing Guideline when using ATX PLLs and fPLLs on page 349

7. Calibration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide580

Page 581: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

7.5. Calibration Example

7.5.1. ATX PLL Recalibration

When you use the ATX PLL in your application, and it requires a line rate or clockfrequency change, you must recalibrate the ATX PLL after you have made thechanges.

Follow these steps to recalibrate the ATX PLL:

1. Request user access to the internal configuration bus by writing 0x2 to offsetaddress 0x0[7:0].

2. Wait for reconfig_waitrequest to be deasserted (logic low) or wait untilcapability register of PreSICE Avalon-MM interface control 0x280[2]=0x0.

3. To calibrate the ATX PLL, doing Read-Modify-Write 0x1 to bit[0] of address 0x100of the ATX PLL.

4. Release the internal configuration bus to PreSICE to perform recalibration bywriting 0x1 to offset address 0x0[7:0].

5. Periodically check the *cal_busy output signals or read the capability registers0x280[1] to check *cal_busy status until calibration is complete.

Note: If you are recalibrating your ATX PLL and have adjacent ATX PLL used on the sameside of the device, follow the ATX PLL-to-ATX PLL spacing guideline as stated in the"Transmit PLLs Spacing Guideline when using ATX PLLs and fPLLs" chapter.

Related Information

Transmit PLLs Spacing Guideline when using ATX PLLs and fPLLs on page 349

7.5.2. Fractional PLL Recalibration

Follow these steps to recalibrate the fPLL:

1. Request user access to the internal configuration bus by writing 0x2 to offsetaddress 0x0[7:0].

2. Wait for reconfig_waitrequest to be deasserted (logic low) or wait untilcapability register of PreSICE Avalon-MM interface control 0x280[2]=0x0.

3. To calibrate the fPLL, Read-Modify-Write 0x1 to bit[1] of address 0x100 of thefPLL.

4. Release the internal configuration bus to PreSICE to perform recalibration bywriting 0x1 to offset address 0x0[7:0].

5. Periodically check the *cal_busy output signals or read the capability registers0x280[1] to check *cal_busy status until calibration is complete.

Note: If you are recalibrating your fPLL and have ATX PLL used on the same side of thedevice, follow the fPLL-to-ATX PLL spacing guideline as stated in the "Transmit PLLsSpacing Guideline when using ATX PLLs and fPLLs" chapter.

Related Information

Transmit PLLs Spacing Guideline when using ATX PLLs and fPLLs on page 349

7. Calibration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide581

Page 582: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

7.5.3. CDR/CMU PLL Recalibration

CDR/CMU PLL user recalibration is integrated into the PMA RX user recalibration.Enabling 0x100[1] PMA RX calibration recalibrates the CDR/CMU PLL.

7.5.4. PMA Recalibration

PMA calibration includes:

• PMA TX calibration

• PMA RX calibration

The PMA RX calibration includes CDR/CMU PLL calibration, offset cancellationcalibration, and VCM calibration. The TX PMA calibration includes TX termination, Vod,and DCD calibration.

Follow these steps to recalibrate the PMA:

1. Request access to the internal configuration bus by writing 0x2 to offset address0x0[7:0].

2. Wait for reconfig_waitrequest to be deasserted (logic low), or wait untilcapability register of PreSICE Avalon-MM interface control 0x281[2]=0x0.

3. Set the proper value to offset address 0x100 to enable PMA calibration. You mustalso set the 0x100 [6] to 0x0 when you enable any PMA channel calibration toensure adaptation triggering is disabled.

4. Set the rate switch flag register for PMA RX calibration after the rate change.

• Read-Modify-Write 0x1 to offset address 0x166[7] if no rate switch.

• Read-Modify-Write 0x0 to offset address 0x166[7] if switched rate withdifferent CDR bandwidth setting.

5. Do Read-Modify-Write the proper value to capability register 0x281[5:4] for PMAcalibration to enable/disable tx_cal_busy or rx_cal_busy output.

• To enable rx_cal_busy, Read-Modify-Write 0x1 to 0x281[5].

• To disable rx_cal_busy, Read-Modify-Write 0x0 to 0x281[5].

• To enable tx_cal_busy, Read-Modify-Write 0x1 to 0x281[4].

• To disable tx_cal_busy, Read-Modify-Write 0x0 to 0x281[4].

6. Release the internal configuration bus to PreSICE to perform recalibration bywriting 0x1 to offset address 0x0[7:0].

7. Periodically check the *cal_busy output signals or read the capability registers0x281[1:0] to check *cal_busy status until calibration is complete.

Related Information

Transceiver Channel Calibration Registers on page 570For more details about PMA recalibration

7. Calibration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide582

Page 583: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

7.6. Calibration Revision History

DocumentVersion

Changes

2018.06.15 Made the following change:• Added a footnote: CDR and CMU PLL calibration are part of RX PMA calibration.

2017.11.06 Made the following changes:• Updated the flow of "User Recalibration" topic.• Updated "

— In order to trigger user re-calibration:• Write 0x01 to offset address 0x000 [7:0], user re-calibration has to request through offset

address 0x100.— In order to trigger DFE adaptation:

• Write 0x03 to offset address 0x000 [7:0], DFE adaptation triggering has to enable through0x100[6].

— If you no longer need to use the internal reconfiguration bus:• Write 0x03 to offset address 0x000 [7:0].

" in the "Reconfiguration Interface and Arbitration with PreSICE Calibration Engine" topic.• Updated "You must also set the 0x100 [6] to 0x0 when you enable any PMA channel calibration to

ensure adaptation triggering is disabled." in "User Recalibration" topic.• Updated "PMA Calibration Enable Register Offset Address 0x100" fto "Write 1'b0 to 0x100 [6] when

you enable any PMA channel calibration to ensure adaptation triggering request is disable." for bit 6in "Transceiver Channel PMA Calibration Registers" table.

2016.10.31 Made the following change:• In table "Avalon-MM Interface Arbitration Registers" the description for bit [1] is changed to

"0x1=calibration completed and 0x0=calibration not started".

2016.05.02 • Changed the "user calibration" flow.• Changed the "power up calibration" sequence.• Added descriptions for “Simplex calibration".• Added a new section “Rules to Build Customized Gating Logic to Separate tx_cal_busy and

rx_cal_busy signals”.• Updated the “Power-up Calibration Sequence for Non-PCIe Hard IP (HIP) Channels”, “Power-up

Calibration Sequence for PCIe Hard IP and non-PCIe Channels”, and “Recalibration Sequence whenthe Transceiver Reference Clock or Data Rate Changes” figures.

• Changed the order of the “User Recalibration” steps in the “Recalibration After TransceiverReference Clock Frequency or Data Rate Change” section.

2015.12.18 Made the following changes:• Changed the description in the "Rate Switch Flag Register" section.• Added more description to the "User Recalibration" section.• Added information in the "PMA Recalibration" section.

2015.11.02 Made the following changes:• Changed the description in the "Reconfiguration Interface and Arbitration with PreSICE Calibration

Engine" section.• Changed the description in the "Calibration Registers" section.• Changed the "Transceiver Channel PMA Calibration Registers for Production Devices" table.• Removed description from the "Transceiver Channel Calibration Registers" section.• Changed values in the "Fractional PLL Calibration Registers" table.• Changed the "PMA Capability Registers for Calibration Status" table.• Added the "ATX PLL Capability Registers for Calibration Status" table.• Added the "fPLL Capability Registers for Calibration Status" table.• Added description to the "Capability Registers" section.• Added the "Rate Switch Flag Register" section.• Added steps to the "User Recalibration" section.• Changed the description in the "CDR/CMU PLL Recalibration" section.• Added steps to the "PMA Recalibration" section.

continued...

7. Calibration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide583

Page 584: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

DocumentVersion

Changes

• Changed the "Recalibration Sequence when the Transceiver Reference Clock or Data Rate Changes"figure.

• Added steps to the "User Recalibration" section.• Updated the "Transceiver Channel PMA Calibration Registers for Production Devices" table.• Updated the "Fractional PLL Calibration Registers" table.• Updated the "ATX PLL Calibration Registers" table.• Changed the description in the "ATX PLL Calibration Registers" section.• Added description to the "Capability Registers" section.• Changed the "Power-up Calibration Sequence for Non-PCIe Hard IP (HIP) Channels" figure.• Changed the "Power-up Calibration Sequence for PCIe HIP and non-PCIe Channels" figure.• Removed some steps from the "User Recalibration" section.• Removed some steps from the "ATX PLL Recalibration" section.• Removed some steps from the "Fractional PLL Recalibration" section.• Removed some steps from the "PMA Recalibration" section.• Removed the "Check Calibration Status" section.

2015.05.11 Made the following changes:• Changed register offsets globally.• Changed the description in the "Reconfiguration Interface and Arbitration with PreSICE Calibration

Engine" section.• Changed the description in the "Calibration Registers" section.• Changed the descriptions and added a bit in the "Avalon-MM Interface Arbitration Registers" table.• Changed the descriptions in the "Transceiver Channel Calibration Registers" table.• Changed the descriptions in the "Fractional PLL Calibration Registers" table.• Changed the descriptions in the "ATX PLL Calibration Registers" table.• Added the "Power-up Calibration Sequence for Non-PCIe Hard IP (HIP) Channels" and "Power-up

Calibration Sequence for PCIe HIP and non-PCIe Channels" figures.• Changed "Avalon-MM interface" to "internal configuration bus" in the "User Recalibration" section.• Changed "Avalon-MM interface" to "internal configuration bus" in the "ATX PLL Recalibration"

section.• Changed the bit to calibrate the fPLL and changed "Avalon-MM interface" to "internal configuration

bus" in the "Fractional PLL Recalibration" section.• Changed "Avalon-MM interface" to "internal configuration bus" in the "CMU or CDR PLL

Recalibration" section.• Changed the addresses in the "User Recalibration" section.• Changed the addresses in the "ATX PLL Recalibration" section.• Changed the addresses in the "Fractional PLL Recalibration" section.• Changed the addresses in the "CDR/CMU PLL Recalibration" section.• Changed the addresses and added descriptions in the "PMA Recalibration" section.• Changed the addresses in the "Check Calibration Status" section.• Changed "Avalon-MM interface" to "internal configuration bus" in the "PMA Recalibration" section.• Added the "Capability Registers" section.

2014.12.15 Initial release.

7. Calibration

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide584

Page 585: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

8. Analog Parameter SettingsTransceiver analog parameter settings are used to tune the analog functions in thephysical medium attachment (PMA) and the PLL blocks while designing high-speedserial protocol solutions. You can use this feature to compensate for signal losses forhigh data rate communication.

Most transceiver parameters can be set using the Parameter Editor beforegenerating the transceiver PHY IP. The parameters that depend on place and routedecisions, device constraints, and tunable analog settings that cannot be set before IPgeneration are controlled in the following ways:

• Making analog parameter settings to I/O pins using the Assignment Editor.

• Updating the Quartus Prime Settings File (.qsf) with the known assignment.

• Using the Reconfiguration Interface to dynamically change the analog settings.

8.1. Making Analog Parameter Settings using the Assignment Editor

To make assignments using the Assignment Editor, complete the following steps:

1. On the Assignments menu, select Assignment Editor. The Assignment Editorappears.

2. Click inside the Assignment Name column and select the appropriateassignment. Refer to the PMA Analog Settings section for the list of availableassignments for PMA analog settings.

3. Click inside the Value column and select the appropriate value for yourassignment.The Quartus Prime software adds these instance assignments to the .qsf file foryour project.

Related Information

Analog Parameter Settings List on page 586

8.2. Updating Quartus Settings File with the Known Assignment

The Quartus Prime Settings File (.qsf) contains all the entity-level assignments andsettings for the current revision of the project. The Quartus Settings File is based onTcl script syntax.

When you create assignments and settings using the Parameter Editor wizards anddialog boxes or Tcl commands, the Quartus Prime software automatically places theassignments at the end of the Quartus Prime Settings File. To control the analogparameters, you can directly add or modify the appropriate assignment in the QuartusPrime Settings File. The assignments you create are recognized, regardless of whereyou place them in the file.

UG-01143 | 2018.06.15

Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartusand Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or othercountries. Intel warrants performance of its FPGA and semiconductor products to current specifications inaccordance with Intel's standard warranty, but reserves the right to make changes to any products and servicesat any time without notice. Intel assumes no responsibility or liability arising out of the application or use of anyinformation, product, or service described herein except as expressly agreed to in writing by Intel. Intelcustomers are advised to obtain the latest version of device specifications before relying on any publishedinformation and before placing orders for products or services.*Other names and brands may be claimed as the property of others.

ISO9001:2008Registered

Page 586: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Related Information

Quartus Prime Settings File (.qsf)Describes the commands and options available to modify the assignments in theqsf file.

8.3. Analog Parameter Settings List

The following table lists the analog parameter settings for the transmitter and receiver.The details of each of these settings are in the sections following this table.

Table 304. Receiver Analog Parameter Settings

Analog Parameter Setting Pin Planner or Assignment EditorName

AssignmentDestination

Usage Guideline

XCVR_A10_RX_LINK Receiver Link Type RX serial data Chip-to-chip or backplane

XCVR_A10_RX_TERM_SEL Receiver On-Chip-Termination RX serial data On chip termination

XCVR_VCCR_VCCT_VOLTAGE VCCR_GXB/VCCT_GXB Voltage RX serial data VCCR/VCCT voltage

XCVR_A10_RX_ONE_STAGE_ENABLE

Receiver High Data Rate ModeEqualizer

RX serial data Continuous time-linearequalization (CTLE)

XCVR_A10_RX_EQ_DC_GAIN_TRIM Receiver High Gain Mode EqualizerDC Gain Control

RX serial data CTLE

XCVR_A10_RX_ADP_CTLE_ACGAIN_4S

Receiver High Gain Mode EqualizerAC Gain Control

RX serial data CTLE

XCVR_A10_RX_ADP_CTLE_EQZ_1S_SEL

Receiver High Data Rate ModeEqualizer AC Gain Control

RX serial data CTLE

XCVR_A10_RX_ADP_VGA_SEL Receiver Variable Gain AmplifierVoltage Swing Select

RX serial data VGA

XCVR_A10_RX_ADP_DFE_FXTAP1 Receiver Decision FeedbackEqualizer Fixed Tap One Coefficient

RX serial data Decision feedbackequalization (DFE)

XCVR_A10_RX_ADP_DFE_FXTAP2 Receiver Decision FeedbackEqualizer Fixed Tap Two Coefficient

RX serial data DFE

XCVR_A10_RX_ADP_DFE_FXTAP2_SGN

Receiver Decision FeedbackEqualizer Fixed Tap Two Sign

RX serial data DFE

XCVR_A10_RX_ADP_DFE_FXTAP3 Receiver Decision FeedbackEqualizer Fixed Tap ThreeCoefficient

RX serial data DFE

XCVR_A10_RX_ADP_DFE_FXTAP3_SGN

Receiver Decision FeedbackEqualizer Fixed Tap Three Sign

RX serial data DFE

XCVR_A10_RX_ADP_DFE_FXTAP4 Receiver Decision FeedbackEqualizer Fixed Tap Four Coefficient

RX serial data DFE

XCVR_A10_RX_ADP_DFE_FXTAP4_SGN

Receiver Decision FeedbackEqualizer Fixed Tap Four Sign

RX serial data DFE

XCVR_A10_RX_ADP_DFE_FXTAP5 Receiver Decision FeedbackEqualizer Fixed Tap Five Coefficient

RX serial data DFE

XCVR_A10_RX_ADP_DFE_FXTAP5_SGN

Receiver Decision FeedbackEqualizer Fixed Tap Five Sign

RX serial data DFE

XCVR_A10_RX_ADP_DFE_FXTAP6 Receiver Decision FeedbackEqualizer Fixed Tap Six Coefficient

RX serial data DFE

continued...

8. Analog Parameter Settings

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide586

Page 587: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Analog Parameter Setting Pin Planner or Assignment EditorName

AssignmentDestination

Usage Guideline

XCVR_A10_RX_ADP_DFE_FXTAP6_SGN

Receiver Decision FeedbackEqualizer Fixed Tap Six Sign

RX serial data DFE

XCVR_A10_RX_ADP_DFE_FXTAP7 Receiver Decision FeedbackEqualizer Fixed Tap SevenCoefficient

RX serial data DFE

XCVR_A10_RX_ADP_DFE_FXTAP7_SGN

Receiver Decision FeedbackEqualizer Fixed Tap Seven Sign

RX serial data DFE

XCVR_A10_RX_ADP_DFE_FXTAP8 Receiver Decision FeedbackEqualizer Fixed Tap Eight Coefficient

RX serial data DFE

XCVR_A10_RX_ADP_DFE_FXTAP8_SGN

Receiver Decision FeedbackEqualizer Fixed Tap Eight Sign

RX serial data DFE

XCVR_A10_RX_ADP_DFE_FXTAP9 Receiver Decision FeedbackEqualizer Fixed Tap Nine Coefficient

RX serial data DFE

XCVR_A10_RX_ADP_DFE_FXTAP9_SGN

Receiver Decision FeedbackEqualizer Fixed Tap Nine Sign

RX serial data DFE

XCVR_A10_RX_ADP_DFE_FXTAP10 Receiver Decision FeedbackEqualizer Fixed Tap Ten Coefficient

RX serial data DFE

XCVR_A10_RX_ADP_DFE_FXTAP10_SGN

Receiver Decision FeedbackEqualizer Fixed Tap Ten Sign

RX serial data DFE

XCVR_A10_RX_ADP_DFE_FXTAP11 Receiver Decision FeedbackEqualizer Fixed Tap ElevenCoefficient

RX serial data DFE

XCVR_A10_RX_ADP_DFE_FXTAP11_SGN

Receiver Decision FeedbackEqualizer Fixed Tap Eleven Sign

RX serial data DFE

Table 305. Transmitter Analog Parameter Settings

Analog Parameter Setting Pin Planner or Assignment EditorName

AssignmentDestination

Usage Guideline

XCVR_A10_TX_LINK Transmitter Link Type TX serial data Chip-to-chip or backplane

XCVR_A10_TX_TERM_SEL Transmitter On-Chip Termination TX serial data On chip termination

XCVR_A10_TX_COMPENSATION_EN Transmitter High-SpeedCompensation

TX serial data PDN ISI compensation

XCVR_VCCR_VCCT_VOLTAGE VCCR_GXB/VCCT_GXB Voltage TX serial data VCCR/VCCT voltage

XCVR_A10_TX_SLEW_RATE_CTRL Transmitter Slew Rate Control TX serial data Slew Rate

XCVR_A10_TX_PRE_EMP_SIGN_PRE_TAP_1T

Transmitter Pre-Emphasis First Pre-Tap Polarity

TX serial data Pre-emphasis

XCVR_A10_TX_PRE_EMP_SIGN_PRE_TAP_2T

Transmitter Pre-Emphasis SecondPre-Tap Polarity

TX serial data Pre-emphasis

XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP

Transmitter Pre-Emphasis FirstPost-Tap Polarity

TX serial data Pre-emphasis

XCVR_A10_TX_PRE_EMP_SIGN_2ND_POST_TAP

Transmitter Pre-Emphasis SecondPost-Tap Polarity

TX serial data Pre-emphasis

XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_PRE_TAP_1T

Transmitter Pre-Emphasis First Pre-Tap Magnitude

TX serial data Pre-emphasis

XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_PRE_TAP_2T

Transmitter Pre-Emphasis SecondPre-Tap Magnitude

TX serial data Pre-emphasis

continued...

8. Analog Parameter Settings

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide587

Page 588: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Analog Parameter Setting Pin Planner or Assignment EditorName

AssignmentDestination

Usage Guideline

XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP

Transmitter Pre-Emphasis FirstPost-Tap Magnitude

TX serial data Pre-emphasis

XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_2ND_POST_TAP

Transmitter Pre-Emphasis SecondPost-Tap Magnitude

TX serial data Pre-emphasis

XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL

Transmitter Output Swing Level TX serial data Differential output voltage

Table 306. Reference Clock Analog Parameter Settings

Analog Parameter Setting Pin Planner or Assignment EditorName

AssignmentDestination

Usage Guideline

XCVR_A10_REFCLK_TERM_TRISTATE

Dedicated Reference Clock PinTermination

Reference clockpin

On-chip termination

Note: You must set all the required analog settings according to your protocol configuration.If you do not set the appropriate settings, then the Quartus Prime software selects thedefault values which may not be appropriate for your protocol implementation.

8.4. Receiver General Analog Settings

8.4.1. XCVR_A10_RX_LINK

Pin planner or Assignment Editor Name

Receiver Link Type

Description

This parameter is configured depending on the link characteristic. Value SR is used forlink insertion loss less than equal to 10dB and value LR is used for link insertion lossgreater than 10dB. If this QSF assignment is not done, Quartus Prime assigns SR asthe default value. Settings dependent on this parameter value are DC gain, VGA andsd_threshold for SATA. This is also used for data rate legality check duringcompilation.

For SR: sd_threshold for SATA = SDLV_4

For LR: sd_threshold for SATA = SDLV_6

For the default values of DC gain and VGA corresponding to RX_LINK setting, look forQSF assignments XCVR_A10_RX_EQ_DC_GAIN_TRIM andXCVR_A10_RX_ADP_VGA_SEL.

Table 307. Available Options

Value Description

SR (Short Reach) Less than or equal to10dB IL, used for Chip-to-chip communication

LR (Long Reach) >10dB IL, used for Backplane communication

8. Analog Parameter Settings

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide588

Page 589: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Assign To

RX serial data pin.

Syntax

set_instance_assignment -name XCVR_A10_RX_LINK <value> -to<rx_serial_data pin name>

Related Information

Arria 10 Device Datasheet

8.4.2. XCVR_A10_RX_TERM_SEL

Pin planner or Assignment Editor NameReceiver On-Chip Termination

Description

Controls the on-chip RX differential termination. For data rates higher than 25 Gbps,set the termination resistance to 85 Ohm.

Note: For protocols such as QPI, you need to set the termination setting to R_R2. For all theother protocols, you can either set this setting to R_R1 or R_R2. The default value setfor all the protocols other than QPI depends upon the data rate. When the data rate isless than or equal to 17.4 Gbps, the default value is R_R1. When the data rate isgreater than 17.4 Gbps, the default value is R_R2.

Table 308. Available Options

Value Description

R_EXT0 Tristate

R_R1 100 Ohm

R_R2 85 Ohm

Assign To

RX serial data pin.

Syntax

set_instance_assignment -name XCVR_A10_RX_TERM_SEL <value> -to<rx_serial_data pin name>

8.4.3. XCVR_VCCR_VCCT_VOLTAGE - RX

Pin planner or Assignment Editor Name

VCCR_GXB and VCCT_GXB voltages

8. Analog Parameter Settings

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide589

Page 590: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Description

Configures the VCCR_GXB and VCCT_GXB voltage for a GXB I/O pin by specifying theintended supply voltages for a GXB I/O pin. Intel recommends always including thisQSF assignment.

Value (65) Description

1_1V VCCR_GXB or VCCT_GXB voltage

1_0V VCCR_GXB or VCCT_GXB voltage

0_9V VCCR_GXB or VCCT_GXB voltage

Assign To

RX serial data pin.

Syntax

set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE <value> -to<rx_serial_data pin name>.

Related Information

Arria 10 Device Datasheet

8.5. Receiver Analog Equalization Settings

8.5.1. CTLE Settings

8.5.1.1. XCVR_A10_RX_ONE_STAGE_ENABLE

Pin planner or Assignment Editor NameReceiver High Data Rate Mode Equalizer

Description

Selects between the CTLE high gain mode or CTLE high data rate mode for theequalizer. For data rate greater than 17.4 Gbps, only high data rate mode is allowed.For data rate less than or equal to 17.4 Gbps, you can select between high gain modeand high data rate mode. If no assignment is made, S1_MODE is chosen by defaultfor datarate less than or equal to 25.8 Gbps.

(65) These values are for the assignment editor. For the actual values, refer to the Arria 10 DeviceDatasheet.

8. Analog Parameter Settings

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide590

Page 591: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 309. Available Options

Value in QSF Value in AssignmentEditor

Description

NON_S1_MODE Off Selects high gain mode for data rates up to 17.4 Gbps.

S1_MODE On Selects high data rate mode up to 25.8 Gbps. Power consumptionreduces in high data rate mode, as compared to high gain mode, whenoperating below 17.4 Gbps. This mode is the only option above 17.4Gbps.

Assign To

RX serial data pin.

Syntax

set_instance_assignment -name XCVR_A10_RX_ONE_STAGE_ENABLE<value> -to <rx_serial_data pin name>

8.5.1.2. XCVR_A10_RX_EQ_DC_GAIN_TRIM

Pin planner or Assignment Editor Name

Receiver High Gain Mode Equalizer DC Gain Control

Description

Controls the DC gain of the continuous time linear equalizer (CTLE) in high gain mode.A higher gain setting results in a larger DC gain.

For RX_LINK=SR, the default value is STG2_GAIN7.

For RX_LINK=LR, and if equalization mode = S1_MODE, default value of DC gain isSTG1_GAIN7.

For RX_LINK=LR, and if equalization mode = NON_S1_MODE, default value of DC gainis NO_DC_GAIN.

For PCIe, default value is NO_DC_GAIN.

For datarate > 17.4 Gbps, default value is NO_DC_GAIN.

Table 310. Available Options

Value in TTK Value in Assignment Editor / qsf (66) Description

DC Gain 0 NO_DC_GAIN No DC gain

DC Gain 1 STG1_GAIN7 Equalizer DC gain setting 6

DC Gain 2 STG2_GAIN7 Equalizer DC gain setting 13

DC Gain 3 STG3_GAIN7 Equalizer DC gain setting 20

DC Gain 4 STG4_GAIN7 Equalizer DC gain setting 27

(66) Refer to Arria 10 Data Sheet

8. Analog Parameter Settings

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide591

Page 592: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Assign To

RX serial data pin.

Syntax

set_instance_assignment -name XCVR_A10_RX_EQ_DC_GAIN_TRIM <value>-to <rx_serial_data pin name>

Related Information

Arria 10 Device Datasheet

8.5.1.3. XCVR_A10_RX_ADP_CTLE_ACGAIN_4S

Pin planner or Assignment Editor NameReceiver High Gain Mode Equalizer AC Gain Control

Description

Controls the AC gain of the continuous time linear equalizer (CTLE) in high gain mode.

Arria 10 transceivers support two CTLE modes, high gain mode and high data ratemode. As a default, high data rate mode is enabled for data rates up to 25.8 Gbps.High gain mode can be enabled for datarate less than or equal to 17.4Gbps Highergain setting results in larger AC gain.

he default value for PCIe Gen3 is RADP_CTLE_ACGAIN_4S_7.

For all the other cases, the default value is RADP_CTLE_ACGAIN_4S_1.

Table 311. Available Options

Value Description

RADP_CTLE_ACGAIN_4S_<0 to 28> CTLE AC gain setting <0 to 28>

Assign To

RX serial data pin.

Syntax

set_instance_assignment -name XCVR_A10_RX_ADP_CTLE_ACGAIN_4S<value> -to <rx_serial_data pin name>

8.5.1.4. XCVR_A10_RX_ADP_CTLE_EQZ_1S_SEL

Pin planner or Assignment Editor Name

Receiver High Data Rate Mode Equalizer AC Gain Control

Description

Controls the AC gain of the continuous time linear equalizer (CTLE) in high data ratemode and when adaptation is in manual mode (adaptation is disabled).

8. Analog Parameter Settings

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide592

Page 593: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

High data rate mode is enabled by default for data rates up to 25.8 Gbps. In high datarate mode, there is only one CTLE stage and 16 possible AC gain settings. Higher gainsetting results in larger AC gain. The default value is set toRADP_CTLE_EQZ_1S_SEL_3 i.e. CTLE AC Gain Setting 3. This QSF assignment onlytakes effect when one stage CTLE is enabled. If configured in four stage mode, it hasno effect on CTLE gain value.

For datarate > 17.4 Gbps, default value is RADP_CTLE_EQZ_1S_SEL_13.

For datarate ≤ 17.4 Gbps, default value is RADP_CTLE_EQZ_1S_SEL_3.

Table 312. Available Options

Value Description

RADP_CTLE_EQZ_1S_SEL_<0 to 15> CTLE AC Gain Setting < 0 to 15>

Assign To

RX serial data pin.

Syntax

set_instance_assignment -name XCVR_A10_RX_ADP_CTLE_EQZ_1S_SEL<value> -to <rx_serial_data pin name>

8.5.2. VGA Settings

8.5.2.1. XCVR_A10_RX_ADP_VGA_SEL

Pin planner or Assignment Editor NameReceiver Variable Gain Amplifier Voltage Swing Select

Description

The variable gain amplifier (VGA) amplifies the signal amplitude and ensures aconstant voltage swing before the data is fed to the CDR for sampling. Thisassignment controls the VGA output voltage swing when adaptation mode of VGA isset to manual. The default value is set to RADP_VGA_SEL_4 for VGA Output VoltageSwing Setting 4.

Default values for VGA if VGA are not set exclusively.

For PCIe Gen1 & Gen2: default value is RADP_VGA_SEL_4.

For PCIe Gen3: default value is RADP_VGA_SEL_4.

For datarate > 17.4 Gbps, default value is RADP_VGA_SEL_4.

For RX_LINK = LR and CTLE mode = NON_S1_MODE: default value isRADP_VGA_SEL_4.

For RX_LINK = LR and CTLE mode = S1_MODE and datarate <= 4.5Gbps: defaultvalue is RADP_VGA_SEL_4.

8. Analog Parameter Settings

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide593

Page 594: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

For RX_LINK = LR and CTLE mode = S1_MODE and datarate > 4.5Gbps: default valueis RADP_VGA_SEL_4.

For RX_LINK = LR and CTLE mode = S1_MODE and datarate > 4.5Gbps and data rate≤ 17.4Gbps,: default value is RADP_VGA_SEL_4.

For RX_LINK = SR and CTLE mode = NON_S1_MODE and datarate <= 4.5Gbps:default value is RADP_VGA_SEL_4.

For RX_LINK = SR and CTLE mode = NON_S1_MODE and datarate > 4.5Gbps: defaultvalue is RADP_VGA_SEL_4.

For RX_LINK = SR and CTLE mode = S1_MODE: default value is RADP_VGA_SEL_4.

Table 313. Available Options

Value Description

RADP_VGA_SEL_<0 to 7> VGA Output Voltage Swing Setting <0 to 7>

Assign To

RX serial data pin.

Syntax

set_instance_assignment -name XCVR_A10_RX_ADP_VGA_SEL <value> -to<rx_serial_data pin name>

8.5.3. Decision Feedback Equalizer (DFE) Settings

The decision feedback equalizer (DFE) amplifies the high frequency component of asignal without amplifying the noise content. The DFE removes the post-cursor InterSymbol Interference (ISI) of the bits received previously from the current bit andimproves the Bit Error Rate (BER). The DFE architecture supports eleven fixed taps.The fixed taps in DFE remove the ISI of the previous 11 bits from the current bit.

The DFE circuit stores delayed versions of the data. The stored bit is multiplied by acoefficient and then summed with the incoming signal. The polarity of each coefficientis programmable.

Note: The DFE fixed tap assignments are described in the following section.

8.5.3.1. XCVR_A10_RX_ADP_DFE_FXTAP

Description

The following assignments specify the coefficient of DFE fixed taps 1 through 11.These assignments are applicable only when the DFE is enabled and adaptation isdisabled for the DFE (DFE operates in manual mode). The default value for allassignments is set to RADP_DFE_FXTAP<1 to 11>_0 for DFE fixed tap<1 to 11>coefficient setting 0. DFE tap1 in the only tap which is always positive and hence thereis no QSF assignment for DFE tap1 sign bit. Other taps can be positive or negative.

8. Analog Parameter Settings

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide594

Page 595: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 314. DFE Fixed Tap Assignments

Assignment Pin Planner or AssignmentEditor Name

Value Description

XCVR_A10_RX_ADP_DFE_FXTAP1

Receiver Decision FeedbackEqualizer Fixed Tap OneCoefficient

RADP_DFE_FXTAP1_<0 to127>

DFE fixed tap 1 CoefficientSetting <0 to 127>

XCVR_A10_RX_ADP_DFE_FXTAP2

Receiver Decision FeedbackEqualizer Fixed Tap TwoCoefficient

RADP_DFE_FXTAP2_<0 to127>

DFE fixed tap 2 CoefficientSetting < 0 to 127>

XCVR_A10_RX_ADP_DFE_FXTAP2_SGN

Receiver Decision FeedbackEqualizer Fixed Tap Two Sign

RADP_DFE_FXTAP2_SGN_<0 or 1>

DFE fixed tap 2 coefficientsign 0 or 10 = Positive and 1=Negative

XCVR_A10_RX_ADP_DFE_FXTAP3

Receiver Decision FeedbackEqualizer Fixed Tap ThreeCoefficient

RADP_DFE_FXTAP3_<0 to127>

DFE fixed tap 3 CoefficientSetting <0 to 127>

XCVR_A10_RX_ADP_DFE_FXTAP3_SGN

Receiver Decision FeedbackEqualizer Fixed Tap ThreeSign

RADP_DFE_FXTAP3_SGN_<0 or 1>

DFE fixed tap 3 coefficientsign 0 or 10 = Positive and 1=Negative

XCVR_A10_RX_ADP_DFE_FXTAP4

Receiver Decision FeedbackEqualizer Fixed Tap FourCoefficient

RADP_DFE_FXTAP4_<0 to63>

DFE fixed tap 4 CoefficientSetting <0 to 63>

XCVR_A10_RX_ADP_DFE_FXTAP4_SGN

Receiver Decision FeedbackEqualizer Fixed Tap FourSign

RADP_DFE_FXTAP4_SGN_<0 or 1>

DFE fixed tap 4 coefficientsign 0 or 10 = Positive and 1=Negative

XCVR_A10_RX_ADP_DFE_FXTAP5

Receiver Decision FeedbackEqualizer Fixed Tap FiveCoefficient

RADP_DFE_FXTAP5_<0 to63>

DFE fixed tap 5 CoefficientSetting <0 to 63>

XCVR_A10_RX_ADP_DFE_FXTAP5_SGN

Receiver Decision FeedbackEqualizer Fixed Tap Five Sign

RADP_DFE_FXTAP5_SGN_<0 or 1>

DFE fixed tap 5 coefficientsign 0 or 10 = Positive and 1=Negative

XCVR_A10_RX_ADP_DFE_FXTAP6

Receiver Decision FeedbackEqualizer Fixed Tap SixCoefficient

RADP_DFE_FXTAP6_<0 to31>

DFE fixed tap 6 CoefficientSetting <0 to 31>

XCVR_A10_RX_ADP_DFE_FXTAP6_SGN

Receiver Decision FeedbackEqualizer Fixed Tap Six Sign

RADP_DFE_FXTAP6_SGN_<0 or 1>

DFE fixed tap 6 coefficientsign 0 or 10 = Positive and 1=Negative

XCVR_A10_RX_ADP_DFE_FXTAP7

Receiver Decision FeedbackEqualizer Fixed Tap SevenCoefficient

RADP_DFE_FXTAP7_<0 to31>

DFE fixed tap 7 CoefficientSetting <0 to 31>

XCVR_A10_RX_ADP_DFE_FXTAP7_SGN

Receiver Decision FeedbackEqualizer Fixed Tap SevenSign

RADP_DFE_FXTAP7_SGN_<0 or 1>

DFE fixed tap 7 coefficientsign 0 or 10 = Positive and 1=Negative

XCVR_A10_RX_ADP_DFE_FXTAP8

Receiver Decision FeedbackEqualizer Fixed Tap EightCoefficient

RADP_DFE_FXTAP8_<0 to63>

DFE fixed tap 8 CoefficientSetting <0 to 63>

XCVR_A10_RX_ADP_DFE_FXTAP8_SGN

Receiver Decision FeedbackEqualizer Fixed Tap EightSign

RADP_DFE_FXTAP8_SGN_<0 or 1>

DFE fixed tap 8 coefficientsign 0 or 10 = Positive and 1=Negative

XCVR_A10_RX_ADP_DFE_FXTAP9

Receiver Decision FeedbackEqualizer Fixed Tap NineCoefficient

RADP_DFE_FXTAP9_<0 to63>

DFE fixed tap 9 CoefficientSetting <0 to 63>

continued...

8. Analog Parameter Settings

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide595

Page 596: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Assignment Pin Planner or AssignmentEditor Name

Value Description

XCVR_A10_RX_ADP_DFE_FXTAP9_SGN

Receiver Decision FeedbackEqualizer Fixed Tap NineSign

RADP_DFE_FXTAP9_SGN_<0 or 1>

DFE fixed tap 9 coefficientsign 0 or 10 = Positive and 1=Negative

XCVR_A10_RX_ADP_DFE_FXTAP10

Receiver Decision FeedbackEqualizer Fixed Tap TenCoefficient.

RADP_DFE_FXTAP10_<0 to63>

DFE fixed tap 10 CoefficientSetting <0 to 63>

XCVR_A10_RX_ADP_DFE_FXTAP10_SGN

Receiver Decision FeedbackEqualizer Fixed Tap Ten Sign

RADP_DFE_FXTAP10_SGN_<0 or 1>

DFE fixed tap 10 coefficientsign 0 or 10 = Positive and 1=Negative

XCVR_A10_RX_ADP_DFE_FXTAP11

Receiver Decision FeedbackEqualizer Fixed Tap ElevenCoefficient

RADP_DFE_FXTAP11_<0 to63>

DFE fixed tap 11 CoefficientSetting <0 to 63>

XCVR_A10_RX_ADP_DFE_FXTAP11_SGN

Receiver Decision FeedbackEqualizer Fixed Tap ElevenSign

RADP_DFE_FXTAP11_SGN_<0 or 1>

DFE fixed tap 11 coefficientsign 0 or 10 = Positive and 1=Negative

Example DFE Fixed Tap Assignment

Assignment: XCVR_A10_RX_ADP_DFE_FXTAP1

Value: RADP_DFE_FXTAP1_2

Description: DFE fixed tap 1 Coefficient Setting 2

Assign To

RX serial data pin.

Syntax

set_instance_assignment -name XCVR_A10_RX_ADP_DFE_FXTAP1 <value>-to <rx_serial_data pin name>

8.6. Transmitter General Analog Settings

8.6.1. XCVR_A10_TX_LINK

Pin planner or Assignment Editor Name

Transmitter Link Type

Description

This parameter is configured depending on the link characteristics. Value SR is usedfor link insertion loss less than or equal to 10dB and value LR is used for link insertionloss greater than 10dB. If this QSF assignment is not done, Quartus Prime assigns SRas the default value. This is used for data rate legality check during compilation.

8. Analog Parameter Settings

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide596

Page 597: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 315. Available Options

Value Description

SR Less than or equal to 10dB IL, used for Chip-to-chip communication

LR > 10dB IL, used for Backplane communication

Note: The maximum data rate supported by transceiver channels depends on the devicespeed grade, power mode, and the type of transceiver channel. Refer to Arria 10Device Datasheet for more details.

Assign To

TX serial data pin.

Syntax

set_instance_assignment -name XCVR_A10_TX_LINK <value> -to<tx_serial_data pin name>

Related Information

Arria 10 Device Datasheet

8.6.2. XCVR_A10_TX_TERM_SEL

Pin planner or Assignment Editor Name

Transmitter On-Chip Termination

Description

Controls the on-chip TX differential termination for different protocols.

Table 316. Available Options

Value Description

R_R1 100 Ohm

R_R2 85 Ohm

Note: For protocols such as QPI, you need to set this setting to R_R2. For all the otherprotocols, you can either set this setting to R_R1 or R_R2. The default value set for allthe protocols other than QPI depends upon the data rate.When the data rate is lessthan or equal to 17.4 Gbps, the default value is R_R1. When the data rate is greaterthan 17.4 Gbps, the default value is R_R2.

8.6.3. XCVR_A10_TX_COMPENSATION_EN

Pin planner or Assignment Editor Name

Transmitter High-Speed Compensation

8. Analog Parameter Settings

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide597

Page 598: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Description

Specifies if the power distribution network (PDN) induced inter-symbol interference(ISI) compensation is enabled or disabled in the TX driver. When enabled, it reducesthe PDN induced ISI jitter, but increases the power consumption. Use this feature forhigh speed applications. It defaults to ENABLE for non-PCIe modes.

Table 317. Available Options

Value Description

ENABLE Compensation ON

DISABLE Compensation OFF

Table 318. Rules

Data Rate Value of XCVR_A10_TX_COMPENSATION_EN

PCIe Gen1, Gen2 DISABLE

PCIe Gen3 ENABLE

Others ENABLE/DISABLE

Assign To

TX serial data pin.

Syntax

set_instance_assignment -name XCVR_A10_TX_COMPENSATION_EN <value>-to <tx_serial_data pin name>

Related Information

EPE (Early Power Estimator)

8.6.4. XCVR_VCCR_VCCT_VOLTAGE - TX

Pin planner or Assignment Editor Name

VCCR_GXB and VCCT_GXB voltages

Description

Configures the VCCR_GXB and VCCT_GXB voltage for a GXB I/O pin by specifying theintended supply voltages for a GXB I/O pin.

Value (67) Description

1_1V VCCR_GXB or VCCT_GXB voltage

1_0V VCCR_GXB or VCCT_GXB voltage

0_9V VCCR_GXB or VCCT_GXB voltage

(67) These are assignment editor values. For the actual values, refer to the Arria 10 DeviceDatasheet.

8. Analog Parameter Settings

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide598

Page 599: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Assign To

TX serial data pin.

Syntax

set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE <value> -to<tx_serial_data pin name>

Related Information

Arria 10 Device Datasheet

8.6.5. XCVR_A10_TX_SLEW_RATE_CTRL

Pin planner or Assignment Editor Name

Transmitter Slew Rate Control

Description

Specifies the slew rate of the output signal. The valid values span from the slowestrate to the fastest rate.

Note: Slew_R6 and Slew_R7 are not used.

Table 319. Available Options

Value Description

SLEW_R0 to SLEW_R5 R0 is the slowest rate. R5 is the fastest rate.

If QSF is not specified, the following table lists the default values.

Table 320. Default Values

PCIe

Value Default Slew Rate

PCIe Gen1 SLEW_R4

PCIe Gen2 SLEW_R5

PCIe Gen3 SLEW_R5

Non-PCIe

Value(VCCT/VCCR)

Default Slew Rate

Data rate < 1G Data rate ≥ 1G to <3G

Data rate ≥ 3G to <6G Data rate ≥ 6G

0.95 V SLEW_R0 SLEW_R2 SLEW_R3 SLEW_R5

1.03 V SLEW_R1 SLEW_R3 SLEW_R4 SLEW_R5

1.12 V SLEW_R2 SLEW_R4 SLEW_R5 SLEW_R5

Assign To

TX serial data pin.

8. Analog Parameter Settings

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide599

Page 600: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Syntax

set_instance_assignment -name XCVR_A10_TX_SLEW_RATE_CTRL <value>-to <tx_serial_data pin name>

Note: Currently, the Quartus Prime software doesn't choose the default values for Non-PCIemode. You must specify the setting in the .qsf file.

8.7. Transmitter Pre-Emphasis Analog Settings

The programmable pre-emphasis block in the transmit buffer amplifies the highfrequencies in the transmit data to compensate for attenuation in the transmissionmedia.

Note: Ignore all the pre-emphasis QSF assignments for PCIe Gen1 and Gen2 if yourtransceiver link is within the PCI Express spec requirements. Quartus Prime assignsdefault values for these parameters.

8.7.1. XCVR_A10_TX_PRE_EMP_SIGN_PRE_TAP_1T

Pin planner or Assignment Editor NameTransmitter Pre-Emphasis First Pre-Tap Polarity

Description

Controls the polarity of the first pre-tap for pre-emphasis. The default value isFIR_PRE_1T_NEG.

Table 321. Available Options

Value Description

FIR_PRE_1T_POS Positive pre-tap 1

FIR_PRE_1T_NEG Negative pre-tap 1

Assign To

TX serial data pin.

Syntax

set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_PRE_TAP_1T<value> -to <tx_serial_data pin name>

8.7.2. XCVR_A10_TX_PRE_EMP_SIGN_PRE_TAP_2T

Pin Planner or Assignment Editor NameTransmitter Pre-Emphasis Second Pre-Tap Polarity

Description

Controls the polarity of the second pre-tap for pre-emphasis. The default value isFIR_PRE_2T_NEG.

8. Analog Parameter Settings

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide600

Page 601: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 322. Available Options

Value Description

FIR_PRE_2T_POS Positive pre-tap 2

FIR_PRE_2T_NEG Negative pre-tap 2

Assign To

TX serial data pin.

Syntax

set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_PRE_TAP_2T<value> -to <tx_serial_data pin name>

8.7.3. XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP

Pin planner or Assignment Editor Name

Transmitter Pre-Emphasis First Post-Tap Polarity

Description

Controls the polarity of the first post-tap for pre-emphasis. The default value isFIR_POST_1T_NEG.

Table 323. Available Options

Value Description

FIR_POST_1T_POS Positive post-tap 1

FIR_POST_1T_NEG Negative post-tap1

Assign To

TX serial data pin.

Syntax

set_instance_assignment -nameXCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP <value> -to <tx_serial_datapin name>

8.7.4. XCVR_A10_TX_PRE_EMP_SIGN_2ND_POST_TAP

Pin planner or Assignment Editor Name

Transmitter Pre-Emphasis Second Post-Tap Polarity

Description

Controls the polarity of the second post-tap for pre-emphasis. The default value isFIR_POST_2T_NEG.

8. Analog Parameter Settings

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide601

Page 602: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 324. Available Options

Value Description

FIR_POST_2T_POS Positive post-tap 2

FIR_POST_2T_NEG Negative post-tap 2

Assign To

TX serial data pin.

Syntax

set_instance_assignment -nameXCVR_A10_TX_PRE_EMP_SIGN_2ND_POST_TAP <value> -to <tx_serial_datapin name>

8.7.5. XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_PRE_TAP_1T

Pin planner or Assignment Editor Name

Transmitter Pre-Emphasis First Pre-Tap Magnitude

Description

Controls the magnitude of the first pre-tap for pre-emphasis. The default value is 0.

Table 325. Available Options

Value Description

0 – 16 Magnitude 0 – 16

Note: Refer to Arria 10 Pre-Emphasis and Output Swing Settings spreadsheet for selectinglegal pre-emphasis and differential output voltage settings.

Assign To

TX serial data pin.

Syntax

set_instance_assignment -nameXCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_PRE_TAP_1T <value> -to<tx_serial_data pin name>

Related Information

Arria 10 Pre-Emphasis and Output Swing Settings

8.7.6. XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_PRE_TAP_2T

Pin planner or Assignment Editor Name

Transmitter Pre-Emphasis Second Pre-Tap Magnitude

8. Analog Parameter Settings

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide602

Page 603: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Description

Controls the magnitude of the second pre-tap for pre-emphasis. The default value is0.

Table 326. Available Options

Value Description

0 – 7 Magnitude 0 – 7

Note: Refer to Arria 10 Pre-Emphasis and Output Swing Settings spreadsheet for selectinglegal pre-emphasis and differential output voltage settings.

Assign To

TX serial data pin.

Syntax

set_instance_assignment -nameXCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_PRE_TAP_2T <value> -to<tx_serial_data pin name>

Related Information

Arria 10 Pre-Emphasis and Output Swing Settings

8.7.7. XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP

Pin planner or Assignment Editor Name

Transmitter Pre-Emphasis First Post-Tap Magnitude

Description

Controls the magnitude of the first post-tap for pre-emphasis. The default value is 0.

Table 327. Available Options

Value Description

0 –25 Magnitude 0 –25

Note: Refer to Arria 10 Pre-Emphasis and Output Swing Settings spreadsheet for selectinglegal pre-emphasis and differential output voltage settings.

Assign To

TX serial data pin.

Syntax

set_instance_assignment -nameXCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP <value> -to<tx_serial_data pin name>

8. Analog Parameter Settings

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide603

Page 604: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Related Information

Arria 10 Pre-Emphasis and Output Swing Settings

8.7.8. XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_2ND_POST_TAP

Pin planner or Assignment Editor Name

Transmitter Pre-Emphasis Second Post-Tap Magnitude

Description

Controls the magnitude of the second post-tap for pre-emphasis. The default value is0.

Table 328. Available Options

Value Description

0 – 12 Magnitude 0 – 12

Note: Refer to Arria 10 Pre-Emphasis and Output Swing Settings spreadsheet for selectinglegal pre-emphasis and differential output voltage settings.

Assign To

TX serial data.

Syntax

set_instance_assignment -nameXCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_2ND_POST_TAP <value> -to<tx_serial_data pin name>

Related Information

Arria 10 Pre-Emphasis and Output Swing Settings

8.8. Transmitter VOD Settings

8.8.1. XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL

Pin planner or Assignment Editor Name

Transmitter Output Swing Level

Description

Controls the transmitter programmable output differential voltage swing. The defaultvalue is 31.

Note: Ignore this assignment for PCIe Gen1 and Gen2 if your transceiver link is within thePCI Express* spec requirements. The Quartus Prime software assigns default value forthis parameter.

8. Analog Parameter Settings

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide604

Page 605: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Table 329. Available Options

Value Description

0 – 31 Magnitude 0 – 31

Note: Refer to Arria 10 Pre-Emphasis and Output Swing Settings spreadsheet for selectinglegal pre-emphasis and differential output voltage settings.

Assign To

TX serial data pin.

Syntax

set_instance_assignment -name XCVR_A10_TX_VOD_OUTPUT_SWING_CTRL<value> -to <tx_serial_data pin name>

Related Information

Arria 10 Pre-Emphasis and Output Swing Settings

8.9. Dedicated Reference Clock Settings

8.9.1. XCVR_A10_REFCLK_TERM_TRISTATE

Pin planner or Assignment Editor Name

Dedicated Reference Clock Pin Termination

Description

Specifies if the termination for dedicated reference clock pin is tri-stated. It defaults toTRISTATE_OFF for non-HCSL cases.

Table 330. Available Options

Value Description

TRISTATE_OFF Internal termination enabled and on-chip biasing circuitry enabled

TRISTATE_ON Internal termination tri-stated. Off-chip termination and biasing circuitry must be implemented

Table 331. Rules

I/O Standard Value

HCSL TRISTATE_ON

CML TRISTATE_OFF

LVPECL TRISTATE_OFF

LVDS TRISTATE_OFF

Assign To

Reference clock pin.

8. Analog Parameter Settings

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide605

Page 606: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

Syntax

set_instance_assignment -name XCVR_A10_REFCLK_TERM_TRISTATE<value> -to <dedicated refclk pin name>

8.9.2. XCVR_A10_TX_XTX_PATH_ANALOG_MODE

Pin planner or Assignment Editor Name

Transmitter Analog Presets

Description

Specifies the TX pin swing settings such as vod output swing control, pre-emphasisand slew rate settings for various protocols. The presets are set by selecting ananalog_mode setting. The selection is done as a .QSF setting on the TX pin. Ifindividual .QSF is specified for the vod_output_swing_ctrl, pre-emphasis settings, theindividual .QSF overrides the preset settings. The parameter defaults toUSER_CUSTOM, which requires the individual .QSF to be set. Available TX presetvalues are shown in the Assignment Editor, Transmitter Analog Preset assignmentsection.

8.10. Unused Transceiver RX Channels Settings

Add the following Intel Arria 10 QSF assignments to prevent performance degradationof unused RX serial clock over time. You can either use a global assignment or per-pinassignments.

Syntax

set_global_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL ON

set_instance_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL ON -to<pin name>

8.11. Analog Parameter Settings Revision History

DocumentVersion

Changes

2017.11.06 Made the following changes:• Changed all default values of RADP_VGA_SEL to 4 in the "XCVR_A10_RX_ADP_VGA_SEL" section.

2016.10.31 Made the following changes:• Added the Value in Assignment Editor column to the table in the

"XCVR_A10_RX_ONE_STAGE_ENABLE" section.• Added a note to the "XCVR_A10_TX_SLEW_RATE_CTRL" section.

2016.05.02 Made the following changes:• Updated that "DFE continuous mode" is not supported any more. DFE is supported in three modes-

Disabled, Manual, Adaptation Enabled.• Changed the "Arria 10 Register Map” for available DFE modes, CTLE and VGA modes.• Changed the maximum supported data rate for GT devices to 25.8 G.

continued...

8. Analog Parameter Settings

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide606

Page 607: 256 10 Transceiver PHY User Guide - Intel FPGA and SoC€¦ ·  · 2018-05-21Intel® Arria® 10 Transceiver PHY User Guide Updated for Intel

DocumentVersion

Changes

• Removed the ODI section from 'Register Map' tab.• Changed the DFE adaptation mode from "Continuous" to "Adaptation enabled".• Changed the DFE adaptation mode from "Continuous" to "Adaptation enabled".

2016.02.11 Made the following changes:• Updated the maximum data rate to 25.8 Gbps.

2015.12.18 Made the following changes:• Updated the “Available Options” table for non-HCSL standards, in the“Dedicated Reference Clock

Settings” section.

2015.11.02 Made the following changes:• Changed the setting for "XCVR_A10_RX_EQ_DC_GAIN_TRIM" parameter in the CTLE Settings

section.• Updated the "Analog Parameter Settings List" table to reflect the number of DFE fixed taps.• Updated "DFE Fixed Tap Assignments" table in the Decision Feedback Equalizer (DFE) Settings

section.• Updated descriptions for XCVR_A10_RX_LINK QSF assignment in “Receiver General Analog

Settings” section.• Updated description for XCVR_A10_RX_EQ_DC_GAIN_TRIM QSF,

XCVR_A10_RX_ADP_CTLE_EQZ_1S_SEL QSF,XCVR_A10_RX_ADP_CTLE_ACGAIN_4S QSF, andXCVR_A10_RX_ADP_VGA_SEL QSF assignments in “Receiver Analog Equalization Settings” section.

• Updated description for XCVR_A10_TX_LINK QSF, XCVR_A10_TX_SLEW_RATE_CTRL QSF, andXCVR_A10_TX_LINK QSF assignments in “Transmitter General Analog Settings” section.

2015.05.11 Made the following changes:• Corrected typos in the Syntax description of each parameter setting.• Added the XCVR_A10_TX_SLEW_RATE_CTRL parameter.• Changed the available values for the following parameters:

— XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_PRE_TAP_1T— XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_1ST_POST_TAP— XCVR_A10_TX_PRE_EMP_SWITCHING_CTRL_2ND_POST_TAP

2014.12.15 Made the following changes:• Modified the Rules section for XCVR_A10_TX_COMPENSATION_EN.• Changed Available Options for XCVR_A10_RX_ONE_STAGE_ENABLE parameter settings table.• Changed the "XCVR_A10_RX_ADP_CTLE_ACGAIN_4S" parameter setting.• Added "XCVR_VCCR_VCCT_VOLTAGE" parameter setting.

2014.08.15 Initial release.

8. Analog Parameter Settings

UG-01143 | 2018.06.15

Intel® Arria® 10 Transceiver PHY User Guide607