ismi 450mm transition program update - sematech · 2008 program overview and technology node...

65
Accelerating Manufacturing Productivity Copyright ©2008 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners. ISMI 450mm Transition Program Update Tom Jefferson ISMI 450mm Program Manager December 3, 2008 SEMICON Japan

Upload: trinhdan

Post on 07-May-2018

227 views

Category:

Documents


7 download

TRANSCRIPT

Page 1: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

Accelerating Manufacturing Productivity

Copyright ©2008 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

ISMI 450mm Transition Program Update

Tom JeffersonISMI 450mm Program ManagerDecember 3, 2008SEMICON Japan

Page 2: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

Accelerating Manufacturing Productivity

Copyright ©2008 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

Opening Remarks

Scott KramerVice President of Manufacturing Technology

Page 3: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 3

450mm Introduction

Samsung, tsmc

and Intel are committed to the 450mm wafer size transition -

ISMI is facilitating

industry collaboration

ISMI is open to all ideas –

continuous review and adjustment of plans

Best results come from proactive, 2 way communications

Page 4: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 4

450mm Rationale

Wafer size transitions produce cost savings –

historical data supports

Benefits entire industry through continued growth

Needed to accelerate productivity gains –

realizing that free and open markets determine timing

Technical problems will be solved by continuing innovation across the industry –

engineers will continue to innovate

Page 5: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 5

Productivity Notes

Member companies all agree –

higher productivity is a must, always

There are multiple solutions possible•

ISMI philosophy –

accelerate productivity improvement

Be impatient–

Do not delay or hesitate–

Consider all information and possible solutions

Introduction and timing of any productivity improvements is determined by markets–

Decisions by informed buyers and sellers–

Free and open, competitive–

Level playing field

Page 6: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

Accelerating Manufacturing Productivity

Copyright ©2008 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

Thank you!

Page 7: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

Accelerating Manufacturing Productivity

Copyright ©2008 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

Agenda and Program Overview Tom Jefferson, ISMI/Intel

Page 8: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 8

450mm Briefing Agenda

2008 Program Overview and Technology Node Intercept Strategy

Equipment Performance Metrics•

Silicon Activities

Factory Integration Interoperability Test Bed (ITB) Latest Results

450mm ESH Activities•

Summary and 2009 Program Preview

Question and Answer Session

Page 9: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 9

ISMI 450 mm Program Mission and ISMI 450 mm Program Mission and ObjectivesObjectives

2008 Objectives:1.

450 mm wafer availability to enable 450 mm development

2.

Timely coordination and support of 450 mm transition projects and strategic industry activities

3.

Creation of testable Next Generation Factory Architecture guidelines and coordination of standards to accelerate 450 mm

4.

Prototype testing of 450 mm Factory Integration Equipment

Mission:Enable a cost-effective 450 mm transition through coordination and development of infrastructure, guidance, and industry readiness

Page 10: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 10

ISMI 450 mm Program Structure for 2008ISMI 450 mm Program Structure for 2008

450mm Transition Program450mm Transition Program

Si Wafer ReadinessSi Wafer Readiness

Factory Integration Interoperability Test BedFactory Integration Interoperability Test Bed

Factory Integration Guidelines and StandardsFactory Integration Guidelines and Standards

Supplier Engagement•

ESH Challenges•

Economic Monitoring•

Industry Collaboration

Si supplier readiness•

Si wafer bank and wafer testing

Guideline development•

Standards coordination

Prototype wafer handling and factory interface testing

Page 11: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 11

450mm Technology Node Intercept Strategy•

The IC makers committed to the 450mm transition have significant differences in products, business models and technologies

But, they have agreed on nominal performance targets and a common timeline for test wafer generation, equipment demonstrations, and first-generation production equipment1.

450mm Test Wafer generation capabilities in 2009, with 300mm-

equivalent capability

2.

Equipment demonstrations for process and metrology equipment in 2010 to 2012, with 32 nm capability

3.

Production ready equipment capable of supporting IC maker pilot lines in 2012+ with technology scalable to 22 nm and beyond

This alignment can help minimize development costs

Page 12: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 12

Development & Technology Intercept Targets for 450mm

Note: Detailed technology goals will be defined by individual company business requirements[1]: Reference is DRAM stagger-contacted Metal 1 half-pitch in nanometers

2008 2009 2010 2011 2012 2013 2014 2015

32nm Metal1 half-pitchcapable tools

[1]22nm Metal1 half-pitch

capable tools

[1]

Target for IC-Maker Pilot-lines Tools

IC maker target for initialproduction ramp up

A full (flow) set of post Beta production capable process and metro tools

& Automation systems capable of meeting the technology node targets.

Early development

of Silicon & Equip. test beds,interoperability and Automation standardsand metro toolsdevelopment.

Early 450mm EquipmentDevelopment target

TechnologySynchronizationpoints

450mm Test Wafer Generation and

Alpha/Beta Tool demonstrations, plusAutomation standards Verification. Tools do not support full-flow in the ConsortiaDemonstration. Unit process capable.

Equipment Demonstrations Equipment CIP

Productivity enhancements + upgradingto new node

Test WaferGeneration

Consortium Program

Page 13: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 13

ISMI 450mm Test Wafer Generation StrategyMotivation•

Equipment suppliers need test wafers to create processes for in-house prototype tool development

Feedback from the 300mm conversion is that availability of i300i-generated test wafers was very useful, and helped to reduce development costs.

Test wafers must be of sufficient quality for each stage of development–

Lower quality requirements for early testing–

Increasing sophistication and particle quality for process and hardware refinement

ISMI Approach•

Acquire wafer processing capability in phases to meet demands of

sophistication

Loan processed wafers to suppliers for 450mm process and metrology equipment development.

Page 14: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 14

ISMI Phased Approach for 450mm Test Wafer Generation CapabilityPhase 1 –

Sintered WafersISMI loans bare sintered wafers for early handling and metrology

development

Phase 2A/2B –

Single crystal wafers, basic metrology and blanket thin films

2A

ISMI acquires single crystal silicon wafers and basic metrology

capability for quality inspection (particle detection, geometry tools, etc.)

Loan single crystal wafers to suppliers for process development

2B

Obtain blanket thin film deposition on ISMI wafers and the ability to measure films

Phase 3 –

Basic patterned oxide wafersDevelop capability for a basic oxide patterning cell with

related metrology and loan to suppliers needing patterns

2008

2009

ISMI has received proposals for all Phase 2A components, and is in discussions for phase 2B and phase 3 components

Page 15: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 15

450mm Test Wafer Generation -

Top Priority Process Capabilities

Early Patterning CapabilityOxide Vertical FurnacePECVD DielectricDielectric Dry EtchDielectric CMPPVD Metal LPCVD Nitride Wet CleansDry Strip AsherParticle Detection Metrology Film Thickness MetrologyCD Measurement

•Equipment with relaxed requirements for basic process capabilities are acceptable for test wafer generation in 2009.

•Test Wafer Generation equipment is not expected to comply with advanced standards and guidelines

•All

equipment must be safe to operate and maintain

ISMI is interested in discussing proposals for Test Wafer

equipment for use in 2009

Page 16: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 16

Relaxed Expectations for Early TW Gen Tools•

It is desirable that early TW tools are able to…–

load and unload wafers from Front Opening Unified Pods (FOUPs) with 10 mm slot pitch–

have 450mm load ports and Equipment Front End Modules… but it will be acceptable to substitute manual wafer

handling to some degree

Process capability will generally be expected to match the current level of 300 mm tools, roughly corresponding to 45 nm technology

Certain tools are known to have significant challenges associated with scale up, e.g. uniformity may degrade; such a scale-up penalty may be acceptable in the initial period

Defect densities for these tools at 45 nm in the Yield Enhancement section of the ITRS should be targeted

Availability of 50-60% is assumed to be sufficient to support TW generation; no MTBI or MTTF metrics are specified at this stage

Throughput (processed wafers per hour) for most tools should be the same as 300 mm tools

Area-scanned tools may potentially have throughput reduced by as much

as the wafer area ratio–

i.e. 40% of the 300 mm tool throughput may be adequate for TW tools of this description in 2009

Page 17: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

Accelerating Manufacturing Productivity

Copyright ©2008 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

450 mm Equipment Performance Metrics (EPM)Kuo-Fu Chien, ISMI/tsmc

Page 18: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 18

450 mm Equipment

Performance Metrics (EPMs)

Objective: Provide values for critical process and manufacturing performance metrics to guide the development of 450 mm equipment and applicable to early equipment during the demonstration phase

Initial focus has been on tools identified as highest priority for Supplier engagement for tool demonstration; subsequent updates will encompass all wafer fab tools

ISMI’s 450 mm Equipment Performance Metrics are intended to be applicable for equipment demonstrations in 2010-2012

Page 19: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 19

Process for Metrics Creation

3) ISMI Provides StrawmanMetrics and Methods

1) ISMI Provided Tool List

4) Member Companies Provide Feedback

5) Consult/Reviewwith Suppliers

6) Publish Equipment Performance Metrics and Test Methods

2) Member CompaniesProvides Tool Priorities

First Workshop held 11/18

with 20 OEM’s

Page 20: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 20

Highest Priority Equipment for Early Equipment Demonstration•

193 nm Scanner / Lithography Track

Bare Wafer Particle Detection•

Dielectric CMP•

Dielectric Dry Etch•

Electrochemical Cu Plating•

High Energy Ion Implant•

PVD Metal•

LPCVD Silicon Nitride•

PECVD Dielectric•

Wet Cleans

Tool-specific process and manufacturing performance metrics for complete toolset are under development at ISMI

Demonstration of capabilities in 2010-

2012 timeframe (32 nm specifications)

• Arrangements will begin in 2009

Technology scaling to 22 nm specifications and beyond, and maturing for production launch in 2012+ in device maker pilot lines

Additional Tools will be added and EPMs specified in subsequent updates

Specific performance metrics for these toolsets are available now from ISMI

Page 21: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 21

Example EPM Sheet –

Contact Etch

Attribute Units Metrics (32 nm) Metrics (22 nm) Notes Electrostatic Chuck - Required Required Auto End-point Detection - Required Required in-situ bevel Detection Required Required Need

definit ion of the attribute

Equipment Parameters

In-situ Chamber Clean - Required Required Contact CD at Resist nm 39 28 Contact CD after Etch nm 36 25

Process Targets

Aspect Ratio H:D > 20:1 > 30:1 Selectivity to - > TBD , each company input

selectivity requirements w.r.t materials chosen

> TBD, each company input selectivity requirements w.r.t

materials chosen

Loading Effect % < 10 < 5 Charge Damage - To be measured To be measured Residue after etch - None None

Process Characteristics

Metal contamination (TXRF) Atoms cm-1 1E10 1E10 TXRF On bare Si @ 30 nm #/cm2 < 13 < 9 Backside on Si #/cm2 < 0.28 @ > 75 nm < 0.28 @ > 50 nm

Defects, PWP @ 30 nm/1.5 mm edge exclusion

Throughput wafer/hr 4% improvement / year from

2009 300 mm baseline 4% improvement / year from

2009 300 mm baseline

Availability % 95 95 MTBI hour > 350 > 350 MTTR hour < 18 < 3 MTTC or MTBC?

Manufacturing Targets (@ High Volume Manufacturing Phase)

Page 22: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 22

General Requirements in the EPM

The overarching requirement for 450 mm manufacturing is that it maintain the advantageous cost structure that has enabled continuing growth for industry–

this fundamental objective must be recognized in developing 450 mm equipment

A boundary condition is that 450 mm equipment be more productive than its 300 mm predecessor–

For most equipment, the ITRS Factory Integration requirement that productivity improve by 4% per year should be applied to the 300

mm 2008 baseline throughput in wafers per hour

It is recognized that for area-based tools, such performance will require major innovation and may not be achieved at the first generation; specific metrics and progress rates are provided for such tool types

Page 23: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 23

All Equipment for Demonstration must be safe to operate and maintain

Personal Guided Vehicles (PGVs) will be required for FOUP transport and loading / unloading operations during early development

450 mm equipment should be designed to support the re-use of existing 300 mm facilities–

footprint and height of tools should not increase significantly

Consumables represent a significant cost in manufacturing for many process steps –

In general, consumables should be held to the same level for 450

mm as for 300 mm on a per-wafer basis.

For some processes, significant reductions are required and will

be specified in the individual metrics sheets

General Requirements in the EPM

Page 24: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 24

Tool evaluation report

Assumption :1. Test Wafer available in 20092. Beta tools for 32 nm process demonstration

450 mm Tool Demonstration Engagement Process Flow

ITRS, ISMI member survey Tool attributes & Performance metrics

Form project core team& Demo requirement

Review & Consult with supplier

Tool list & Processrequirementand priority

Final Demo Plan Contract/SOW negotiation

Demo agreements with metrics and method

Workshop Supplier survey

Demo tools selected

Tool Demo

Resource Allocation

Project Initiation &Demo test method

Tool EvaluationResult good?

Page 25: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 25

450mm Equipment Readiness – Next Steps

Completion of performance metrics for remaining equipment sets for a full line in H1’2009–

Additional supplier workshops will be planned in Q1’2009 , and ISMI welcomes supplier participation in review of all EPM’s.

Development of 450mm Equipment demonstration test methodologies

450mm Test Wafer Generation in 2009

Equipment demonstrations (2010+)

Page 26: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

Accelerating Manufacturing Productivity

Copyright ©2008 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

ISMI 450mm Silicon Wafer Activities

Mike Goldstein, ISMI/Intel

Page 27: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 27

ISMI 2008 Starting Materials Activities•

Worked with SEMI standards to generate 450 mm silicon wafer specifications

Built and managed a wafer bank and supported experiments–

Define purchasing specification–

Purchase silicon wafers–

Manage supplier “wafer loan program”

Worked with suppliers and ISMI’s Interoperability Test Bed (ITB) to test wafer movement and handling–

Integrity during shipment–

Impact of vibration and acceleration

Analyzed data and provided feedback to SEMI standards Silicon and IPIC technical task forces

Page 28: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 28

450 mm Silicon Wafer Types

Type Application Key Parameters

Mechanical HandlingWafers

Robotics and Carrier Testing

Diameter, Thickness, Edge Profile, Mechanical Integrity

Process Grade Test Wafers

Process and Metrology Equipment R&D, Process Monitoring

Flatness, Metals, LLS, COP, Haze, Defects

Product Wafers

HVM Particles, Defects, Electrical, Chemical, LLS, Global/Site Flatness, NT, etc.

Status

CompletedSEMI std. M74-1108

In progressSEMI draft document 4624

Page 29: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 29

ISMI 450mm Wafer Bank •

450mm Mechanical Handling wafers have been purchased by ISMI in accordance with SEMI standard M74-1108 (with tighter diameter tolerance +/-0.1 mm).

ISMI wafer bank current availability–

~ 150 sintered silicon wafers 925 +/-

25 μm thick–

Small quantities of sintered wafers with different thicknesses (775 μm, 825 μm, 875 μm, 1mm) are also available for testing.

Small quantities of single crystal wafers. Larger quantities will be available in Q1/09.

Wafer bank loans to suppliers–

155 wafers have been loaned to ~20 companies

Page 30: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 30

ISMI 450mm Wafer Loan Program

For wafer loan from ISMI, contact: Michael Bishop([email protected])

Wafer Bank Loans

0

20

40

60

80

100

120

140

160

180

Q1'08

Q2'08

Q3'08

Q4'08

# of

Waf

ers

or L

oans

# of Wafers # of Loans

Page 31: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 31

450mm Silicon Wafers Gravitational Sag with Support Fixtures

Gravitational sag measurements were performed on sintered silicon wafers in support of preliminary handling and transfer prototype development. Wafers of different thicknesses were supported in fixtures with different configurations.

We found a very strong correlation between wafer support and gravitational sag. The wafer thickness effect is small compared to the support design effect.

Some of the measurements were later repeated with single crystal wafers with similar results.

Page 32: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 32

Wafer Holding Fixtures

Thermal boat type support

Rail support

3 symmetric points

Full peripheral support 5 points

4 asymmetric points 4 symmetric points

Page 33: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 33

450mm Silicon Wafers Gravitational Sag in Different Fixture Configurations

450mm wafers gravitational sag in different fixtures

0

500

1000

1500

800 850 900 950 1000 1050

Wafer thickness (um)

Waf

er s

ag (u

m)

Sintered Single crystal

Wafer Support Structure Strongly Influences Gravitational Sag

Page 34: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 34

Wafer Gravitational Sag In Carrier

ISMI test bed team measured the gravitational sag of 450mm sintered and single crystal wafers in four different wafer carrier configurations.We found that the wafer sag is a very small portion of the overall pitch budget (~7% and only 7th on the overall pitch pareto)

113

114

115

116

117

118

119

120

121

SL -50 -40 -30 -20 -10 0 10 20 30 40 50 SR

Typical wafer measurement profile

Wafer carrier and measured positions

Page 35: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 35

450mm, 925um thick silicon wafers gravitational sag in different carriers

0.10000.20000.30000.40000.50000.60000.70000.80000.90001.00001.1000

A1 A2 B C

Waf

er G

ravi

tatio

nal S

ag (m

m)

Sintered Single CrystalCarrier type

Under the same conditions, single crystal silicon wafers sag 150-200um less than sintered wafers

Results from SUMCO / ISMI

Joint Cooperative Experiments

Page 36: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 36

450mm single crystal wafer “carrier footprint”

vs. wafer thickness

450mm single crystal wafer "carrier footprint" vs. wafer thickness

11.21.41.61.8

22.22.42.62.8

825 875 925 975 1025 1300 1500 1800

Wafer thicknes (μm)

Waf

er d

efle

ctio

n +t

hick

ness

( μm

)

Carrier Type A1 Carrier Type C Carrier Type B

SEMI std. M74-1108

Page 37: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 37

450mm Silicon Development Summary

Sintered 450 mm silicon wafers enabled supplier engagement and prototype testing of EFEM and carriers, testing “worst case”

scenarios.

The single crystal silicon wafers with <100> orientation have 150-200 µm less gravitational sag than sintered wafers.

155 sintered wafers have been loaned by the wafer bank to ~20 suppliers. First order of single crystal wafers has been completed; wafers will be available for loans by the end of Q1’09.

Test wafer specifications for general purposes, litho and particle measurements are in progress.

Procurement of silicon inspection equipment is in progress.

We are engaged with other critical materials suppliers (e.g., quartz) to ensure availability for OEM prototypes.

Page 38: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

Accelerating Manufacturing Productivity

Copyright ©2008 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

Factory Integration Interoperability Test Bed (ITB) Update

Paul Cherry, ISMI/Intel

Page 39: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 39

ISMI Acknowledgement

The ISMI 450mm Program would like to acknowledge the following companies for their support and participation in the 450mm ITB:

Asyst TechnologiesBrooks Automation

CyberOpticsEntegrisFixeon

Genmark Automation Gudeng Precision Industrial

H-SquareNikko

SUMCO

Page 40: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 40

450mm Factory Integration Equipment Testing at ISMI

-

FOUPs and Shippers

-

Equipment Front- end Modules

(EFEMS)

-

Wafer Handling Robots

-

Loadports

-

Wafer Wands

Page 41: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 41

ISMI 450mm Interoperability Metrics

Kinematic couplings

Conveyor rails

PurgingInfo pads

Wafer to end-effector clearance

FOUP critical dimensions

Backside wafer support

Transport flange

Carrier ID Weight and CG

End-effector thickness and sag

Wafer rotation and sag

FIMS Std., door opening cycle time& req’d closure force

RFID exclusion space

Carrier purging capability

Loadport std. dimensions

BOLTS std. dimensions

Slot integrity & material redirect mode

Precise, accurate & repeatable placement

Pitch Budget EFEMs

throughput

ESD prevent = Ω

grounding

Door interoperability

Removable manual handles

25-wafer capacityWafer pitch

Green Text = Testing Focus to Date 26 Attributes under Evaluation

Page 42: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 42

EFEMS, Robots, Loadports

GenmarkRobotic Test Stand

Brooks EFEM

Latch Key Loadport

Asyst EFEM

Page 43: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 43

450mm Carrier Door Opening

2.

Door Opening

•One possible option: Magnetic Door-

Opening Loadport•>18000 test cycles completed

•Evaluation of Mechanical Latch Key Door-Opening Loadport will begin in December 2008

3. Door Removed4. Door Closed

1. Door Closed

Page 44: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 44

Prototype 450mm Magnetic Load Port Overview

560580

4540

305322.5+20/-0

915913+10

875863

100100

100100

FOUP Door Clamp Pad (Vacuum)FOUP Front Clamp

Pads (Vacuum)

5075

70110

2330

Carrier Frame Pins

EB

HP

FP

LB

BPBP600

638+3

Please note

reserved space for

RFID antenna

Note: This prototype load port was designed before the blue ballot was created.

BLACK = as measuredRED = Loadport blue ballot

450mm Loadport evaluations are underway at ISMI

Page 45: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 45

450mm SWS and FOSB TestingVertical Orientation no

breakage >50g

Purposeful Poly Si wafer failure testing to find damage boundary

Drop Testing @1.5 m height

Horizontal OrientationProtection to 45g’s

FOSB horizontal protection to 12 g -> ship vertically

Building confidence in shipping methods and carrier types

Package drop testing was completed based on International Safe Transit Association Guidelines (ISTA) of 1.0m

Drop testing was also completed at increased height values to determine the limits of the packaging systems

Page 46: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 46

Cantilever SupportPerimeter Support

Perimeter Support

Wafer Sag varies by 50% depending on the support method

FOUP Support Types

Page 47: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 47

Robot and Loadport Cycle Testing11/03/08

3.906Empty

50,362Vac. grip

EE33,153

1 Carrier

63,04611mm

13,281Full

238,298Passive grip EE

110,2212 Carriers

225,615 10mm

145,2863 Carriers

0

50

100

150

200

250

300

350

Wafer Pitch* # Carriers End-Effector Loadport Cycles vsCarrier loading

Cycle Types

k C

ycle

s

Brooks Interoperability and Cycle Testing

LP3

LP2

Process Module

LP1

Robot Cycle Breakout

>280k robotic wafer handling cycles and 18K loadport cycles completed to date

Page 48: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 48

Genmark Interoperability and Cycle Testing

A B

C

>80K robotic handling cycles completed to date

Genmark Cycle Date

83,254

35,224

5,052

48,030

78,202

0

10,000

20,000

30,000

40,000

50,000

60,000

70,000

80,000

90,000

Wafer Pitch* # Carriers EE Type

Cycle Type

# of

Cyc

les

10mmpitch

2Carriers

1Carrier

CeramicEE

AL EE

Page 49: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 49

Dynamic Vibrations of End EffecterLaser Sensors

Lt Rt

Front View Side View

Laser Sensor

EE Moved In/Out

Rob

ot

End-Effector

Wafer

Vertical Dynamic wafer/EE motion measured by Keyence Precision Laser sensors as wafers were moved in/out of carrier slots.

Supplier "B" Slot 1 Pick and Place

-3

-2

-1

0

1

2

3

1 101 201 301 401 501

Time (0.01 seconds)

Dis

tanc

e (m

m)

Right Pick Slot 1 100% Left Pick Slot 1 100% Right Place Slot 1 100% Left Place Slot 1 100%Right Pick Slot 1 20% Left Pick Slot 1 20% Right Place Slot 1 20% Left Place Slot 1 20%

EE roll

P-P vibrations

Page 50: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 50

Carrier Wafer Pitch Budget Update

Two systems tested both indicating 10mm pitch is possible

Other Other

Robot teaching and alignment error

Thickness of Wafer

Thickness of Wafer

EE Structure

EE Structure

EE Wafer Contact Pads

EE Wafer Contact Pads

Process Induced Warp Process Induced Warp

Wafer Deflection

Wafer Deflection

Tolerance of wafer planes

Tolerance wafer planes

Robot Linearity

Robot Linearity

0

1

2

3

4

5

6

7

8

9

10

Supplier "A" Vacuum Grip EE Supplier "B" Vacuum Grip EE

Waf

er P

itch

(mm

)

Page 51: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 51

450mm Factory Integration - Summary

Multiple systems under evaluation at ISMI–

Loadports, shippers, wafer carriers, EFEMs, robots–

All testing completed with polysilicon wafers –

worst case

Test results and data are being provided into SEMI Standards task forces–

Loadport dimensions and evaluations–

Wafer support types and effects on wafer sag–

Pitch budget analysis on multiple systems

>360K total cycles completed at 10mm pitch on 2 systems–

Two different approaches that both enable 10mm pitch–

Third system has been installed and testing has begun

Page 52: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

Accelerating Manufacturing Productivity

Copyright ©2008 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

450mm ESH Activity

James Beasley, ISMI

Page 53: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 53

450mm ESH Vision:

The 450mm transition presents an opportunity for significant environmental improvement in the use of Energy, Water, and Materials

Strategies for Realization of the 450mm ESH Vision•

Apply 300mm Lessons Learned to Safety–

Ergonomics and Materials Handling, Serviceability, Fire Protection, Seismic

Focus holistically on equipment, factories, materials, and processes•

Define guidelines for key environmental challenges:•

waste stream segregation, internal chemical recycling, high efficiency support equipment, others tbd

ISMI Confidential

Reduced Environmental Footprint and Enhanced Safety

Page 54: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 54

Estimated 300mm consumption relative to 200mm

(per cm2

silicon processed)

Volatile Organic Compounds

Perfluorocarbons

Hazardous Air Pollutants

Ultra-pure water

0

20

40

60

80

100

Vendor supplied data

Nor

mal

ized

pe

rform

ance

200-300mm Improvement: Tool Data

45%58%

52% 60%

200mm baseline200mm baseline

Page 55: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 55

450mm Energy Reduction Goal: No per wafer increase

450mm Wafer = 2.25X

surface area

ISMI 300mm Energy average

= 1503.8 kWh / wafer

-

55%

45%

2.13 kWh/cm2

(= 1503.8 kWh / 300mm Wafer)

.95 kWh/cm2

(= 1503.8 kWh / 450mm Wafer)

100 %

A 55% reduction from 300mm baseline is necessary to achieve this

goal

300mm 450mm

ISMI welcomes inputs from suppliers on 450mm ESH Challenges

Page 56: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 56

Focus Areas for Energy Reduction

Coordination between fab tools and subfab equipment

Manage reduction through entire supply chain

Rated components: vacuum pumps, chiller, etc.

Optimize factory design, total utility requirements, idle mode, tool throughput

ISMI Confidential

Page 57: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 57

450mm ESH Success Criteria

ISMI Confidential

Productionβα

Complete at receiving site

EquipmentSign Off

Requirement

Provide before delivery / start-up

Measured Utility Requirements

Provide before delivery / start-up

Combustible Materials Report

Complete during βphase; final before production

Environmental Characterization(Air, Water, etc)

Tools at IDMsTW Gen

Seismic Design Criteria

SEMI S23 Total Energy Report

Third Party SEMI S2 / S8 Reports

ISMI Safety Checklist

Equip.phase

Provide before delivery / start-up

Complete during βphase

Provide before delivery / start-up

Provide before delivery / start-up

CommentsEquip. Demos

Productionβα

Complete at receiving site

EquipmentSign Off

Requirement

Provide before delivery / start-up

Measured Utility Requirements

Provide before delivery / start-up

Combustible Materials Report

Complete during βphase; final before production

Environmental Characterization(Air, Water, etc)

Tools at IDMsTW Gen

Seismic Design Criteria

SEMI S23 Total Energy Report

Third Party SEMI S2 / S8 Reports

ISMI Safety Checklist

Equip.phase

Provide before delivery / start-up

Complete during βphase

Provide before delivery / start-up

Provide before delivery / start-up

CommentsEquip. Demos

Not required at this Equipment Phase

required at this Equipment Phase

Page 58: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 58

450mm Person Guided Vehicle (PGV) Guideline

single 450mm 25 wafer carrier

cleanliness, electrostatic control and vibration control

transporting and docking to/from a Standards compliant loadport

support carrier handling by using the top handling flange or bottom conveyor rails

minimize PGV size and weight

Carrier drop protection during transport

protection of person and product during transfer operations-

SAFE OPERATION

Notes:

•Drawing not to scale

•Graphic is for example only and is not intended to imply a preference for a specific design

•ISMI has developed PGV Guidelines to enable safe handling of 450mm carriers during early development prior to the availability of AMHS;

•ISMI is interested in engaging in discussions with interested suppliers for 450mm PGV capability

Page 59: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 59

450mm ESH Summary

450mm represents a opportunity for substantial environmental performance improvement –

This was demonstrated during 200mm 300mm conversion–

Apply ESH “Lessons Learned”

from the 200mm->300mm conversion

ESH improvement and success at 450mm will require:–

No per-wafer increase in energy (vs. 300mm)–

Clearly communicated ESH expectations–

Collaboration between tool suppliers, material suppliers, fab

designers and process engineers–

Greater focus on optimization of ergonomics, chemical and water use, and energy efficiency

Page 60: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

Accelerating Manufacturing Productivity

Copyright ©2008 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

Summary and Next Steps ISMI 450mm Program

Page 61: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 61

Summary, ISMI 450mm Program

Samsung, tsmc

and Intel are committed to the 450mm wafer size transition and are working with ISMI to realize a 2012 pilot line target date.

450mm equipment performance metrics have been developed by ISMI,

based upon ITRS specifications for 32nm and 22nm process generations.•

“32nm

DRAM stagger-contacted Metal 1 half-pitch”

tools starting in 2010 for use in the consortium

“22nm DRAM

stagger-contacted Metal 1 half-pitch”

production capable tools for use in IC-maker pilot lines starting in 2012.

ISMI is actively engaging with process and metrology equipment suppliers to discuss opportunities to realize 450mm Test Wafer Generation capabilities and critical tool demonstrations.

Page 62: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 62

The ISMI 450mm Interoperability Test Bed (ITB) is generating critical results:–

10mm wafer pitch handling is achievable, using 2 different wafer

handling solutions

Single crystal wafer gravitational sag is less than polycrystalline wafer sag, using multiple 450mm carriers

ISMI’s

Wafer Loan Program has loaned 155 wafers critical to the development of 450mm equipment, and the wafer loan program has expanded in late 2008 to accommodate increased demand.

Summary, 450mm Transition (continued)

Page 63: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 63

ISMI 450mm Program –

Next Steps

Looking ahead to 2009, ISMI’s

450mm Program will include:–

Enabling the supply of 450mm single crystal silicon to continue 450mm development

Clean room evaluation of 450mm carriers, loadports, and EFEMS

EHS guidelines with an emphasis on “Green Manufacturing”

Development of test wafer and metrology capability and demonstration test methods

Proactive evaluation of 450mm operational challenges–

Industry coordination and communication to enable the 450mm transition

Page 64: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

3 December 2008 64

For more Information…

This presentation material will be made available for download at the SEMATECH public website: www.ismi.sematech.org

-

Industry Resources Next Wafer Size

To initiate individual discussions with the ISMI Team, please contact:

Tom Jefferson ([email protected])450mm Program Manager

Page 65: ISMI 450mm Transition Program Update - SEMATECH · 2008 Program Overview and Technology Node Intercept Strategy

Accelerating Manufacturing Productivity

Copyright ©2008 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

Thank You !