opc flare and optical modeling requirements for...

14
OPC flare and optical modeling requirements for EUV Lena Zavyalova, Kevin Lucas, Brian Ward*, Peter Brooker Synopsys, Inc., Austin, TX, USA 78746 *Synopsys assignee to IMEC, Leuven, Belgium B3001

Upload: others

Post on 24-Jun-2020

9 views

Category:

Documents


0 download

TRANSCRIPT

1

OPC flare and optical modeling requirements for EUV

Lena Zavyalova, Kevin Lucas, Brian Ward*, Peter Brooker

Synopsys, Inc., Austin, TX, USA 78746*Synopsys assignee to IMEC, Leuven, Belgium B3001

2

L. Zavyalova et al., 2008 EUV Lithography Workshop, Maui

It is now widely considered a requirement that EUV production mask flows will need to employ optical and process proximity correction (OPC). OPC will be required in order to compensate for: very long range and substantial percentage layout dependent flare effects;imaging effects due to non-telecentric imaging optics; mask 3D effects caused by the large mask absorber topography relative to the illumination wavelength; complex resist behavior effects; and across-field changes in wafer pattern due to the azimuthal angle of the optical axis changing across the field. In this study we investigate OPC requirements for the 22nm logic node assuming an EUV patterning strategy. We present accuracy vs. runtime analysis results for OPC modeling requirements of EUV optical systems where we show the comparison of OPC model fit to rigorous EUV traditional lithography simulation results.

Keywords: EUV modeling, EUV OPC, 22nm logic

Abstract

3

L. Zavyalova et al., 2008 EUV Lithography Workshop, Maui

• Determine impact of realistic EUV optics & masks on 22nm and 16nm node patterns

• Understand requirements for mask compensation of EUV optical effects on 22nm and 16nm node patterns– Mask write– Modeling– Correction software

Motivation

4

L. Zavyalova et al., 2008 EUV Lithography Workshop, Maui

Through-pitch optical proximity effect

Line width CD thru pitchSentaurusLitho (EUV)

Convergence betweenProGen and S-Litho EUV

Threshold‐to‐Size:Anchor S‐Litho ProGen % diff 25nm 1:1 0.261229 0.260155 ‐0.41%35nm 1:1 0.250489 0.249077 ‐0.56%45nm 1:1 0.225474 0.225093 ‐0.17%

• Kirchhoff (multi-layer) mask approximation• Aerial image CD

5

L. Zavyalova et al., 2008 EUV Lithography Workshop, Maui

Pattern Group N Mean Min Max RangeCorner Splitting 93 13.87 12.9 14.37 1.47H Shape 184 2.81 0.05 14.27 14.22Post Array 108 1.96 0.02 5.28 5.26Line End 52 3.63 0.02 11.51 11.49L-E Asym 164 2.4 0.05 6.09 6.03L-E In Bars 52 4.42 0.12 14.01 13.9Line Block 399 2.11 0.03 5.43 5.4Line Space 223 2.31 0.03 5.43 5.4Space Line 223 2.29 0.03 5.43 5.4Total 1498

Correction amount (nm)Correction amount (nm)

Model-based correctionEUV OPC calibration testpattern• Different 1d & 2d type structures• Pitch, line width and gap are varied• min cd=25nm, max cd=200nm• min space / gap=25nm

• Build OPC model• Apply OPC • Verify CD, check proximity effects

(iso-dense bias, line-end pullback)

6

L. Zavyalova et al., 2008 EUV Lithography Workshop, Maui

• Model ambit – region of influence of proximity effect (aka optical radius or sample area extent)

• Optical kernels in model – number of terms in the TCC for system description

OPC model description

0

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1

1.1

1.2

1.3

1.4

RM

SE

(nm

)

0 1020 3040 50 6070 80 90 110 130 150 170 190 210 230 250#kernels

0

0.05

0.1

0.15

0.2

0.25

0.3

0.35

0 5 10 15 20 25 30 35

Node16nm22nm

• Success criteria– 0.2nm RMSE 22nm node

(35nm hp)– 0.15nm RMSE 16nm node

(25nm hp)• RMSE vs. #kernels by node

– little change in fit RMSE with larger ambit

• Min requirement– 5 retained kernels– 0.3um ambit

7

L. Zavyalova et al., 2008 EUV Lithography Workshop, Maui

ϕ

θx

y

z

Stack simulation conditionsTa6N4 absorber 70nm (~ 5λ)ML stack: 40 Mo/Si pairs, Γ=0.725Quartz substrate

Reflected field amplitudeRigorous 3D mask simulation (S-Litho EUV)

ϕ = 6°

Mask topography effect

Wafer scale

Y [μm]

Z [μ

m]

NA = 0.25, σ = 0.5, ϕ = 6°, λ = 13.4 nm

8

L. Zavyalova et al., 2008 EUV Lithography Workshop, Maui

Shadowing effectAerial image @ center of the field

35 nm CDSLitho EUV result

ϕ = 6°θ = 90°

Shadowing for „horizontal“x-parallel lines

H-V biasPattern shift (~5nm @bf)

Effect varies across lens slit θ ≈ 66°- 90° - 114°

ϕ = 6°θ = 90°

See “EUV pattern shift compensation strategies,” Schmoller T., et al, Proc. SPIE Vol. 6921, 2008.

horizontal linevertical line

9

L. Zavyalova et al., 2008 EUV Lithography Workshop, Maui

Shadowing effect across lens slitAerial image @ 90±24° field angleCD change as a function of

azimuthal illumination angle θ 35 nm CDVertical

HorizontalFeature type

Orientation Nominal CD, nm

Incident angle θ

Meas CD, nm

dense

vertical 3590° 35.0066°, 114° 35.58

horizontal 3590° 36.8966°, 114° 36.62

iso

vertical 3590° 40.0166°, 114° 40.26

horizontal 3590° 42.1266°, 114° 41.76

H-V bias @90° (center of slit) is:• 1.9 nm for dense line/space• 2.1 nm for isolated linesH-V bias @90±24° (edge of slit) is: • 1 nm for dense line/space• 1.5 nm for isolated lines

10

L. Zavyalova et al., 2008 EUV Lithography Workshop, Maui

• 35nm line/space– 0.7 nm mean difference– 1.0 nm mean difference

• 25nm line/space– 0.1 nm mean difference– 0.7 nm max difference

Full 3D vs. Kirchhoff mask: comparison through pitch

11

L. Zavyalova et al., 2008 EUV Lithography Workshop, Maui

• 0 – 16% (absolute) flare• 35nm and 25nm CD, 1:1 dense and iso•

CD sensitivity to flare

See “Flare compensation in EUV lithography,” Cobb J., et al, EUV Symposium 2003. ( ) TFFyxIyxIF ×+−×= 1),(),( 0

%nm 7050dd ..FCD −≈

S-Litho EUVVertical L/S, θ=90°

12

L. Zavyalova et al., 2008 EUV Lithography Workshop, Maui

• 0 – 16% (absolute) flare• 35nm and 25nm CD, 1:1 dense and iso•

CD sensitivity to flare

See “Flare compensation in EUV lithography,” Cobb J., et al, EUV Symposium 2003. ( ) TFFyxIyxIF ×+−×= 1),(),( 0

%nm 7050dd ..FCD −≈

S-Litho EUVVertical L/S, θ=90°

13

L. Zavyalova et al., 2008 EUV Lithography Workshop, Maui

• EUV “optical” proximity effects considerable at 22 & 16nm nodes

• OPC simulators provide very high optics accuracy with few numerical terms

• 3D effects across-field are moderate and can be accurately compensated for with rules

• Flare has only minor dependence upon pitch and feature CD• models needed to calculate and correct

Summary

14

L. Zavyalova et al., 2008 EUV Lithography Workshop, Maui

Acknowledgements

• Thomas Schmoller• Hans-Jürgen Stock• Stephen Jang• Jerome Belledent• IMEC EUV Program