introducere in microprocesoare_part13

6
sEI-EC1'1, si" naultipiexorul (rIL LTIPLEXLIT). -I'ra*_sr_tr.Lrr a r5 bit:i rntie.regisrrele Jc aclresare si exterior se face p.i" ini.ir*dl-l ,....iti* dc incre*ren ra'..-r{1.crc'relrrare {trCnrrrtiii E R; Ir;LRE}rtr\-f ER ADIIRESS Lltr'{-'i{l.rai-.'_re rinctrril ror sint conrct:rti. crr r.cq.istrur iam_ pon ri ;rtires..i r ',DDliH:s j3r l-i.'l:it, p,:i ,, ;.; . - . r,,,1.. '.,,'r'l,,','r;.'..." cu nragistrala oje aclrc"re (ADDIftrSS'tit S;. L-nitatea ar-itineticl-r .,si iogici (ALL; co*ti'. Lili --Lrrir.rtui. paralctr d. b bi'i, l;r t tr,, :int lrrJr-t-,.: - r1n acllmr,ilaror ilc Il biti (_{(,Ct_'lIL-L,\i.Ojll: - un acrlm*laror t.irporrlr clc' s biti {ACat \lL i,-r t'cltr 1..\-fL-H); - un relistl il pcl]trri piL.trare:r tr.ml).rarar a unui o|ifi1ni1 (I.trtttr. Itnlt;.) ; -- 5 bistabile . (farrioan9l. ,1c colrliri6 (!'I_.\(; l.- l_Iir_l..l.OpS) cu urm.itoarcle denunriri (semnific:r1ii) :.:,ero (Z), tr.aiispoit ifa f l, .,-r"r, , ii, liilitric.(/';.i :rrl)rpoll arrrilier. 1 lf , - r-tn circuit logit dt' .orertie lr.tttr.Lr rnochrl c1.. lr-Lcm in corl zccimal- binar (BCD) rt sumator:,.rhii ir_rfc-lrr_rr. jni.sL,f . sumatorul cste conectai ia acnmur:.torui tcrniroi-ar, r-r.gistr.ur ti:m* Porar si bistabilul de tran.-spor-t, r-e-zrltatr-rl .rn.,i.,p'.,i,"il iii,ia po_.ibi1 :r. sc introduce prin 'rLgistr-ai. irlrc'.ri in ac*nrr-rrator.. Fe durata cichilui, clt ,! It=l-CH,. l)r inrul octet al r.rnti ilsrr.lrctiupi estc. adus dinmeurorie si iirtlotrr-rs in regiJrr.riJ de instr-u,,ri.r,ii rl(.ii:nt:cl-[oN SEqI-Sf-E5-): :{t9:tr..qi'iiit "'i.l"n."tnt la chcoclifir:a1p1.,1 dr. ir-rstr-uc-, fiuni (i-NS-rR{-jcl'Io\- fltlcoDiiR), ale ci.rui icsr' ,.i-'iombiiiar cu semnalele de- tact or li 1.,..*?j9_!c,sen9Latoni1 rle ri,;rr, tr;,.l;;u.LEL,nera prirr rrnita{,'r dc co-rrii.,l r l'l\ltrr; r{n'iiiri rri,i], ]. ,,',1 .o,,,.,,ri1, lll'cesare functioniirii coLtctc a rni'roproc..oa.,i,ri, 1rta",,rrr-t' ;,i srrinnalelc, rit' cotnandi-L si contl.ol lr,.:rlrri ,.r,, ,i,rr-. Senrnalel,- dr' cor.rLrrri:i :.r.., on.L.r'uJ pi-ilnitc ii ;, r .r-.r- .1., nri\.ro;)r.{)_ Ct":0t" ?U rrrmitoai-elo :,.rrrrrilicl,lii: - <Dr, {D., semualele clc oroioglirL (r:lock, tirct) clirl r::itcri.r; - SYNf , :nmnaitil ,1,. -j11' '.,,r,r.i;.,, {-(.!:,.t.:rr rl,, :rrir.ru:)t,,, !...n,. pt.:1. cAl-Lr lic specificiL incepill;ul {ieciLr.ui ..,ic1u'ide) "r*;i,ri, 1',,'.fl,r..r^ 5:.iiiil:i.. lrrl::i S\'\r',rrricr,prucr,,:r,i ,1l .rPli,. 1,, :.,,rgi-ll.;,1:r ,1,.' :,.;, ,.,,, r..i,:l til, :1ri" (r1. rnctrci lipirl ,,r,cr .,li.j ,l l'..c{rr:tt irr ,.., Irl nr ;i... ,.,.11,.t.1ir.y. ^^- - , _,O.._l.-1,\ (P\_l1,Bt. S i];pU1'), sernnai telrcrer- d,r '-.riclonrroces.rr caJ', 1,;tc't iI,r-l'zi Ci mie ,'tr1,t'O,..j01-trt JrO,riC 1rr, lrrr ,] ,:,,i,. rIij. 11, 1,t. ltt:r_ gist::alu <1r: riatc de ciire mi:rror-it 'r^" pirli."i.,. ;" ' 'r " " - WR.(WfR{Tlt, actir-in :_rtart: ;tero) scrnuatr gel:rta1. cr,'sor carc inlonneirzI rnerruoria so,., D"rlifc.iccir. f i .]ata ptP p-.. magistrala cle datc ltoair: fi citit:i; - IIEADY, semn:rtr c,\rfrn ,:.trr-, pr.ir] valoarea ,[i ADy : l , jnfor_ ITl..Z,"r microproceso'rrl t'i,''l;'.,.,.t,i1i este a.c.,,i1il i li . r i)."rrr.r_ gi-tr.:rla de date: 744 - l\'-\IT,'setlual gencrat r1c' g.I' prin ce'Ltc' sc inclicir {aptr-rl ciL stl' ii-ii'i{pt:1 o dziti- dc la nemorie siltt dispozitir-t'le .per-ifcrice; selnnalul \\' \I i' .let-inc actir- clupli ce se prime;16 de ia niemoric s,lrnnalul Rl.-AI)\' . r.i) {ace--ita este cazul citilii -n'rcmoriiior cu timp c[c-' :rcccs tnai rnrtr.' ,l , 5il0 ns) ; - HOLD, sctnDal cmis cle tln di-*pozitiv peli{crit: priu care sc cere cii ,;.1' sir clibei'eze magistralele (bulferclc c1c ie^;ire :'"lc microproceso- rLri,.ri sint trecute in starlla cle inaltiL impc'dan!ir) . in atlest caz, ma8istra- Ir1,,' ,-ir.lt adrninistratc dc ciitre perift:ric in scopul utrui iLcces direct 1a mrmorie DltA (Direct Accerss Nlerrorr') ; -- HIDA (I{OID ACK\0\\'LED(;It) 'semtral ct-nis tlc p'P prin L-ili.L. a.L'itiL cou{irmir trr:ccrea bu{fcrelor cle nragistralc iil stari:a dc' rnalt'-l illiit:d:ln1ir (ca r,rrmare a prirnitii sllnilalului IIf)l-I)) ; -- I\I (INTEltRtrP'I REQtjES-l), semua1 c-rtcrtr crnis de ciLtre ull pcr."iteric prin care acesta ccre intert'cn[ia pI]: . - il{TE (INTflitltl PT E\AIJLII) setnttal al unui lanion din mrd:roL')i'ocr.sor rr:rl'c indicir posibilitatt'a (I\1 E.- I ) :;au imposibilitatea (n\ X'tr :lr) cle intrenrpel'r' il mictopro(-r'soruhii la primilca. tlncl cererl de intrex'r-1pere (I-\T). Fanionul IN-fE po:Ltc {i corri:r-ndat prin program; - RESET, sehrral crterior (de inifializ:rre) carc aclucr: uumiiri- tori-rl ,ie arLrdse 1ar zcro, deci microprocesorttl r-cizr rr-rlalea plogramulrli cle la adr.i'sa zcro {acest semnal ptrnc pe zcro ;i st-nnalclc Ir\lE ;i ilt.DAr. Sir,.rctriia unei instructiuni :rcceptatl c'lc u.P f]080 t'sic (in princi- tr;iu) ac,r,:a.i ca cc;t di1 ligura 1.1 , tl', contine r:n cinlp al coclului opera- iiel 4OfCOilE) si un cimp al opei'iindului s:nr adr"esei. I)coarccc se lucreazri cr.r. i'trr"intc c1c date de un bait o instrucl.iunc de un llait nu al' ftltua r:oniiq,-'etit OPCOI)E, cit;i dilta; iIr consecint:"i sc utilizctlziL instrtLc- !inrri cLc doi li:ri1i, fiilura 5.2,b, in carc primul bait estc codul opcratiei , ial ul rioil.'a cuvintul de clalr,'. I-a fel, elind i:uvintul cle dati cste dilblu ]1i1it. r'11 ti n,lctsarir o instrucl,iunc clc trei baifi, ca in ligura 5.2, c. Instruc- tiiiiri:a cr,trci baifi csic treccsarir si cincl sc indich aclresa operanclului {arircsa l:} nrrmorie sc rcalizc'azi cL1 url clr\int t1-c 15 biii, 2 baili). Instruc- r,iunile cu r,rn,-rinrp de irn bait, ligura s.Z, o, t:rplimiL lttit cociui opcra{tet, cit si ucil.rsir opc,rianilului;se pot lealiiza:lcestc'clor.rir e-rpriil-rirri cloar cu S iri1,i, tli:oarectt in accsl ciLz aCrcsa cstit a untti registru interioi iniclo- 1-r1'1,rt1r:()1-u1u:i (nn ;r unei loca!ii rlin mernc.i-ie) . lSaitii instn.rcfiurtii sint in:ilisi in loca,tii de rlenoi'ie cu a-dlesc sticr:csir-e, ilclri::;a plinrului bait e-ctrr ilLlrt:iii instructir-inii. Aducc.ir-.:r ficcirlr.ri bait al instrucfir-urii ticce- sit.:i ,-r adrc:rr,Llr- (citirc) a nemorici, c'icci o itrstructiuue cic un bait se poate -;punc ct't necesilir lru ciclu instructiunc'r:am di'ti:ci Ot'i ritai scurt rXecifi '.aila ci,: trei baiti;i cr-idcnt ocr-rpi. in trernorit'rin spatiu de trei clri nlai r.iric. Inr:rrlalul d,r' tirnp ltccesar ploce,uorr,"lni tic a schirr- br. informa{ia <:r,r c:'rterroi'r.ti este elcnumit cititt r;ta5i;ta, ligllr';t -5.i. ErecularL'iI ullt'i \ I il't iIi{tio. )f/.r- Ij)t1(- I t.{ ri,. 1lJ

Upload: mesuzana-1

Post on 10-Feb-2016

233 views

Category:

Documents


0 download

DESCRIPTION

21

TRANSCRIPT

Page 1: Introducere in Microprocesoare_Part13

sEI-EC1'1, si" naultipiexorul (rIL LTIPLEXLIT). -I'ra*_sr_tr.Lrr a r5 bit:irntie.regisrrele Jc aclresare si exterior se face p.i" ini.ir*dl-l ,....iti*dc incre*ren ra'..-r{1.crc'relrrare {trCnrrrtiii E R; Ir;LRE}rtr\-f ERADIIRESS Lltr'{-'i{l.rai-.'_re rinctrril ror sint conrct:rti. crr r.cq.istrur iam_pon ri ;rtires..i r ',DDliH:s j3r l-i.'l:it, p,:i ,, ;.; . - . r,,,1.. '.,,'r'l,,','r;.'..."cu nragistrala oje aclrc"re (ADDIftrSS'tit S;.L-nitatea ar-itineticl-r .,si iogici (ALL; co*ti'. Lili --Lrrir.rtui. paralctr d.b bi'i, l;r t tr,, :int lrrJr-t-,.:- r1n acllmr,ilaror ilc Il biti (_{(,Ct_'lIL-L,\i.Ojll:- un acrlm*laror t.irporrlr clc' s biti {ACat \lL i,-r t'cltr 1..\-fL-H);- un relistl il pcl]trri piL.trare:r tr.ml).rarar a unui o|ifi1ni1 (I.trtttr.Itnlt;.) ;

-- 5 bistabile . (farrioan9l. ,1c colrliri6 (!'I_.\(; l.- l_Iir_l..l.OpS) cuurm.itoarcle denunriri (semnific:r1ii) :.:,ero (Z), tr.aiispoit ifa f l, .,-r"r, , ii,liilitric.(/';.i :rrl)rpoll arrrilier. 1 lf ,

- r-tn circuit logit dt' .orertie lr.tttr.Lr rnochrl c1.. lr-Lcm in corl zccimal-binar (BCD) rt sumator:,.rhii ir_rfc-lrr_rr. jni.sL,f .

sumatorul cste conectai ia acnmur:.torui tcrniroi-ar, r-r.gistr.ur ti:m*Porar si bistabilul de tran.-spor-t, r-e-zrltatr-rl .rn.,i.,p'.,i,"il iii,ia po_.ibi1 :r.sc introduce prin

'rLgistr-ai. irlrc'.ri in ac*nrr-rrator..Fe durata cichilui, clt ,! It=l-CH,. l)r inrul octet al r.rnti ilsrr.lrctiupi estc.adus dinmeurorie si iirtlotrr-rs in regiJrr.riJ de instr-u,,ri.r,ii rl(.ii:nt:cl-[oN

SEqI-Sf-E5-): :{t9:tr..qi'iiit "'i.l"n."tnt la chcoclifir:a1p1.,1 dr. ir-rstr-uc-,fiuni (i-NS-rR{-jcl'Io\- fltlcoDiiR), ale ci.rui icsr' ,.i-'iombiiiar cusemnalele de- tact or li 1.,..*?j9_!c,sen9Latoni1 rle ri,;rr, tr;,.l;;u.LEL,neraprirr rrnita{,'r dc co-rrii.,l r l'l\ltrr; r{n'iiiri rri,i], ]. ,,',1 .o,,,.,,ri1,lll'cesare functioniirii coLtctc a rni'roproc..oa.,i,ri, 1rta",,rrr-t' ;,i srrinnalelc,rit' cotnandi-L si contl.ol lr,.:rlrri ,.r,, ,i,rr-.Senrnalel,- dr' cor.rLrrri:i :.r.., on.L.r'uJ pi-ilnitc ii ;, r .r-.r- .1., nri\.ro;)r.{)_Ct":0t" ?U rrrmitoai-elo :,.rrrrrilicl,lii:

- <Dr, {D., semualele clc oroioglirL (r:lock, tirct) clirl r::itcri.r;- SYNf , :nmnaitil ,1,. -j11' '.,,r,r.i;.,, {-(.!:,.t.:rr rl,, :rrir.ru:)t,,, !...n,. pt.:1.cAl-Lr lic specificiL incepill;ul {ieciLr.ui ..,ic1u'ide)

"r*;i,ri, 1',,'.fl,r..r^ 5:.iiiil:i..lrrl::i S\'\r',rrricr,prucr,,:r,i ,1l .rPli,. 1,, :.,,rgi-ll.;,1:r ,1,.' :,.;, ,.,,, r..i,:l til,:1ri" (r1. rnctrci lipirl ,,r,cr .,li.j ,l l'..c{rr:tt irr ,.., Irl nr ;i... ,.,.11,.t.1ir.y.

^^- - , _,O.._l.-1,\ (P\_l1,Bt. S i];pU1'), sernnai telrcrer- d,r

'-.riclonrroces.rrcaJ', 1,;tc't iI,r-l'zi Ci mie ,'tr1,t'O,..j01-trt JrO,riC 1rr, lrrr ,] ,:,,i,. rIij. 11, 1,t. ltt:r_gist::alu <1r: riatc de ciire mi:rror-it 'r^" pirli."i.,. ;" ' 'r " "

- WR.(WfR{Tlt, actir-in :_rtart: ;tero) scrnuatr gel:rta1.cr,'sor carc inlonneirzI rnerruoria so,., D"rlifc.iccir. f i .]ataptP p-.. magistrala cle datc ltoair: fi citit:i;

- IIEADY, semn:rtr c,\rfrn ,:.trr-, pr.ir] valoarea ,[i ADy : l , jnfor_ITl..Z,"r microproceso'rrl t'i,''l;'.,.,.t,i1i este a.c.,,i1il i li . r i)."rrr.r_gi-tr.:rla de date:

744

- l\'-\IT,'setlual gencrat r1c' g.I' prin ce'Ltc' sc inclicir {aptr-rl ciL stl'

ii-ii'i{pt:1 o dziti- dc la nemorie siltt dispozitir-t'le .per-ifcrice; selnnalul\\' \I i' .let-inc actir- clupli ce se prime;16 de ia niemoric s,lrnnalul Rl.-AI)\'

. r.i) {ace--ita este cazul citilii -n'rcmoriiior cu timp c[c-' :rcccs tnai rnrtr.',l , 5il0 ns) ;

- HOLD, sctnDal cmis cle tln di-*pozitiv peli{crit: priu care sc cerecii ,;.1' sir clibei'eze magistralele (bulferclc c1c ie^;ire :'"lc microproceso-rLri,.ri sint trecute in starlla cle inaltiL impc'dan!ir) . in atlest caz, ma8istra-Ir1,,' ,-ir.lt adrninistratc dc ciitre perift:ric in scopul utrui iLcces direct 1a

mrmorie DltA (Direct Accerss Nlerrorr') ;

-- HIDA (I{OID ACK\0\\'LED(;It) 'semtral ct-nis tlc p'P prinL-ili.L. a.L'itiL cou{irmir trr:ccrea bu{fcrelor cle nragistralc iil stari:a dc'

rnalt'-l illiit:d:ln1ir (ca r,rrmare a prirnitii sllnilalului IIf)l-I)) ;

-- I\I (INTEltRtrP'I REQtjES-l), semua1 c-rtcrtr crnis de ciLtreull pcr."iteric prin care acesta ccre intert'cn[ia pI]:

. - il{TE (INTflitltl PT E\AIJLII) setnttal al unui lanion dinmrd:roL')i'ocr.sor rr:rl'c indicir posibilitatt'a (I\1 E.- I ) :;au imposibilitatea(n\ X'tr :lr) cle intrenrpel'r' il mictopro(-r'soruhii la primilca. tlncl cererlde intrex'r-1pere (I-\T). Fanionul IN-fE po:Ltc {i corri:r-ndat prin program;

- RESET, sehrral crterior (de inifializ:rre) carc aclucr: uumiiri-tori-rl ,ie arLrdse 1ar zcro, deci microprocesorttl r-cizr rr-rlalea plogramulrlicle la adr.i'sa zcro {acest semnal ptrnc pe zcro ;i st-nnalclc Ir\lE ;iilt.DAr.

Sir,.rctriia unei instructiuni :rcceptatl c'lc u.P f]080 t'sic (in princi-tr;iu) ac,r,:a.i ca cc;t di1 ligura 1.1 , tl', contine r:n cinlp al coclului opera-

iiel 4OfCOilE) si un cimp al opei'iindului s:nr adr"esei. I)coarccc se lucreazricr.r. i'trr"intc c1c date de un bait o instrucl.iunc de un llait nu al' ftltuar:oniiq,-'etit OPCOI)E, cit;i dilta; iIr consecint:"i sc utilizctlziL instrtLc-!inrri cLc doi li:ri1i, fiilura 5.2,b, in carc primul bait estc codul opcratiei ,

ial ul rioil.'a cuvintul de clalr,'. I-a fel, elind i:uvintul cle dati cste dilblu]1i1it. r'11 ti n,lctsarir o instrucl,iunc clc trei baifi, ca in ligura 5.2, c. Instruc-tiiiiri:a cr,trci baifi csic treccsarir si cincl sc indich aclresa operanclului{arircsa l:} nrrmorie sc rcalizc'azi cL1 url clr\int t1-c 15 biii, 2 baili). Instruc-r,iunile cu r,rn,-rinrp de irn bait, ligura s.Z, o, t:rplimiL lttit cociui opcra{tet,cit si ucil.rsir opc,rianilului;se pot lealiiza:lcestc'clor.rir e-rpriil-rirri cloar cuS iri1,i, tli:oarectt in accsl ciLz aCrcsa cstit a untti registru interioi iniclo-1-r1'1,rt1r:()1-u1u:i (nn ;r unei loca!ii rlin mernc.i-ie) . lSaitii instn.rcfiurtii sintin:ilisi in loca,tii de rlenoi'ie cu a-dlesc sticr:csir-e, ilclri::;a plinrului baite-ctrr ilLlrt:iii instructir-inii. Aducc.ir-.:r ficcirlr.ri bait al instrucfir-urii ticce-sit.:i ,-r adrc:rr,Llr- (citirc) a nemorici, c'icci o itrstructiuue cic un bait se

poate -;punc ct't necesilir lru ciclu instructiunc'r:am di'ti:ci Ot'i ritai scurtrXecifi '.aila ci,: trei baiti;i cr-idcnt ocr-rpi. in trernorit'rin spatiu de treiclri nlai r.iric.

Inr:rrlalul d,r' tirnp ltccesar ploce,uorr,"lni tic a schirr- br. informa{ia<:r,r c:'rterroi'r.ti este elcnumit cititt r;ta5i;ta, ligllr';t -5.i. ErecularL'iI ullt'i

\

I

il't iIi{tio. )f/.r-Ij)t1(- I t.{ ri,.

1lJ

Page 2: Introducere in Microprocesoare_Part13

'une de trei

D7 D6 D. D/ D3 D2 D1 D.nsi rucli, bor+

J7 D6 D5 ,t/ D3 )2 D1 Do

rt IDa Dq D,J Dr r '"'f r.

Inst r ucl

D7 ?s D5 D, D3 D2 01 Do

Dz lDs D5 )4 D3 o2 D1 Do

CO DULOPERAI] EI

{OP cO;Fr

q )uLPE^hATIi.I

P CO_r:)

Dil\

cJ

baifi (b); de

CO DULOPERATIEI

DATA"

SAUADR E SA

trei baifi (c)

:r ltri (D2 ;i il anuleaz[ pe frontul de cre;tere tot a lui 02, dar din star"ea/'". Pe durata semnalului SYNC, din fiecarc din cele cinci cicluri ma;in5,l)osibile, microprocesorul genereazS, rn cuvint de stare ce indicX (spre,'rterior) ce fel de operalie se reali.zeazS, in ciclul ma;inl in.eurs. Decinrirgistrala de date este multiplexatl intre. cuvintril de stare ;i datele,lc intrare sau iegire din microprocesoi. Peiitru ciclurile ma;ini si ciclurileiristructiune se pot scrie relaliile dc timp:

r'oplionale\

CICLU MAgIt{A : Tt * T, + fr; .+- Ta * ?;TT,cICLU /I/STRUC TIUt{E : nIr * M, t M,I II+ * Me:

Drax 18

: 51 7'"./-J

1

(s. 1)

Penlrg gelerarea semnalelor de tact @1 ;i @2 se utilizcazi un cir-cvit 8224 generator de orologiu (integrat separat de 8080), figura 5.4, a,care poate fi realizat si din componente separate [2]. Circuitul BZZ4mai produce pentru semnalele de comandS" aplicate din erterior RDYIN,ffiSIN (prin intermediul semnalului dc clock @2, validate de acesta)comenzile pentru microprocesor READY, RESET (ca semnale). Tot-odat5" mai produce semnalul pentru strobarea cuvintului de stare

Fig. 5.2.

b)

Structurl de instrucfiuni: cle un bait (a) ; de doi

-Fig. 5,3. Ciclul instructirrne o"roru,.,,,o,i'ili S","'::

" ;,"#

0,"; fil#: l' 11,1", j' ii;.

cicruri ma gi ni care

irrstructirrni (cicrw instrttc.!irtne) in funclie de complexitatea sa, nece_sitd de ta unu nind la .lr.i'.i.friii'ilaginn (!4r, M.r, IVI3. Mq, Mo\. Larindut sru fiecar'e;t:*;;;;;'-:i:'J-',r. drn trei pinr. ra cinci stiriTr, Tr,7",7,. T.; o stare,fiini"a"ti",ra ca.o perio;dd" a semnaluluide tact @' (de uilou." -i''i*J'isd';;),--1" pri,oi;-;;;'Jta.i rr, Tz, T"ale primului cicrrr,-4ine-.n{'rreitHi

riri*,ii'u"it ii rnsrructiunii(oPcoDE) este adus a,,, ;;;".r""io' ."giit.ur de instrruc!iuni, siareaJ n este utirizaia nentru.decodiii.rl."."aului instrucfiunii. Daci instruc_. tiunca estc comi,usi dintqun'ri"S;,r'f"it.este rr";";;;; -qi.rtor*u f,pentru execufia i..,.r"ir,'i".T'.i.i.li"ill.lucfiune

necesiti un srngur cicrunla;r',' ce consurnS- cinci stiri-"'o".e inrti.,.tirrn""-*.ie*compus,. dindoi.sau trci baiii, a'_pe iiir'i rl n"g?Tg ("J;;;;;;:;i;r;i in,t,,,ctiunii)mar cste noccsar i'r1q,,rn, sau doni ci.tu.i r;;i;; ri"i"?"'li.ru ma;i'idin celc cinci nosibiie rearizcJzi'l'"nr,n'it;, opera{ie expirmati orincurintirr crc staic (citire, insc.ieie "tJj. a."rt" bp"rulii-sint irrrintuitein cadrul cicrului instrucli'ne i"'r"r,ii,. de tipul instrucfiunii, dar careguii se srie .n ,lig*"i;";J;;il;iru .o care incepe insrructiuneaeste I''ETCFI. pcniru fi":3-r."-^ci.f,, ,nu;ind micropro.6.orrrl ge*ereazLsemnatur de sincronizare S\-NC'" p.i#; stare T1 i- i;;;;ri de crestere

146

a)

R=.II! {RESET INPUl)RESET (RESET)

;IDYIN (READY INPUT)RE^DY iREADY OUTPUT]:.I,NC ISYNC INPUT)

sistE lstarus srRoe)

fi,0r loceo clocxsldi(i'iri iC-ocl(, Nl\rEL TrL )

F'ig" 5.4. Circuit generatorb - conectarea generatorului

0sc

6irt)RDYIN

CRISTAL

r?'" IJ11

6

3 82?1

?

7

10

\

5

,2\rt\23!12 8080

btREADY

R-EStNRESET

SYN C

tru reoducer:ero(RESEITIALIZARE]

of;u |mnspre crrcurtul 8228

b)

r I cor'r ecranea2 ) . cRISTALULUI

{UTILIZARE PENTRU ARMONICI

A'.E CRISTALULUI)

irrsrRe .dsctL-aroRl=.5V

- 0v'

RES ET

IIESIN

RDYIN

READY

SYN C

02(TTL )

sTsiaGI'J 3

vcc

X TALlXTAL?

TAN K

0sc0r

0zVoo

IF{/ r

L/Buion penLr odreso

IN I-

XTA L

XTA L

TANK

0scvccVlOGND

c)

de orologiu 8224: a - clenumireade orologiu 8224 la rnicroprocesorul

mnernonicelor semnalelor

-conexiunilor Ia pini;8080; c - explicarea

:i_:1lrJCr it t. r/r)r'catE PRr\rJLBYTE {c0DuL corr^r, e, J rura*

ru

t47

Page 3: Introducere in Microprocesoare_Part13

;J"i":i, Hrft,Tti,- i1'pulsului sr\c'. Cotectareti se*craron.*ri:i de

de la'bo.n-, , ;1 ;'li:lJ"?['li; till'r"i,", sernrririceila""l,,,' .' .' oq".

. )[irroproccsor.rr] Soc0 ^,;' ,1.. i'ii,,ri Oif.i,ii, rlr, olrr 1,1Lii ."...rc_t'lzate fi,'care ,l,,rrrr t-rrrinr-,1;-:; ,,.,'1'rtn.tirnr.nn r:nei

,i,'r.i,.'l.,,.._.,scr''duce la inlinlriir,.a ;{ nra\irn r-ir--, i tlin 1.,,rt,, op,,..ii.i it;,.,,r, c,;. ^rirett-ctuindu-sr' r': trr'ata unui . .i"'*"9i'i). c.r'iutri]'cit'staie, i..L c{ri-rs*puiidc cicr*rrri'rnasirri.i,r ..rir, .,.i"'.iJ"i;t cic iricro';;;; pe ii,.r..tascninalul'ui S\ \C.',1,,t,ipi.,'r', ,," ,,i,,";.,rrl-r ,l ',

1.,,f,,, ".',,t, .r,jctS_lralr cin dl1r, ,,.n li f,.1,,.,1-, i,,,,., i.,, r, J,i"rrjll., :, ,:.,.,.,r.

,i ,;.j,i . . , .: 1.r,

l"l';il,l1 l;1.i;,,lt,i', '::,,ill{: '""'"llli'

I rr" : r,',' r,,' i,',,,1 , , :r.,,'r..r,.,, rr"i,,,i; ,,i;,,j,,.",1,.',11,T, i"l'li,''';l: ' l irli >1 .i ,,,,-, l: :" ,i,se p{.,c.,.1;4i..i,-i,.i,ii

i,,t.=s.r,;''s;;'j; .,,,."':.,:;: ;::r:;irii,,l;.ii.,,.,,iii..,jr-i inlri.,:ii-:ii.'..'],..i;. , _i. :i "i taial, t,, ,.,,,u ",,, in- ,l tl, :il . ._.... .- ,.illcarcce ciin ciir.iir1,,t ,i, ,ir,. i,,'S,!:i',* -\.oi. obiine anurritr, s,:nrl-rirle

,l r',,1,11i1'si, :icesi cilcuit este clenumit si ct,tttyot"ey di sisletu. -\Iicropr-o-i.' ',,rril .iusO, irnprcurLi cu geneia.torul ilc or.logiu g22.1, ampiificatorul,!, qr.igistialir si contl'olrr clc sisten EZ2s, ionntlazi rnocului'cLe unitate,'r'r'ril:t de pioces:u., !ryy !-!..n1131 Frocessin-{ Unit). La micropr-o_r .1:i1'''l'' rr.rai rccentt: {808.r, Zlil, -\I6E00 r:tc.) rirociuld flpl; este i-ea-lr.'.rrr i,: irli-i :ri1lg'iir cii'cLrii intcgrat. [)e drl-air. i,rr1:r1:.rr1ui 5\-_\ c cuvintn]rlr'::ta.fe Eenerat dr.: c!0gt-) pc magistriLla dc date c"tte inscr:i;_in coniro-i' r'ii '.1': sis1.'.:ni s228, cale estr: r:'niiciat (,.trrrl ,rr ) tlt r.iir.c :.r,r.o1ra1ld .lest|iri, liT'S.[B (ST-it i.:i S?"I{OB) produs de sz2-1.

'Lx"licatii1c pentrr-r ilnetlonici-,lc biiilor clin r:ur.iltrri cle start siltir, :l,Lna 5.0:

.trNTA* (II-TLRRLPT ACKNOTiLED(;ij) De. Este bitul carei;rdil.r. taptul ciL o intrerupere preze-ntatir microprocesorului a fost accep-iiir.ii_Li nr-r.mai dac'.1 fanionul intern de' atLtolizarca intr-enlperii are lalo?r-rca {\-l'E:1). Acest bit apare in ciclurile masiniL IN1'REI{LipBRrisi i:rt:.rupere din hait (HALT INTRtrItL-ptriiE) ir-r cursul acestortlnr'lri ,'le cicluri prin conjugarea h-ri J)o cu f-BI\ sc obtlnc un semnal

I

__!::]ii: :i

il

-',..,.---___*, I'r

_ .- ___ _> t.,

> It{li::!tiri

IIPURILt CE Ctciupt vastr,r,',

Fig. 5.6. 'il:r'brl'..i cclor zece r:lt.'iiL1e di. slatt gr,ltej.a',c tlr liticropritc:so::ire Curata ::ernnalui'i S\-liC ;j :;r,rtltal.,le uir]iri,.ri. I c.,,Lr-r ntrsi\ir.,t" j.

cor trol

* .llces[e trei ser]1lrale pot {i utiiizate peirtlu ccntloi,jl citirii dateior cle pe magistralade da,te c-i,iLre rnicroprocescr.

i: 'r :i

E;:,

"n 4B

?trig. 5.-i. -\trodut CpI.-

1{9

Page 4: Introducere in Microprocesoare_Part13

cucarese p'ate lncarca in'acumulator un cuvint de pe magistrala.dedate. Acest cuvint de pe magistrala de d;i. ;;; ;"*.fi il citre peri_fericul ce a soticit at intreruperla fiind co nsid;;"t ";"'rHi i-iJ ne srART{sau" cAL-L). De fapt,

".J.t ;;;i;I.lrtin* adresa srrbrutinei necesarepcrifericului solicitant :

- \VO (WRITE OUT'UT), D1. Acesr bit evidenliazi faptul cdciclul carc debuteazd va reafizJ' o 6peralie d" t;^;i;; llintorn-,"1i"1"^ll.l^'",:li-lf ,

in'p," c.xrerior. Ac"s'i" .i.juii ;;;;;;; j;nscrierii j'meirorre (strvd) sau Ia un periferic ;

- 9T4.qK, D2. Deoareie stiva se rearizeazd. in memoria externiacest bit diferentiazb".intre opcra(iile citire/ins*ierc ,iira si "p;;;ilii;inscricre/citire. memori". ln u'."r,' ii.r"' i"'*rsirir"r"' iJ lor".or" ."afl5. adresa virfului stivei; rLrorq uu

- I{LTA (HALT ACKN.*LEDGE), nr. Acest bit este prezentin cicturile de tio HALT txrnpntpEna 9i."-;;";;,^Lteriorurui:3^:*"r1pt-ocesoiul s-a brocat i;;;" eiecut[rii rrrrei ir,.t.ocfiuniHALT. Deblocarea procesorurui r" poui" f"c" fi"^ priili-o 'ir,t...,rp"."'externi" (dac' INTEj'), !" printr-o'comandi i" i'"iii"rl"r" Hns't;

-- OVT. (OUTPUT), Da.'Este pus acest bit cind se efectueazi" oopcratie de ie;ire ra un'periferic. intr-un urtfJ a.liJr,"'-"sir,rara deadrcsarc conline adresa perifericului, iui ."" de daie cuvintul transmisIa peri{eric valid in-momentul cind wR devine activ;^ . M-t,(Pl) Bitui al cincilea din cuvintur a" .t"r" apare totdeaunail.lltrt c_icru de'citire a instr,rciiu.,ii i'iertrir'"o^.J *'r" ;;.lr;ii;TNTRERUPERE, H{LT TNTRERUFdiG-fJ"i"".l'ii' u.".t"" ."citegte o instrucfiune RESTART sau-call (dupx cum s-a expricat rabitul D6) ;

\uulrq vur. r-4 t

- 11gp*(INPt T), pu. Ca ;i bitrrl Da acest bit ai ;aselea, Du indicilucrul cu perifericere, dar r*niut inloril"fiei este d" i;;;;i"ric ra pro_cesor, adicl de intrare. In acest cict" mngisd.-;;"4;;re conlineadresa perifericului, i?I- pe cea a" Jut. pErifericui t."r"i.-.a plasezecuvintul care si fie varid in mome'tui.i.,d p.o."r;;"i ;;ti'"";zb. semna_lul DBIN;;,\IErl'fR* (lftE${oit\- READ), D-. ultimur bit al cuvinturui crestare identifici. un cicru de citire a'nerio.i"i. i;]o;;"ti""iin -"-o.i"trebuie si fie validi" pe magistrala de a"t" r"

"p"i1ii"."-"Jr"r"i DBIN.Pentru'cele zece -cuvintl

de staie Jilerit" .b"t.'or"ioi^JJ Jrt"- pro_duce .printr-c logici interna cinci semnare dc control (care formeazr.p^ropliu-zis semnirere magistrarei d" ;;l;D. ;.;#;;L"i""a" controrsint [2]: " vl'l' rrLLJtL JLlrrrrdLr t

1- MEIIIR(MEMORY READ) este generat prin ecualia:

2. MEM W(MEMORYtrolerului tot TSL, apare in,,.i are ecualia de gener'are:

IVRITE) , este implementat la iesirea con-ciclurile'de scriere a memoriei in'starea T3

MEM W: D4.WR

r/o w: D4.WR

INTA - Do. DBIN

(s.2_b)

semnal cu ie;irea peperiferic, generat prin

(5.2-c)

un semnal cu iesireaunur perrterrc, generat

(s.2-d)

(5.2-e)"

3. IIO R (INPUTi OUTPUT RE-{D) esre unrrn circuit TSL, apare in ciclurile de citire a unuiccua!ia:

r/o R

4.lre W_ (rNpUT/oUTpUT tvRrTE) estepe.un circuit TSL, apaie in ciclurile de insciiere aprrn ecualla:

. 5. INTA {INTERRUPT ACKNO\\'LEDGE) estc urr semna-l curesrrea pe un circuit cu colectorul in gol,.care apaie in ciclurile de accep-?1" " unei. intreruperi, in scopul foitarii din ixterior pe magistrala &edate a codului unei instructiuni RESTART sau cALL. Elcuafia degenerare este:

imprementa t fizic,",,.u,Hff ;n**frpoarti.ciclurile cind se efectueazi. o citire lu'*"-oriu.

150

Se observS" cl semnalele magistralei de contror, in contrast cu infor-ry"|i" conlinuti. in.cur-intul de itare, inglobeazl atit informalia despretipul de ciclu,.cit;i relafii de timp, seleitind acel interval de'timp hincadrul ciclului carc este

" destina-t pentru transmitereafreceplionarea

pe magistrala de date a informaliei cetre destinafiile externe sau prove-nind de la sursele externe. Pe de alti parte, informalia conlinirtl incuvintul de stare este mult mai .bogatd,

-in sensur c5 din cele '10 tipuri

de cicluri posibile, numai cinci sint reprezentate explicit prin semndlele.ma,gistralei de control, unele dintre ete fiind suprapuse'din punct de,vedere al functionirii. m-emo-riei/dispozitivelor periferice, fi$ura 5.6.Dac5,-iineoii, este nevoie de un lit ain cuvintul db stare acesta trebuie,,,prins" pe durata semnalului SYNC si mernorat intr-un latch. \-

,Pentru o int-elegere mai amrnunfitb" a funcfiondrii microproccr lsorului_se va explicJ diagrama d9 timp a. stirilor'unui ciclu ma;inb.,[sora 5.7, a,,si respectiv schema logici, figura 5.'7,b. pe durata stirii11 confinutul numS"rS"torului de adrese PC este transmis pe magistralade adresare gi se genereazi semnalul syNc, ceea ce determini" lJrinduls5"u ca pe magistrala de date si se transmiti. cuvintul de stare. Acestcuvint de stare este totdeauna FETCH dacd" ciclur ma;ind este Ml.pegelile care pornesc din fronturile cresci.toare ale rui 02 indicb. semna--.lele generate (cu anumite intirzieri) de acest front. Staria T, este tot--

(5.2-a)TSL gi apare tn

151

Page 5: Introducere in Microprocesoare_Part13

nirore !Pie3ire !P

DA-II

L 51,\B.i:i

0PllcNAt

Fig. 5.7, ciclu rnasrl6, ia ;-rtr E080:o - rliagramaintirnp a stirilor 'nui ciirl ;1a.siri,;b - schenaa Xoglcd pentru seczenta stiu-llor ulrui ciclu

,i('iruna urmatS" de stal'ea 7-:, pe palcu1'su1 clreia se testeaz:r I{EAn)Y,llcir este activ. Daci. READY:1 se trece.in continuare la stare:r 7'3,i;rr claci READY:0 se trecc intr-o stare de a;teptare (\\/AIT) ilotatirt:u T*. in starea I,,, rnicroprocesorul poate rimine un numlr (muttiplu,)rle perioade T nelimitat. Sernnalul READY:0 permite ca micropro-cesorul si se sincronleze (sir a;tepte) cu memoriile externe sau perifericelccu funcfionare rnai lentir. l'Iicroprocesorul confirmir inti"area in stareaI,,, prin gelrerarea semnalului \VAIT. Pentru a intra in starea f,u trc-buie ca READY:0 in zon:r ha;urat1 a impulsului tD2 din starca f2,rcspeqtiv pentru a ie;i drn 7',, 1a testul f5"cut in zona hasurati a lui tD,

din starea f,,, READY trebuie s5- fie 1.

Pe durata stirii T, in{ormafia extrase din memorie este accesibi}ipc magistrala de clate-si transieratl in registrui de instructiuni. Inc-ontinuaLe, in starea fa, decodi{icatoru} impreunS" cu unitatea de con-trol genereaze senrnalele necesale transferurilor interne. La sfirqitulstitii"Ta se testeazl clacl ciclul este complet. in caz alirmativ ," io"-tinu5. cu starea 7'1 a cicluiui de ma;inl urm[tor ,]12. Unele cicluri nece-sit[;i starea 15, dupi care se trece la T1 in ciclui M2,in afara situa]ieicind ciclul instructie a necesitat doar un ciclu ma;inl.

Pe durata uliimei sthri a ultimului ciclu ma;inii al fiecirei instruc-tiuni se testeazir semnalul de ccrerelintrerupere INT. Daci INf:1 ;i afost r"alidatS. posibilitatea de intrerupere INTE:1, atunci micropro-cesorul trecela deserr.irea perifericului, care a cerut intreruperea, printr-unciciu M1 special. in acest ciclu J41 special se trimite in stivir adresadin PC, se opre;te inct'cmentarea numirrltorului de aclrese si la gene-rarea de c5,tre microlirocesor a semnalului de acceptare intrerupereffflq:0, peri{ericul trebuie si punil pe magistrala de date instruc{iu-nea RST, care expriml adresa (ce va fi inclrcat[ in PC) unde se a{]iprima instruc{iune din subrutina de *.err-ire a pelifericuiui.

Operagiile care au loc in starea Ts sint determinate de tipul cie

ciclu magind in curs cle cxeculie. Intr-un ciclu de extragere a instruc-tiei microprocesorul inte::preteazir informalia de pe rnagistraia de clateca o instructie, iar intr-rin ciclu de citire a memoriei sau a stivei in{o'r-malia de pe magistrala de date va fi interpretati. ca o dati. Nlicropro-cesorul plaseazi. Catele pe magistrala de date in starea 7'3 a ciciurilolnasin5. de scriere a memoriei iau a stivei. in figura 5.7, a sint figuratesemnalele DBIN ;i \\-R, care intlica {aptul ci infornralia de pe magis-trala de date poate fi cititi, respectiv inscrisl.

)Tum5.rui de st5,ri necesar pentru execulia unei instrucliuni rrariaz.lintre 4 si 18 {rela}ia (5.t)). La o frecvenfi a generatorului de tact de7 MHz execu{ia unei instrucfiuni dureazS" intre 2 si 9 irs (evident dac5"nu intr5" in starea T,,.).

Toate sisternele de ciezvoltare ;i trusele didactice (,,training-kit")ar; posibilitatea de a parcurge instruclie cu insti-uclie (ciclu instruclie)si ciclu {rnasinl) cu ciclu r,rtitrizind semnalul READY qi bitul Du din

fr

" 15 l]

Dto -:-n,-;r --:,-;l--- - - --! ':i_TA.\,!LDA\; r'r | ,',1:.

iIJIA OUTsa:rr Qciltl@ Hj]NP 5TA CK

IJLUl

'U./iI]TUtiJI OE START DIN FIG.5.6

ol

SERVIRiAIN'I'R!- R UPFA ]

r trtIJJ

Page 6: Introducere in Microprocesoare_Part13

cu'intul de stare. figura 5.6, care produc forf at.(un timp dorit) starea r,.Pentru exprorarea i"n l"gi; d";'";; pas (cicru ma$ina; se ut'izehzdnumai semnalul READY .il J- l;ctrve_azf, (cit timp esre necesar)in fiecare cicru magina. n"r"r."'ir*;;;r,o de pas cu pas cicru instruclliune se lace tot a'" -.ati"l"-

irirr' h"aaoi','J;.";"I"";; ri conj ugatde cdtre semnalul_-M, di; ;;i;i; i"_ s_ta_.", deci microprocesorul vaintra in starea ?,,, doai i" .i'r"i rLTiii "ili".e;;i;;i;;,"*ni (celerartell.,li;,f; "i'rti" .

ale instructiei vor ri,"i"t. ;;;;r).'ij;""* se fotose;te

ln continuare; s9-va--prezenta compunerea ciclurilor masini. crinstdri si inrdntuirea_cicruril6r "r"ii"ii"ti-un .i.tu-l,ist.i.trun" pentrudoui instrucliuni a" "" il"it ^ift""

de trei bai1i.- MOV A, B. Aceasti instructiune, figura i.S, o, deplaseazi con_linutul.re.sistruJui r -in reg1iiiil";.";"r"i;;, ;;;# i,ir_"_ori" o'srngur bait si necesiti pent-ro ."utir"re ai;;^;"".i;;rr''.'i.t' ma;inl.(FET.H) ce'consumi. c'inci stiii.

-l,i'i, c_olfinutur numdrdtorrrlui deadrese este pus nemag-istrar" a-

"a."r"re (pC oUT) ;i se genereazd. cu-vintul de stare israi'rrs). ^5";;t;;.;reazd conlinurur num rrdtoruruide adrese (pc:'pc-1-r1 iti,riur."--i;;i se intrr ri, i,,-i".a ra testareREADY:b. In Tj instruc{iuneu

"rt""au.tjr-.."*]ri#t if instrucliuni(RI) ;i registrul t"-oo.". irnuey. Stirite. r.-;i"il'r*t-i".".ur" p"n_tru execufia instrucfiunii, 'adici Jo,*inrrt,,t registrului (B) este trans_l-.H;X,l:Mp, iar', poi' .o"lr n ";;i' ;;i,l;;rii ftE tr#{ra nsrerat in

- MOV A, lI. Aceastd. instructiune, figura 5.g, b, deplaseaza con_!inutul locatiei menrcriei ,lr q".a*i'"l.ere "rt" dati de nrini.ul _ con_linutut - regisrrurui p"rechi-a li;;' r"gisrrui*ac;;i;i;;, ocupi in

i]^",T:ti" de progiame' un singur rrTit-ii nicesitd p"rt."'r""iizare doudcrclurr rnasind. primul ciclu ria;ini esie rgrcn,?;;i l;ii"" esre urrciclu de citire a memoriei lc";;;i;i a"'ir"." 2 in figura 5.6). in starea11 a acestui ar doirea. cicru este ali"."ti memor-ia, cu continutur regis_ .trului perecn" s-r,:_,1: il"lt', i,-;iii;;;;;;iii ;;;1,:i';" memorieadresatS. este transierat ln acumulator.

- LHLD 9A25.H. _Aceasti. instrucfiu-ng, figura 5.g, c, incarcd. re_gistrui Z (al perechii iJ-z) ., ..rtlr"iul localiei memoriei dc adresd9A25H, iar rbsistrrrl.n ri'.*ir"iriri-rocatiei urml"toare a memoriei(de adresd qez:6Ht. Instrucriund; ;;il ir, -"-o.iJ'iJ^i.Jgr"*" tr"iloca{i i in prim a fil n d i nscrjs ;;,Li ;;;"f t"il^l; ; ;;,i."#lll."r" aouabaitul doi (zsn't si b.aitul- unu6;i5i adresei. primul cicru insrructieM1 este un ciclu'obignuit ;;iiifcH:'Ar.doilea ciclu ma;ini M" esteun ciclu de citire a memoriei z ae la iocaii" ".*ai"";,;?#l baiiul aIdoilea al instructiunii (dar baitui

"f J"if." ;t_"dl;., :';H _ ) esteadus in registrul z (ina'ccesi;il ;di;;to_rului). La fer ar treilea ciclu'143

este un ciclu de citire " -.rior[i, ..

"du." in registrul w ar treiieabait al instrucliunii (care

"rt" pri*"i bait al "ar"l"i

]'qAH -). rn

754

"-r t,l0V A,B,-l/

'i cic lu r 4sio r i

PC OUT

STATU S

rl LHLD 9A:5 H

5 cicluri, l6siori

INST*]MP/R I

REOISTRUL

I-l-l-T]*il-T-l* r

FORIIATUI- INSTRUCTIUNII IN BINAR

0

EXECUTIE +

1l0l0l1 1 0 09A

ClTlftE I'lEM0RiE'

f?

e

cd r€soSA25H

HllT2 | p6n

. lno rt*hlrr3lsazou [T-l-Tl-T:fTl*p1

Mr ( FErctl)

PC OUTSTATUS

t?

6)CIIIRE MEMORIE

BYTE 3 +1,YT?t'

{r*)

CITIRE MEMORIE CITIRE MEIIORIE

Z OUT WZ= wZ*1 WZ OUT

STAT U SSTAT US

lr-\(9ei instruc-

t?

@g;ig. 5.8. lnld.nf irea ciclurilor (magini) gi stirilor consumate in realizarea un

liuni: a, b - instrucfiuni de un bait;rz) instrucfiurre de trei baili

continuare in ciclurile Ma. |i M5, tot cicluri de citire 2 ale memoriei,se aduc conliuuturile lociliilor de adrese 9A25H, 9AZ6H, in-registreleL si H, dar'ca numd.rh.tor'de adrese se utilizeazS. perechea de registreW-Z (;i nu pC). In total, instrucliunea, pe durata celor cinci ciclurimasin6, consuml 16 stlri.

0 1 1 1 0 0

PC OtrT

STATU 5

0,P'5,i.li l"t

FORMATuL rNSrRUc'rNrI lN BiNARenoomve -ffioo.oo,

n+1

nsi- rv a/ra D ECOD IF ICAR EPC OUT

STAT U S

155