welcome to ee249: embedded system design the real story alberto sangiovanni-vincentelli department...

64
Welcome to Welcome to EE249: EE249: Embedded System Embedded System Design Design The Real Story The Real Story Alberto Sangiovanni-Vincentelli Alberto Sangiovanni-Vincentelli Department of EECS, University of California at Department of EECS, University of California at Berkeley Berkeley

Post on 21-Dec-2015

219 views

Category:

Documents


0 download

TRANSCRIPT

Welcome to Welcome to EE249: EE249: Embedded Embedded System DesignSystem DesignThe Real StoryThe Real Story

Alberto Sangiovanni-VincentelliAlberto Sangiovanni-VincentelliDepartment of EECS, University of California at Department of EECS, University of California at

BerkeleyBerkeley

2

AdministrationAdministration

Office hours: Office hours: Alberto’s : Tu-Th 12:30pm-2pm or (better) by Alberto’s : Tu-Th 12:30pm-2pm or (better) by

appointment (2-4882)appointment (2-4882)

Teaching Assistant: Teaching Assistant: Rong ChenRong Chen, [email protected], [email protected]

3

GradingGrading

Grading will be assigned on:Grading will be assigned on:Homeworks (~30%) Homeworks (~30%)

Project (~50%)Project (~50%)

Reading assignments (~20%)Reading assignments (~20%)

There will be approx. 7 homeworks (due 2 weeks after There will be approx. 7 homeworks (due 2 weeks after

assignment) and 6 reading assignmentsassignment) and 6 reading assignments

4

Discussion sectionsDiscussion sections

Lab section (Th. 4-6):Lab section (Th. 4-6): tool presentationstool presentations

Discussion Session (Tu. 5-6)Discussion Session (Tu. 5-6) students’ presentation students’ presentation

of selected papersof selected papers Each student will have Each student will have

to turn in a one-paragraph report to turn in a one-paragraph report for each for each paper handed outpaper handed out

Each student (in groups of 2-3 Each student (in groups of 2-3 people) will have to make an oral people) will have to make an oral presentation once during the presentation once during the classclass

Auditors are OK but please Auditors are OK but please

register as P-NPregister as P-NP

Week Lab Sections Homeworks1 - - - - - -2 Tool presentation HW13 Discussion4 Tool presentation HW25 Discussion6 Tool presentation HW37 Discussion8 Tool presentation HW49 Discussion10 Tool presentation HW511 Discussion12 Tool presentation HW613 Discussion14 HW715

5

PlanPlan

We are on the edge of a revolution in the way electronics products are We are on the edge of a revolution in the way electronics products are

designeddesigned

System design is the keySystem design is the keyStart with the highest possible level of abstraction (e.g. control algorithms)Start with the highest possible level of abstraction (e.g. control algorithms)Establish properties at the right levelEstablish properties at the right levelUse formal modelsUse formal modelsLeverage multiple “scientific” disciplinesLeverage multiple “scientific” disciplines

Establish horizontal and vertical “supplier-chain” like partnershipsEstablish horizontal and vertical “supplier-chain” like partnerships

Need change in educationNeed change in education

6

Course overviewCourse overview

Managing Complexity

Orthogonalizing concerns

Behavior Vs.

Architecture

Computation Vs.

Communication

7

Behavior Vs. ArchitectureBehavior Vs. Architecture

SystemSystemBehaviorBehavior

SystemSystemArchitectureArchitecture

MappingMapping

Flow To ImplementationFlow To Implementation

CommunicationRefinement

BehaviorBehaviorSimulationSimulation

PerformancePerformanceSimulationSimulation

1

3

4

2

Models of Computatio

n

Performance models: Emb. SW, comm. and

comp. resources

HW/SW partitioning,Scheduling

SynthesisSW estimation

8

Behavior Vs. CommunicationBehavior Vs. Communication

Clear separation between functionality and interaction Clear separation between functionality and interaction

modelmodel

Maximize reuse in different environments, change only Maximize reuse in different environments, change only

interaction modelinteraction model

ETROPOLIS

PIG: Protocol interface generation

PEARLS: Latency insensitive protocols

9

Outline of the courseOutline of the course

Part 1. Introduction: Future of Information Technology, Part 1. Introduction: Future of Information Technology,

System Design, IP-based Design, System-on-Chip and System Design, IP-based Design, System-on-Chip and

Industrial TrendsIndustrial Trends

Part 2. Design Methodology (Platform-based Design, Part 2. Design Methodology (Platform-based Design,

Communication-based Design)Communication-based Design)

Part 3. Functional Design: Models of ComputationPart 3. Functional Design: Models of Computation

Part 4. Architecture Design: Capture, Exploration and MappingPart 4. Architecture Design: Capture, Exploration and Mapping

Part 5. Implementation Verification and Synthesis, Hardware Part 5. Implementation Verification and Synthesis, Hardware

and Softwareand Software

10

Introduction OutlineIntroduction Outline

Scenario and Characteristics of Future Information Scenario and Characteristics of Future Information TechnologyTechnology

Embedded Systems : Automotive, Home Networks, Smart Embedded Systems : Automotive, Home Networks, Smart Dusts, Universal RadiosDusts, Universal Radios

What is Needed at the Infrastructure LevelWhat is Needed at the Infrastructure Level

High-Leverage System Design Paradigms:High-Leverage System Design Paradigms:Communication-based DesignCommunication-based DesignArchitecture-Function Co-designArchitecture-Function Co-design

Platform-based Design as Implementation TechnologyPlatform-based Design as Implementation Technology

11

Electronics and the CarElectronics and the Car

•More than 30% of the cost of a car is now in Electronics•90% of all innovations will be based on electronic systems

12

Information Technology ScenarioInformation Technology Scenario

According to the International Data Corporation According to the International Data Corporation 96% of all Internet-access devices shipped in the United 96% of all Internet-access devices shipped in the United

States in 1997 were PCs. States in 1997 were PCs.

By the end of 2002, nearly 50% will not be PCs. Instead, they By the end of 2002, nearly 50% will not be PCs. Instead, they will be digital set-top boxes, cell phones, and personal will be digital set-top boxes, cell phones, and personal digital assistants, to name just a few. digital assistants, to name just a few.

By 2004, the unit shipments of such appliances will exceed By 2004, the unit shipments of such appliances will exceed those of the PC. those of the PC.

13

Historic PerspectiveHistoric Perspective

Technology discontinuities drive new computing Technology discontinuities drive new computing

paradigms and applicationsparadigms and applications

E.g., Xerox AltoE.g., Xerox Alto3Ms--1 mips, 1 megapixel, 1 mbps3Ms--1 mips, 1 megapixel, 1 mbps

Fourth M: 1 megabyte of memoryFourth M: 1 megabyte of memory

From time sharing to client-server with display intensive From time sharing to client-server with display intensive applicationsapplications

What will drive the next discontinuity? What are the new What will drive the next discontinuity? What are the new

metrics of system capability?metrics of system capability?

14

What’s Important: Shifts in Technology What’s Important: Shifts in Technology MetricsMetrics

Display (human-computer interface)Display (human-computer interface) More ubiquitous I/Os (e.g., MEMS sensors & actuators) and modalities More ubiquitous I/Os (e.g., MEMS sensors & actuators) and modalities

(speech, vision, image)(speech, vision, image)

How to Quantify?How to Quantify?

Connectivity (computer-computer interface)Connectivity (computer-computer interface) Not bandwidth but “scaled ubiquity”Not bandwidth but “scaled ubiquity”

Million accesses (wired and wireless) per dayMillion accesses (wired and wireless) per day

Computing (processing capacity)Computing (processing capacity) Unbounded capacity & utility functionality (very high mean time to Unbounded capacity & utility functionality (very high mean time to

unavailable, gracefully degraded capability acceptable)unavailable, gracefully degraded capability acceptable)

15

What’s Important: Shifts in User/Applications What’s Important: Shifts in User/Applications MetricsMetrics

Cost: Human EffortCost: Human EffortSave timeSave time

Reduce effortReduce effort

The Next Power ToolsThe Next Power ToolsLeveraging other peoples’ effort/expertiseLeveraging other peoples’ effort/expertise

e.g., “What did Dave read about disk prices?”e.g., “What did Dave read about disk prices?” e.g., “What did people who buy this book also buy?”e.g., “What did people who buy this book also buy?”

16

OutlineOutline

Scenario and Characteristics of Future Information Scenario and Characteristics of Future Information

TechnologyTechnology

Embedded Systems : Automotive, Home Networks, Smart Embedded Systems : Automotive, Home Networks, Smart

Dusts, Universal RadiosDusts, Universal Radios

What is Needed at the Infrastructure LevelWhat is Needed at the Infrastructure Level

High-Leverage System Design Paradigms:High-Leverage System Design Paradigms:Communication-based DesignCommunication-based Design

Architecture-Function Co-designArchitecture-Function Co-design

17

Chips Chips Everywhere!Everywhere!

CMOS Camera

SmartPen

Source: Dr. K. Pister, UC Berkeley

Chips that Fly?

18

Smart DustSmart Dust

Sensor

Interface

Power: battery, solar, cap.

Comm: LOS Optical (CCR, Laser)

Goal:

• Distributed sensor networks

• Sensor nodes:

•Autonomous

•1mm3

Challenges:

•1 Joule

•1 kilometer

•1 piece

19

Smart Dust ComponentsLaser diodeIII-V process

Passive CCR comm.MEMS/polysilicon

Active beam steering laser comm.MEMS/optical quality polysilicon

SensorMEMS/bulk, surface, ...

Analog I/O, DSP, ControlCOTS CMOS

Solar cellCMOS or III-V

Thick film batterySol/gel V2O5

Power capacitorMulti-layer ceramic

1-2 mm

20

Airborne Dust

Mapleseed solar cellMEMS/Hexsil/SOI

1-5 cm

Controlled auto-rotatorMEMS/Hexsil/SOIRocket dust

MEMS/Hexsil/SOI

21

Synthetic InsectsR. Yeh, K. Pister, UCB/BSAC

22

Computing Revolution: Devices in the eXtremeComputing Revolution: Devices in the eXtreme

Evolution

Information Appliances:Scaled down desktops,e.g., CarPC, PdaPC, etc.

Evolved Desktops

Servers:Scaled-up Desktops,

Revolution

Information Appliances:Many computers per person,

MEMs, CCDs, LCDs, connectivity

Servers: Integrated withcomms infrastructure;Lots of computing in

small footprint

Display

Keyboard Disk

Mem

Proc

PC Evolution

Display Display

Camera

Smart

Sensors

Camera

Smart Spaces

ComputingRevolutionWAN

Server, Mem, Disk

InformationUtility

BANG!

Display

Mem

Disk

Proc

23

Modern Vehicles, an Electronic SystemModern Vehicles, an Electronic System

Electronic Toll CollectionElectronic Toll CollectionCollision AvoidanceCollision AvoidanceVehicle ID TrackingVehicle ID Tracking

Multiplexed SystemsMultiplexed Systems

VehicleVehicleCAN BusCAN Bus

BodyBodyControlControl

ECUECU ABSABS

SuspensionSuspension TransmissionTransmission

IVHS InfrastructureIVHS Infrastructure

Wireless Communications/DataWireless Communications/DataGlobal PositioningGlobal Positioning

Info/Comms/Info/Comms/AV BusAV Bus

CellularCellularPhonePhone

GPSGPS DisplayDisplay

NavigationNavigation Stereo/CDStereo/CD

SW Architecture

Network Design/Analysis Function / Protocol Validation

Performance Modelling

Supplier Chain Integration

24

Vehicles, a Consumer Electronic SystemVehicles, a Consumer Electronic System

CommsGSM/PCS

CDMA, PagingCompression

CommsGSM/PCS

CDMA, PagingCompression

S/W ShellWindows CE, NT, MAC, BIOS

S/W ShellWindows CE, NT, MAC, BIOS

S/W AppsBrowser,

Comms, User Apps

S/W AppsBrowser,

Comms, User Apps

ProcessorRISC, PowerPC

X86, Hitachi RISC

ProcessorRISC, PowerPC

X86, Hitachi RISC

DisplayHeads Up,Flat PanelGraphics

DisplayHeads Up,Flat PanelGraphics

User I/FVoice SynthesisVoice ControlStylus, ETC

User I/FVoice SynthesisVoice ControlStylus, ETC

Output & I/FSerial, Ethernet

Diagnostics

Output & I/FSerial, Ethernet

Diagnostics

Info/Comms/AV Bus

CellularPhone

GPS Display

Navigation Stereo/CD

• Minimum Technology to Satisfy User Requirement

• Usability• Integrate with Other

Vehicle Systems• Add the Function

Without Adding the Cost

Challenges

Vehicle Web SiteTechnology

25

When Will Dick Tracy’s Watch Be Available?When Will Dick Tracy’s Watch Be Available?

Ultimate Nomadic Tool in Broadband AgeUltimate Nomadic Tool in Broadband Age Two-way CommunicationTwo-way Communication

Language Translation & InterpretationLanguage Translation & Interpretation

e-Secretarye-Secretary

CameraCamera

MusicMusic

Electronic MoneyElectronic Money

26

Smart BuildingsSmart Buildings

•Task/ambient conditioning systems allow thermal conditioning in small, localized zones, to be individually controlled by building occupants , creating “micro-climates within a building”

• Other functions: security, identification and personalization, object tagging, seismic monitoring

Dense wireless network of Dense wireless network of sensor, monitor, and actuator nodessensor, monitor, and actuator nodes

•Disaster mitigation, traffic management and control• Integrated patient monitoring, diagnostics, and drug administration• Automated manufacturing and intelligent assembly• Toys, Interactive Musea

Dense wireless network of Dense wireless network of sensor, monitor, and actuator nodessensor, monitor, and actuator nodes

•Disaster mitigation, traffic management and control• Integrated patient monitoring, diagnostics, and drug administration• Automated manufacturing and intelligent assembly• Toys, Interactive Musea

27

PC/DataBased

PC-1

laptop

InternetAccess

PC-2

Printer

Telecom Based

VideoPhone

VoicePhone

PDA

Intercom

Appliance Based

Sprinklers

Toasters

Ovens

Clocks

ClimateControl

UtilityCustomization

Security Based

DoorSensorsMotion

Detectors WindowSensors

LightControl

AudioAlarms

Video surveillance

SmokeDetectors

Entertainment Based

Stereo

TV

Cam Corder

StillCamera

VideoGame

VCR

DVDPlayer

Web-TVSTB

Home Networking:Application (Subnet) Clusters

28

Silicon-Processed Micro-needlesSilicon-Processed Micro-needles

Lin and Pisano, IEEE/ASME J. of MEMS, Vol. 8, pp 78-84, 1999

• Neural probe with fluidchannel for bio-medical appl.

• Two micro-needles penetrating porterhouse (New-York) steak

29

Industrial Structure Shift

0

20

40

60

80

100

120

'98 '99 '00 '01 '02 '03

[M units]

PCPC

Game Machine

Game MachineCellular

Cellular DC0

50

100

1 2 3 4 5 6

100

50

0

'98 '00 '02

(%)

PC

DC

0

200

400

600

800

1000

1200

1400

1991 '93

'95

'97

'99

2001 '03

'05

'07

'09

'11

LSI Market Size( B$ )

SoC Market Size

World Wide Semiconductor Market Size

Market Structure Shift SOC Era has come.

•PC → DC•Wintel → Non-Wintel•Shift of Technology Driver

•Current Percentage of SoC Ratio is under 10%.⇒40 % in 2005, 70 ~ 80 % in 2010

•SoC is “single-seat constituency “, “take or not”.•Key Factor is the Synergy between Semiconductor & Set Divisions.

90‘s•PC

00‘s•High Performance ~ Game Machine•Low Power ~ Cellular

-Personal/Internet/Terminal

30

Productivity GapProductivity Gap

31

The Berkeley Wireless Research Center The Berkeley Wireless Research Center (BWRC)(BWRC)

Brodersen, Rabaey, Gray, Meyer, Katz, ASV, Tse and Brodersen, Rabaey, Gray, Meyer, Katz, ASV, Tse and

studentsstudents

Cadence, Ericsson, HP, Intel, Lucent, ST, TI, QualcommCadence, Ericsson, HP, Intel, Lucent, ST, TI, Qualcomm

Next Generation Wireless systems:Next Generation Wireless systems:CircuitsCircuits

ArchitecturesArchitectures

ProtocolsProtocols

Design MethodologiesDesign Methodologies

32

The “Universal” RadioThe “Universal” Radio

Fourth-generation radio providing following featuresFourth-generation radio providing following features

Focus on the wireless services with Focus on the wireless services with minimal constraintsminimal constraints on how the link is provided on how the link is provided

Allows for Allows for uncoordinated co-existenceuncoordinated co-existence of service providers (assuming they provide of service providers (assuming they provide

compatible services)compatible services)

Provides Provides evolving functionalityevolving functionality Adapts to provide requested service given type of service, location, and dynamic Adapts to provide requested service given type of service, location, and dynamic

variations in environment (i.e. number of users)variations in environment (i.e. number of users) Allows for to continuously upgrade to support new services as well as advances Allows for to continuously upgrade to support new services as well as advances

in communication engineering and implementation technologiesin communication engineering and implementation technologies

Presents an architectural vision to the multi-user, multi-service problem!Presents an architectural vision to the multi-user, multi-service problem!

This is in contrast with current approach where standards are the input and This is in contrast with current approach where standards are the input and

architecture the result - architecture the result - leading to spectral wastelandleading to spectral wasteland

33

Ultra Low-Power PicoRadioUltra Low-Power PicoRadio

Dedicated radio’s for ubiquitous wireless data acquisition and Dedicated radio’s for ubiquitous wireless data acquisition and

display. display.

Energy dissipation and footprint are of uttermost importanceEnergy dissipation and footprint are of uttermost importance

Goal: P < 1 mW enabling energy scavenging and self-poweringGoal: P < 1 mW enabling energy scavenging and self-powering

Challenges:Challenges: System architecture: self-configuring and fool-proofSystem architecture: self-configuring and fool-proof Ultra-low-power designUltra-low-power design Automated generation of application-specific radio modules making Automated generation of application-specific radio modules making

extensive use of parameterizable module generators and reusable extensive use of parameterizable module generators and reusable componentscomponents

34

Integrated CMOS RadioIntegrated CMOS Radio

AD

Analog RF

Timing recovery

phone

book

Java VM

ARQKeypad,Display

Control

FiltersAdaptive AntennaAlgorithms

Equalizers MUD

Accelerators(bit level)

analog digital

DSP core

uC core

(ARM)

Logic

Dedicated Logicand Memory

Integrate within the same chip very diverse system functions like:wireless channel control, signal processing, codec algorithms,

radio modems, RF transceivers… and implement them using a heterogeneous architecture

35

Communication versus ComputationCommunication versus Computation

Computation cost (2004): 60 pJ/operation (assuming continued Computation cost (2004): 60 pJ/operation (assuming continued

scaling)scaling)

Communication cost (minimum):Communication cost (minimum): 100 m distance: 20 nJ/bit @ 1.5 GHz100 m distance: 20 nJ/bit @ 1.5 GHz 10 m distance: 2 pJ/bit @ 1.5 GHz10 m distance: 2 pJ/bit @ 1.5 GHz

Computation versus CommunicationsComputation versus Communications 100 m distance: 300 operations == 1bit100 m distance: 300 operations == 1bit 10 m distance: 0.03 operation == 1bit10 m distance: 0.03 operation == 1bit

Computation/Communication requirements vary with distance, data Computation/Communication requirements vary with distance, data

type, and environmenttype, and environment

36

Energy-efficient Programmable Implementation PlatformEnergy-efficient Programmable Implementation Platform

EmbeddedMicroprocessor/

DSP System

ProgrammableLogic

DedicatedModules

ConfigurableArithmetic and Logic

Processors

Communication ChannelProtocol Processing

An

alog RF

“Software-defined Radio”

37

OutlineOutline

Scenario and Characteristics of Future Information Scenario and Characteristics of Future Information TechnologyTechnology

Embedded Systems : Automotive, Home Networks, Smart Embedded Systems : Automotive, Home Networks, Smart Dusts, Universal RadiosDusts, Universal Radios

What is Needed at the Infrastructure LevelWhat is Needed at the Infrastructure Level

High-Leverage System Design Paradigms:High-Leverage System Design Paradigms:Communication-based DesignCommunication-based DesignArchitecture-Function Co-designArchitecture-Function Co-design

Platform-based Design as Implementation TechnologyPlatform-based Design as Implementation Technology

38

What is Needed? What is Needed? (Endeavor Expedition,Berkeley, Oxygen, MIT)(Endeavor Expedition,Berkeley, Oxygen, MIT)

Automatic Self-ConfigurationAutomatic Self-Configuration Personalization on a Vast ScalePersonalization on a Vast Scale Plug-and-PlayPlug-and-Play

The OS of the PlanetThe OS of the Planet New management concerns: protection, information utility, not scheduling New management concerns: protection, information utility, not scheduling

the processorthe processor What is the OS of the Internet? TCP plus queue scheduling in routersWhat is the OS of the Internet? TCP plus queue scheduling in routers

Adapts to YouAdapts to You Protection, Organization, Preferences by ExampleProtection, Organization, Preferences by Example

39

Technology Changes & Architectural Technology Changes & Architectural Implications Implications

Zillions of Tiny DevicesZillions of Tiny Devices Proliferation of information Proliferation of information

appliances, MEMS, etc.appliances, MEMS, etc.

““Of course it’s connected!”Of course it’s connected!” Cheap, ample bandwidthCheap, ample bandwidth ““Always on” networkingAlways on” networking

Vast (Technical) CapacityVast (Technical) Capacity Scalable computing in the Scalable computing in the

infrastructureinfrastructure Rapid decline in processing, Rapid decline in processing,

memory, & storage costmemory, & storage cost

Adaptive Self-ConfigurationAdaptive Self-Configuration

Loosely OrganizedLoosely Organized

““Good Enough” Reliability and Good Enough” Reliability and

AvailabilityAvailability

Any-to-Any Transducers (dealing Any-to-Any Transducers (dealing

with heterogeneity, over time--with heterogeneity, over time--

legacy--and space)legacy--and space)

Communities (sharing)Communities (sharing)

40

Adaptive Self-ConfigurationAdaptive Self-Configuration

Plug-and-Play NetworkingPlug-and-Play Networking No single protocol/API: standardization processes too slow and stifle No single protocol/API: standardization processes too slow and stifle

innovationinnovation

Devices probDevices probee local environment and configure to inter-operate in that local environment and configure to inter-operate in that environmentenvironment

““Computer” not defined by the physical box: portals and ensemblesComputer” not defined by the physical box: portals and ensembles

Local Storage is a CacheLocal Storage is a Cache Invoke software and apps migrate to local diskInvoke software and apps migrate to local disk

System Learns Preferences by ObservationSystem Learns Preferences by Observation E.g., “Privacy by Example:” owner intervention on first access, observe E.g., “Privacy by Example:” owner intervention on first access, observe

and learn classification, reduce explicit intervention over timeand learn classification, reduce explicit intervention over time

41

Loose OrganizationLoose Organization

Loosely Structured InformationLoosely Structured InformationLarge volume, easily shared: supports communitiesLarge volume, easily shared: supports communities

Self-OrganizedSelf-OrganizedToo time consuming to do yourself: Organize by exampleToo time consuming to do yourself: Organize by example Individualized & context-Individualized & context-dependent filteringdependent filtering

Incremental Access, EventuallyIncremental Access, Eventually exact exactQuery by concept: “What did Dave read about storage prices?”Query by concept: “What did Dave read about storage prices?”

““A close answer quickly is better than a precise answer in the far future”; A close answer quickly is better than a precise answer in the far future”; Probabilistic access is often “good enough”Probabilistic access is often “good enough”

42

Any-to-Any TransducersAny-to-Any Transducers

No need for agreed upon/standardized APIs (though No need for agreed upon/standardized APIs (though

standard data types are useful)standard data types are useful) If applications cannot adapt, then generate transducers in the If applications cannot adapt, then generate transducers in the

infrastructure automaticallyinfrastructure automatically

Exploits compiler technologyExploits compiler technology

Enhance plug-and-play to the application levelEnhance plug-and-play to the application level

Legacy SupportLegacy Support Old file types and applications retained in the infrastructureOld file types and applications retained in the infrastructure

43

Next-Generation Operating EnvironmentsNext-Generation Operating Environments

Advances in hardware and networking will enable Advances in hardware and networking will enable an entirely an entirely

new kind of operating systemnew kind of operating system, which will raise the level of , which will raise the level of

abstraction significantly for users and developers.abstraction significantly for users and developers.

Such Such systemssystems will will enforce extreme location transparencyenforce extreme location transparency Any code fragment runs anywhereAny code fragment runs anywhere Any data object might live anywhereAny data object might live anywhere System manages locality, replication, and migration of computation and System manages locality, replication, and migration of computation and

datadata

Self-configuring, self-monitoring, self-tuning, scaleable and Self-configuring, self-monitoring, self-tuning, scaleable and

securesecure

Adapted from Microsoft “Millenium” White Paperhttp://www.research.microsoft.com

44

OutlineOutline

Scenario and Characteristics of Future Information Scenario and Characteristics of Future Information TechnologyTechnology

Embedded Systems : Automotive, Home Networks, Smart Embedded Systems : Automotive, Home Networks, Smart Dusts, Universal RadiosDusts, Universal Radios

What is Needed at the Infrastructure LevelWhat is Needed at the Infrastructure Level

High-Leverage System Design Paradigms:High-Leverage System Design Paradigms:Communication-based DesignCommunication-based DesignArchitecture-Function Co-designArchitecture-Function Co-design

Platform-based Design as Implementation TechnologyPlatform-based Design as Implementation Technology

45

What is a System Anyway?What is a System Anyway?

46

System (for us)System (for us)

Environment to environmentEnvironment to environment

Sensors + Information Processing + ActuatorsSensors + Information Processing + ActuatorsComputer is a systemComputer is a system

Micro-processor is notMicro-processor is not

47

Embedded SystemsEmbedded Systems

Non User-ProgrammableNon User-Programmable

Based on programmable components (e.g. Micro-Based on programmable components (e.g. Micro-

controllers, DSPs….)controllers, DSPs….)

Reactive Real-Time Systems: Reactive Real-Time Systems: ““React” to external environmentReact” to external environment

Maintain permanent interactionMaintain permanent interaction

Ideally never terminateIdeally never terminate

Are subject to external timing constraints Are subject to external timing constraints (real-time)(real-time)

48

Electronic System Design Landscape:Electronic System Design Landscape:The Automotive CaseThe Automotive Case

Product DefinitionProduct Definition

IPIPDesign And AssemblyDesign And Assembly

ManufacturingManufacturing

Platforms

FabricsInterfaces

49

Disaggregation:Disaggregation:Complex Design Chain ManagementComplex Design Chain Management

Supply ChainSupply Chain

Movement of tangible goods from Movement of tangible goods from

sources to end marketsources to end market

Supply Chain Management is $3.8B Supply Chain Management is $3.8B

market projected to be $20B in 2005market projected to be $20B in 2005

Design ChainDesign Chain

Movement of technologyMovement of technology

(IP and knowledge) from sources to (IP and knowledge) from sources to

end marketend market

Design Chain Management is an Design Chain Management is an

untapped marketuntapped market

SemiconductorCompanies

SystemCompanies

Foundries System Test Equipment

Contract Manufacturing

Design Services

Embedded Software

Software Development Tools

EDA

Processor & Hardware IP

Process & Yield Services

Fabrication Equipment

IC Packaging & Test

Mechanical CAD

SubsystemCompanies

50

Supply Chain: Supply Chain: Design Roles-> Methodology->ToolsDesign Roles-> Methodology->Tools

Methodology

Design Roles

Tools

52

Product Specification & Architecture Definition(e.g., determination of Protocols and Communication standards)

System Partitioning and Subsystem Specification Critical Software Development System Integration

Automotive Supply Chain:Automotive Supply Chain:Car ManufacturersCar Manufacturers

53

1 Transmission ECU2 Actuation group3 Engine ECU4 DBW5 Active shift display6/7 Up/Down buttons 8 City mode button9 Up/Down lever10 Accelerator pedal

position sensor11 Brake switch

Subsystem Partitioning Subsystem IntegrationSoftware Design: Control Algorithms, Data ProcessingPhysical Implementation and Production

Automotive Supply Chain:Automotive Supply Chain:Subsystem ProvidersSubsystem Providers

54

HW layerHW layer

SW Platform layer(> 60% of total SW)SW Platform layer(> 60% of total SW)

Application Platform layer(10% of total SW)

Application Platform layer(10% of total SW)

Controllers Library

OSEKRTOS

OSEKCOMI/O drivers & handlers

(> 20 configurable modules)

Application Programming Interface

Boot LoaderSys. Config.

Transport

KWP 2000

CCP

ApplicationSpecificSoftware

Speedom

eterT

achometer

Water tem

p.

Speedom

eterT

achometer

Odom

eter---------------

ApplicationLibraries

Nec78kNec78k HC12HC12HC08HC08 H8S26H8S26 MB90MB90

CustomerLibraries

Automotive Supply Chain:Automotive Supply Chain:Subsystem ProvidersSubsystem Providers

Platform Integration: “firmware” and “glue software” Software Design: “Application”

55

HW layerHW layer

SW Platform layer(> 60% of total SW)SW Platform layer(> 60% of total SW)

Application Platform layer(10% of total SW)

Application Platform layer(10% of total SW)

Controllers Library

OSEKRTOS

OSEKCOMI/O drivers & handlers

(> 20 configurable modules)

Application Programming Interface

Boot LoaderSys. Config.

Transport

KWP 2000

CCP

ApplicationSpecificSoftware

Speedom

eterT

achometer

Water tem

p.

Speedom

eterT

achometer

Odom

eter---------------

ApplicationLibraries

Nec78kNec78k HC12HC12HC08HC08 H8S26H8S26 MB90MB90

CustomerLibraries

Automotive Supply Chain:Automotive Supply Chain:Platform & IP ProvidersPlatform & IP Providers

“Software” platform: RTOS and communication layer“Hardware” platform: Hardware and IO drivers

56

• Economics• Productivity• Process • IP Delivery & Reuse•Tools & Methodology•Manufacturing

How do we move SoC Design from the pilot line to production ?How do we move SoC Design from the pilot line to production ?

Source:M.Pinto, CTO, Agere

Issues Limiting SOC RampIssues Limiting SOC Ramp

57

SoC Landscape 2000+

• Total Cost Ownership• Average cost of a high end ASSP >$5M• Cost of fabrication and mask making has

increased significantly ($500k+ for masks alone)• SoC/ASIC companies look for a 5-10x return on development costs (~ $10M revenue)• Shorter and more uncertain product life cycles

• Compounding Complexities limiting Time-to-Market • Chip design complexity • Silicon process complexity • Context complexity • End-to-end verification

• New “System to Silicon” methodologies are required that recognized 80% of the system development is software

Source:M.Pinto, CTO, Agere

58

Productivity 2000+ ChallengeProductivity 2000+ Challenge

System ArchitectureSystem Architecture• HardwareHardware• SoftwareSoftware

Logic DesignLogic DesignVerificationVerification

Physical Physical DesignDesign

Silicon Silicon ProcessingProcessing

Will the design team deliver on time and within budget?Will the design team deliver on time and within budget?

15x - Productivity GAP15x - Productivity GAP

15M15M Tran

s./Staff-M

on

thT

rans./S

taff-Mo

nth

1.0M1.0M

100M 100M

10M10M

Lo

gic

Tra

ns.

/ Ch

ip

Lo

gic

Tra

ns.

/Ch

ip

( Av e

rag

e o

f T

op

1 0%

of

Co

de s

)(A

v era

ge

of

To

p1 0

% o

f C

od

e s)

Source:M.Pinto, CTO, AgereSource:M.Pinto, CTO, Agere

59

Process ChallengeProcess ChallengeCan you integrate what you need ?Can you integrate what you need ?

Lucent Modular Process StrategyLucent Modular Process Strategy• Communications focusCommunications focus• IP re-use across businessesIP re-use across businesses• Flexible system partitioningFlexible system partitioning• Only pay for what you needOnly pay for what you need• Leverage high volume platformLeverage high volume platform• Manufacture at fabs worldwideManufacture at fabs worldwide

MemoryMemory

ASICASICDSPDSP

Baseband

Baseband

Processing

Processing

High Frequency

High Frequency

(RF)(RF)

FiltersFilters

Mixers/VCOMixers/VCOLNA/PALNA/PA

High performance(speed, power, density)

core CMOS+SRAM platform

High performance(speed, power, density)

core CMOS+SRAM platform

Efficient (performance/cost)mix-and-match modules

Efficient (performance/cost)mix-and-match modules

++

Linear(to 4 masks)

RF(to 3 masks)

BiCMOS(3-4 masks)

FLASH(<4 masks)

FPGA/FPSC(1 mask)

eSRAM(1 mask)

“Fast Gate”(3 masks)

Copper(0 masks)

SiGeSiGe(4 masks)(4 masks)

Source:M.Pinto, CTO, AgereSource:M.Pinto, CTO, Agere

12/09/1999

60

Manufacturing Paradigm ChallengeInterconnection Dominates Fabrication Throughput

% of Fab of Interconnection vs. % of Fab Up-to-Contact

% o

f F

ab P

roce

ss

2LM 09µm

2LM 0.5 µm

3LM 0.35 µm

4LM 0.25 µm

Fab % up to contactFab % of interconnect

0

10

20

30

40

50

60

70

80

90

100

• Drives the need for new rapid prototype and production techniques• Impacts industry spare gate methodology for quick fixes • All metal programmable option lose their time to market advantage

• Drives the need for new rapid prototype and production techniques• Impacts industry spare gate methodology for quick fixes • All metal programmable option lose their time to market advantage

6LM 0.16 µm

Source:M.Pinto, CTO, Agere

61

Deep Submicron Paradigm ShiftDeep Submicron Paradigm Shift

40M Transistors2,000M Metal600 MHzWire RC 6 ns/cm

2M Transistors100M Metal100 MHzWire RC 1 ns/cm

200x1991 1996

Cell Based Design - Minimize Area - Maximize Performance - Optimize Gate Level

90%New

Design

Virtual Component Based Design - Minimize Design Time - Maximize IP Reuse - Optimize System Level 90%

ReusedDesign

22 22

62

Implementation Design TrendsImplementation Design Trends

Flat ASIC+

Platform BasedConsumerWirelessAutomotive

HierarchicalMicroprocessorsHigh end servers& W/S

Flat LayoutNet & Compute ServersBase stations

EDA

Flat ASIC

MicroP

63

Digital Wireless PlatformDigital Wireless Platform

AD

Analog RF

Timing recovery

phone

book

Java VM

ARQ

Keypad,Display

Control

FiltersAdaptive AntennaAlgorithm

s

Equalizers MUD

Accelerators(bit level)

analog digital

DSP core

uC core

(ARM)

Logic

Dedicated Logicand Memory

Source: Berkeley Wireless Research Center

64

Will the system solution match the original system spec?Will the system solution match the original system spec?

Software Hardware?

TxOptics

Synth/MUX

CDR/DeMUX

RxOptics

VCXO

mP

ClockSelect

LineI/F

OHPSTSPP

STSXC SPE

MapData

Framer

Cell/Packet

I/F

STMI/F

• IP Selection• Design• Verification

• Development• Verification• System Test

Concept

• Limited synergies between HW & SW teams• Long complex flows in which teams do not reconcile efforts until the end• High degree of risk that devices will be fully functional

• Limited synergies between HW & SW teams• Long complex flows in which teams do not reconcile efforts until the end• High degree of risk that devices will be fully functional

65

Historical EDA Focus

EDA Challenge to Close the Gap (SIA MARCO GSRC Project, EDA Challenge to Close the Gap (SIA MARCO GSRC Project, Berkeley Center) Berkeley Center)

Lev

el o

f A

bstr

acti

on

Behavior

SW/HW

RTL

Silicon

Concept to Reality

Gap

Design Entry Level

Gate Level “Platform”

Impact of Design Change(Effort/Cost)

Source: GSRC

• Industry averaging 2-3 iterations SoC design

• Need to identify design issues earlier

• Gap between concept and logical / Physical implementation

• Industry averaging 2-3 iterations SoC design

• Need to identify design issues earlier

• Gap between concept and logical / Physical implementation