tokyo electron corporate update ·  · 2018-05-142018-05-14 · processes: front-end (wafer...

60
CORP IR / 2018.05.14 1 May 14, 2018 Tokyo Electron Corporate Update

Upload: ngodang

Post on 21-May-2018

237 views

Category:

Documents


3 download

TRANSCRIPT

Page 1: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 1

May 14, 2018

Tokyo Electron

Corporate Update

Page 2: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 2

3

9

16

25

30

35

42

1. TEL Overview

2. Medium-term Management Plan TEL Initiatives and Progress

3. FY2019 Business Environment and Financial Estimates

Appendix 1: Etching System

Appendix 2: Effects of EUV Lithography Adoption

Appendix 3: FPD Production Equipment

Appendix 4: Financial Data

Contents

Page 3: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 3

TEL Overview

Page 4: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 4

Distributor of other suppliers’ productsEstablished development/

manufacturing functionsGlobalization Production reform

TEL is Innovative and Flexible to Market Change

0

300

600

900

1,200

1,500

65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 00 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19(E)

(Billion Yen)

(FY)

TEL consolidated net sales

Listed on TSE #2 in1980 and #1 in 1984 Strengthened corporate governanceEstablished first

manufacturing JV

(TEL-Thermco)Discontinued export business of

consumer productsBegan overseas direct operations

Semiconductor applications

Mainframe computer

Mobile phonePC

Consumer electronics, etc.

Striving for

new growth

Page 5: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 5

Financial Performance: Sales and Operating Margin

(Billion Yen)

4M DRAM oversupply • IT bubble crash

• Excessive logic foundry investment

• Financial crisis in Asia

• 64M DRAM oversupply

• World financial crisis

• Memory oversupply

(FY)

• Effects of European debt crisis,

slowdown in emerging markets

• Weak demand for PC, mobile

723.8

906.0

668.7

497.2612.1613.1

663.9

799.7

1,130.7

1,400.0

16.7%

-4.4%

18.6%

-0.5%

14.6%

2.5%5.3%

14.4%17.6%

19.5%

24.9%26.1%

-10%

0%

10%

20%

30%

40%

50%

-300

0

300

600

900

1,200

1,500

90 91 92 93 94 95 96 97 98 99 00 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19(E)

TEL Net sales

Operating income

Operating margin

Page 6: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 6

US$ 1,742B

US$ 343B

US$ 37B

The Market TEL Participates in

¥1,055.2B, 93%

¥75.0B, 7%

¥0.4B, 0%

¥1,130.7B

CY2016 World Market

Electronic System

Semiconductor

WFE* CAPEX

*The semiconductor production process can be divided into two sequential sub-

processes: front-end (wafer fabrication) and back-end (assembly and test)

production. WFE is used in the front-end production process. Front-end production

equipment includes equipment for wafer level packaging.

Source: Gartner, “Forecast: Semiconductor Wafer Fab Manufacturing Equipment,

Worldwide, 1Q17 Update” 13 April 2017

Charts/graphics created by Tokyo Electron based on Gartner research.

FY2018 TEL Sales(April 2017-March 2018)

FPD Production

Equipment

(FPD)

Semiconductor

Production

Equipment

(SPE)

Others

Page 7: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 7

Oxidation/Diffusion

FPD Coater/Developer FPD Etcher/Asher

Deposition System Cleaning SystemCoater/Developer Dry Etch System

87%

26%36%

31%

25%

19%

71%

TEL Main Products World Market Share (CY2017)

ALD

38%

CVD

42%

Semiconductor Production Equipment

FPD Production Equipment (FY2018)

Source (SPE): Gartner, “Market Share: Semiconductor Wafer Fab Equipment, Worldwide, 2017”, 18 April 2018,

Charts/graphics created by Tokyo Electron based on Gartner research.

Coater/Developer: Photoresist processing (Track), Dry Etch System: Dry etch, Deposition System: Tube CVD + Atomic layer

deposition tools + Oxidation/diffusion furnaces + Nontube LPCVD, ALD: Atomic layer deposition tools, CVD: Tube CVD + Nontube

LPCVD, Oxidation/Diffusion: Oxidation/diffusion, Cleaning System: Wet stations + Spray processors + Other clean process

Source (FPD): TEL survey

Page 8: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 8

World Top 10 SPE Makers

9.87

7.33

6.37

6.06

3.19

1.78

1.41

1.36

1.12

0.93

Applied Materials

ASML

Lam Research

Tokyo Electron

KLA-Tencor

SCREEN

Advantest

Teradyne

HitachiHigh-Technologies

ASM Pacific Technology

CY2016 Revenue Ranking(Billions of US$)

Source; The Chip Insider Equipment & Emerging Markets (VLSI Research, May 2017)

Exchange Rates: 1US$=¥108.80

Page 9: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 9

Medium-term Management Plan

TEL Initiatives and Progress

Page 10: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 10

Wafer Fab Equipment (WFE) Market Outlook

WFE market growth accelerating.

Investment expanding to

$50B+

Future growth drivers

Equipment market is moving to the next phase amid expanding

applications for semiconductorsEquipment for wafer-level packaging is not included in the market size here.

PC, internet, mobile+

VR/AR/MR*, IoT, AI, RPA**,

machine learning, big data,

autonomous driving, blockchain

* VR/AR/MR: Virtual reality/Augmented reality/Mixed reality ** RPA: Robotic process automation

Source: VLSI “Wafer Fab Equipment (WFE) Market History and Forecast” April 2018. Graph created by TEL using above data

0

10

20

30

40

50

60

CY'13 CY'14 CY'15 CY'16 CY'17 CY'18

($ Billion)

Page 11: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 11

SPE Business Strategy: Etching System

Achieving success in DRAM as planned. Continue to Focus on 3D NAND and Logic

Word line

isolation (Slit)

Multi-level

contact

3D NAND HARC* processesDRAM

Lower customer patterning costs through

combining multiple steps into one

Improve our position in 9X/12X through

our clear lead in productivity and etch

profileCY’17 CY’18 CY’19

6X 6X/9X 9X

Simplify processes

Logic

Maintain high interconnecting

dielectric process market share

Enhance our position in 7nm and finer

advanced patterning

Capacitor processes (HARC)

Interconnects

Capacitor

Interconnects

FinFET

Market share CY’15 (Actual) CY’16 (Actual) CY’17 (Actual) ・・・ CY’19 (Target)

Etching System 21% 23% 26% >30%

Channel

(Memory hole)

* HARC (High aspect ratio contact) process: a process for forming holes that requires advanced processing technology

Interconnects

Page 12: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 12

SPE Business Strategy: Deposition System

CVD systemALD system

Achieve both high quality film formation and high

productivity needed for miniaturization and for 3D

structure with semi-batch system

Differentiate in memory through our clear lead in

batch system productivity

Achieve high quality metallization to enable further

miniaturization

2014 2015 2016 2017 2018 2019

ALD system market

CAGR >10%

¥90.0B

¥150.0B

Metallization system

Triase+™

Market share CY’15 (Actual) CY’16 (Actual) CY’17 (Actual) ・・・ CY’19 (Target)

Deposition system 38% 37% 36% >47%

Semi-batch ALD system

NT333™Source: TEL estimate

Batch system

TELINDY PLUS™

Aim to expand earnings based on new technologies for further

miniaturization and next-generation semiconductors

Source: Gartner, “Market Share: Semiconductor Wafer Fab Equipment, Worldwide, 2016”, 30 March 2017, Charts/graphics created by Tokyo Electron based on Gartner research.

Deposition System: Tube CVD + Atomic layer deposition tools + Oxidation/diffusion furnaces + Nontube LPCVD

Page 13: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 13

SPE Business Strategy: Cleaning Systems

Single wafer cleaning system

CELLESTA™

Batch cleaning system

EXPEDIUSTM

* Bevel cleaning: process for removing film from the outer part of the wafer

Market share CY’15 (Actual) CY’16 (Actual) CY’17 (Actual) ・・・ CY’19 (Target)

Cleaning system 18% 20% 25% >24%

Expand sales of CELLESTA™ single wafer cleaning system

– Expand applications based on backside and bevel cleaning* and drying technology

that prevents pattern collapse during the post-etch cleaning processes

Secure key 3D NAND processes through batch cleaning

– Provide high quality and productivity in the metal etching, polysilicon etching, and

nitride film removal processes required for precise controllability

Apply best known coater/developers methods to cleaning system

business

– Share leading-edge technology and expertise by unifying R&D

Page 14: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 14

Field Solutions (FS) Business: Medium-term Plan

Increase earnings in both the used equipment/modification and parts/service

segments through a business model that utilizes makers’ strengths

Respond to new customer needs

driven by IoT

– Provide upgrades and

remanufactured equipment that

handle new applications

Contribute to improving customer

productivity

– Provide added-value services using

remote connections

(Installed base of 62,000 units)

FS business strategy

93.0105.0 115.0

150.0180.077.0

80.093.0

101.0

140.0

0

50

100

150

200

250

300

350

400

FY'15 FY'16 FY'17 FY'18

Field Solutions sales

¥320.0B

170.0185.0

208.0

251.0

WFE$45B

Used equipment

and modification

Parts and services

FY’20

(Medium-term plan)

Page 15: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 15

Financial Model (toward FY2020)FY2018

(Actual)

FY2019

(Estimate)

FY2020

(Medium-term plan)

WFE $51B WFE $58B WFE $42B WFE $45B

Net sales 1,130.7 1,400.0 1,050.0 1,200.0

SPE 1,055.2 1,288.0 970.0 1,120.0

FPD 75.0 112.0 80.0 80.0

Gross profitGross profit margin

475.042.0%

598.042.7%

452.043.0%

522.043.5%

SG&A expensesSG&A expense ratio

193.817.1%

232.016.6%

200.019.0%

210.017.5%

Operating incomeOperating margin

281.124.9%

366.026.1%

252.024.0%

312.026.0%

Net income attributable

to owners of parent204.3 270.0 180.0 220.0

(Billion Yen)

Page 16: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 16

FY2019 Business Environment and Financial Estimates(FY2019: April 1, 2018-March 31, 2019)

Page 17: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 17

► WFE* capex

CY2018 investment driven by buoyant demand for memory,

expect YoY growth of approx. 15%

►FPD production equipment capex for TFT array process**

We expect CY2018 investment in production equipment to increase

approx. 10% YoY despite adjustments to investment in small/medium

panels for mobile devices, with demand driven by significantly expanded

investment in G10.5 on demand for large panels

Accelerating growth in the equipment market on

active investment in IoT and next generation technologies

Business Environment (Outlook as of April 2018)

* WFE (Wafer fab equipment): The semiconductor production process is divided into front-end production, in which circuits are formed on wafers and inspected, and back-end production, in

which wafers are cut into chips, assembled and inspected again. Wafer fab equipment refers to the production equipment used in front-end production and in wafer-level packaging production.

** TFT array process: The processes of manufacturing the substrates with the electric circuit functions that drive displays

Page 18: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 18

DRAM: Market growth of 60% YoY forecast

– Capex: 70% of investment amount to be for new factories, and 1X/1Ynm generation to comprise 80%

– Driving force: Average server capacity up 35%

– Opportunities: Differentiation through combined patterning and our strength in interconnects

Non-volatile memory: Same level forecast

– Capex: 9X generations to comprise 50%

– Driving force: Growth in SSD demand for data centers and PCs

– Opportunities: Differentiation through high value-added etch and clean

Logic/Foundry: Same level forecast

– Capex: 10nm and beyond generation to comprise 50%

– Driving force: Demand for higher performance, lower power consumption

– Opportunities: Business expansion in more complex patterning processes

CY’18 WFE Market Growth and Business Opportunities by Application

CY'17 CY'18

WLP

DRAM

Non-volatile memory

Logic foundry

Logic & others

* TEL estimate

WFE market by application*

$51B

$58B

Page 19: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 19

Drivers of Investment in NAND Flash

29% 38% 35%30%

30%40%40%

43%

49%

51%

CY'17(E) CY'18(E) CY'19(E) CY'20(E) CY'21(E)

SSD bit growth

CAGR 50.9%

Breakdown of NAND bit demand by application*Ratios on graph are in comparison with total bit demand, which is 100

Investment driven by rapid increase in storage capacity demand through

IoT and increase in SSD usage

SSD

Others

Mobile

NAND bit growth driven by SSD

–SSD CAGR 50.9%(CY’17-21)

–Mobile CAGR 32.0%(CY’17-21)

Rapid increase in demand for server capacity*Capacity is aggregate of SSD and HDD

–CY’17 300EB⇒ CY’21 1ZB

Big potential for growth in SSD usage

–Only used in 9% of servers in CY’17

–Usage in PCs also low at 39% in CY’17Source: Gartner, “Forecast: NAND Flash Supply and Demand, Worldwide, 1Q16-4Q18, 4Q17 Update”, 02 January 2018.

Charts created by Tokyo Electron based on Gartner research.

Mobile: Ultramobile + Phone, Basic, Smart OS + Phone, Premium, Smart OS + Phone, Utility, Smart OS, CAGR: CY’17-CY’21Source: Gartner, “Forecast: Hard-Disk Drives, Worldwide, 2014-2021, 3Q17 Update”, 13 November 2017.

Server: Total Enterprise HDD/SSD PB, PC: Total Notebook/Ultramobile Premium and Desk-Based/Workstation PCs

EB: 1018 byte, ZB: 1021 byte

Page 20: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 20

FY2018 (April 2017-March 2018) Highlights

Net sales +41% YoY driven by increase in SPE* demand and expansion of market share in focus areas

Operating income and net income attributable to owners of parent reached new record highs

Net Sales and Gross Profit Margin

612.1 613.1 663.9

799.7

33.0%

39.6% 40.2% 40.3%42.0%

0

400

800

1,200

FY'14 FY'15 FY'16 FY'17 FY'18

Net sales (¥B)

Gross profit margin

32.2

88.1116.7

155.6

281.1

5.3%

14.4%

17.6%19.5%

24.9%

0.0%

5.0%

10.0%

15.0%

20.0%

25.0%

30.0%

0

100

200

300

FY'14 FY'15 FY'16 FY'17 FY'18

Operating income (¥B)

Operating margin

Operating Income and Operating Margin

Net Income Attributable to

Owners of Parent and ROE

1,130.7

-19.4

71.8 77.8

115.2

204.3

-3.3%

11.8%13.0%

19.1%

29.0%

-20

80

180

FY'14 FY'15 FY'16 FY'17 FY'18

Net income attributable toowners of parent (¥B)

ROE

* SPE: Semiconductor production equipment

Page 21: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 21

(Billion yen)

FY2018

(Actual)

FY2019 (Estimates)

H1 H2 Full yearFull year

YoY change

Net sales 1,130.7 690.0 710.0 1,400.0 +23.8%

SPE 1,055.2 634.0 654.0 1,288.0 +22.1%

FPD 75.0 56.0 56.0 112.0 +49.2%

Gross profitGross profit margin

475.042.0%

288.041.7%

310.043.7%

598.042.7%

+122.9+0.7pts

SG&A expenses 193.8 115.0 117.0 232.0 +38.1

Operating incomeOperating margin

281.124.9%

173.025.1%

193.027.2%

366.026.1%

+84.8+1.2pts

Income before income taxes 275.2 173.0 193.0 366.0 +90.7

Net income attributable to

owners of parent204.3 128.0 142.0 270.0 +65.6

Net income per share (Yen) 1,245.48 779.95 - 1,645.20 +399.72

FY2019 Financial Estimates

Expect sales growth to exceed market growth, generating record

high profits for third consecutive yearSPE: Semiconductor production equipment, FPD: Flat panel display production equipment

Page 22: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 22

374.0

440.5

504.0 514.0

0

100

200

300

400

500

FY'18 H1(Actual)

FY'18 H2(Actual)

FY'19 H1(Estimates)

FY'19 H2(Estimates)

22%

37%

11%

30%

25%

43%

10%

22%

FY2019 SPE Division New Equipment Sales Forecast

Sales by application

35%

39%

10%

16%

32%

32%

12%

24%

DRAM

– investment growth driven by tight supply

3D NAND

– Continued strong investment on

sustained SSD demand for data centers

and PCs

Logic/Foundry

– Continued investment in 10/7nm

generations

– Also firm investment in 28nm and prior

generations

Logic foundry

Logic & others

DRAM

Non-volatile memory

(Billion yen)

Percentages on the graph show the composition ratio of new equipment sales. Field solutions sales are not included.

Page 23: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 23

Oshu City, Iwate Prefecture:

approx. ¥13.0B construction cost

(Begin construction in October 2018,

completion scheduled for September 2019)

FY2019 R&D Expenses, Capex Plan

R&D Expenses ¥120.0B– Continue investing based on focus areas and

sustainable growth

Capex ¥51.0B– Actively invest in advanced technologies R&D

and to meet increasing production

Depreciation ¥26.0B

Maximize business opportunities while equipment market is

in a growth phase

71.3 76.283.8

97.1

120.0

0

50

100

150

FY'15 FY'16 FY'17 FY'18 FY'19 (E)

13.1 13.320.6

45.651.0

20.8 19.2 17.8 20.626.0

0

20

40

60

FY'15 FY'16 FY'17 FY'18 FY'19 (E)

New production buildings

(deposition systems, gas chemical etch systems, test systems)

Nirasaki City, Yamanashi Prefecture:

approx. ¥13.0B construction cost

(Begin construction in January 2019,

completion scheduled for April 2020)

R&D expenses

(Billion Yen)

(Billion Yen)

Capex Depreciation

Page 24: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 24

FY2019 Dividend Forecast

0

300

600

900

FY'15 FY'16 FY'17 FY'18 FY'19 (E)

143 yen

237 yen Interim

390

yen

Year-end

433

yen

624 yen

Expect to raise DPS 32% YoY

352 yen

823 yen(Yen)

Dividend per share

Dividend payout ratio: 50%

Annual DPS of not less than 150 yen

We will flexibly consider share buybacks

TEL shareholder return policy

We will review our dividend policy if the company does not generate

net income for two consecutive fiscal years

Page 25: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 25

Appendix 1: Etching System

Page 26: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 26

CY’12-’14 CY’19

3D NAND Business Opportunities

TEL estimates

Investment per 10k/wspm** ($M) 6X 9X 12X

HARC processes(multi-level contact/word line isolation/channel)

30 40 50

Other etching processes 80 80 80

Etching process total 110 120 130

Increase in 3D NAND HARC* processes

Expand HARC processes by increasing number of stacked layers

3D NAND 6X

9X

Word line

isolation (Slit)

Channel

(Memory hole)

Multi-level

contact

3D NAND HARC* processes

* HARC (High aspect ratio contact) process: a process for forming holes that requires advanced processing technology

Etching systems

50%

Etching systems

15%

Ratio of etching systems in NAND

Other systems

85%

Other systems

50%

CY’17 CY’18 CY’19

6X 6X/9X 9X

(Greenfield, TEL estimates)

** wspm: wafer starts per month

Page 27: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 27

3D NAND: Approach and Results

Maintained a 100% share of the multi-level contact processes. Plan to further

increase revenues by integrating mask process to improve productivity

Captured new customer PORs with 9X generation word line isolation.

Aim to increase our position by integrating mask process

Realize the capture of channel processes through new technology

Expand our position by dramatically improving profile and productivity

A single system that combines

mask and main processes

normally processed by different

systems

Improved productivity

Mask process

Main process

Improve productivity by

integrating processes

Page 28: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 28

Logic: Approach and Results

Maintained high interconnecting dielectric etching

process market share

Aim to expanded advanced patterning applications

Achieve high-level of control over

dimension variationRealize high selectivity

through low electron

temperature plasma

RLSA™ plasma source ALE concept process

Plasma etch systems

Tactras™ RLSA™

Aim to increase sales by differentiating our technology in

7nm and finer advanced patterning

Interconnects

Advanced patterning

Page 29: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 29

DRAM: Approach and Results

Capacitor

(HARC)

Interconnects

Currently achieving success in all DRAM focus processes

in line with plan

Captured BEOL processes by leveraging expertise in logic through our strength in damascene

processes

Captured development PORs with all customers using 1Y generation capacitor process (HARC)

Realized reduced patterning costs for customers by combining etching steps.

Currently using successes with strategic customers to expand market share in other customers

Simplify process and raise productivity by

combining multiple etching steps

Page 30: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 30

Appendix 2: Effects of EUV Lithography Adoption

Page 31: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 31

EUV adoption will solve sophisticated technological hurdles our customers

face (i.e. placement errors), bringing about quite positive effects on

semiconductor and SPE industries

– Advance miniaturization

– Accelerate customers’ investment in next generation technologies by enhancing the yield

Further miniaturization led by EUV will create more differentiation of our

products and business opportunities

– Increase our coater/developer market share even further

– Expand demand for etch, deposition and cleaning equipment

– Differentiate our product through advancing self-aligned patterning technology

– Expand business with process integration, leveraging our robust product lineup

Effects of EUV Lithography Adoption

Page 32: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 32

Edge Placement Error Improvement by EUV

1

12

33 masks

Too close

Closer

Too far

1 mask

Cut

3 masks : (Litho + Etch) x 3 Yield degradation

Yield improvement

Minimum fluctions

Schematic of logic device

EUV

introduction

(Litho-Etch)3 with 193i

11

11

Line

Space

Self-aligned multiple patterning (SAMP)

requires a lot of etch and dep equipment

LELELE = (Litho-Etch)3 requires

many lithography tools but does not

require many etch and dep equipment

Step1: Line/Space

Step2: Cut

1 mask : (Litho + Etch) x 1

Fluctuation can be cumulated

after each litho-etch step

Edge placement error

Single exposure by EUV

Page 33: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 33

(Litho-etch)n Patterning for Logic MOL Contact/Cut Module

• PMD dep

• Hard mask1 dep

• Hard mask2 dep

• Lithography1

• Contact HM2 etch1 short etch time

• Lithography2

• Contact HM2 etch2 short etch time

• Lithography3

• Contact HM2 etch3 short etch time

• Contact HM1 etch short etch time

• Contact PMD etch long etch time

• Post etch clean

• Barrier metal Ti/TiN dep

• Contact plug W dep

• Contact plug W CMP

Lithography1 Lithography2 Lithgraphy3

Contact PMD etch

Contact HM2

etch1

Contact HM2

etch2

Contact HM2

etch3

Contact HM1 etch Contact plug W CMP

Thicker layer

Higher etch selectivity

(Litho-etch)3 patterning EUV lithography

Contact HM2

etch

(Litho-etch)n patterning

or

Page 34: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 34

Self-aligned Multiple Patterning for Line/Space

Mandrel2 etch Sidewall1 dep Sidewall etch back1

Hard mask3 etch Mandrel1 etch Sidewall2 dep Sidewall etch back2

Hard mask1Hard mask2

Mandrel1Hard mask3Hard mask4Mandrel2Hard mask5

𝑝

• Had mask1 dep

• Hard mask2 dep

• Mandrel1 dep

• Hard mask3 dep

• Hard mask4 dep

• Mandrel2 dep

• Hard mask5 dep

• Lithography

• Hard mask5 etch

• Mandrel2 etch

• Sidewall1 dep

• Sidewall1 etch back

• Mandrel2 pull

• Hard mask4 etch

• Hard mask3 etch

• Mandrel1 etch

• Sidewall2 dep

• Sidewall2 etch back

1

2𝑝

SADP

SADP: Self-aligned double patterning

SAQP: Self-aligned quadruple patterning

1

4𝑝

SAQP

Lithography

Deposition

Etch

Page 35: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 35

Appendix 3: FPD Production Equipment

Page 36: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 36

Display Trends

Increasing screen size

Increasing resolution

FHD 4K 8K

OLED

Design flexibility

LCD OLED

TFT

Color filter

Backlight

LCD

TFT

Emissive

layer

TV

Smartphones 300 ppi 700 ppi

Technology inflection creates greater business opportunities

Flexible, edge bent, free format

Page 37: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 37

Increase share and profitability in market that has begun to grow again

FY2020 target: sales ¥80.0B, operating margin over 20%

-10%

0%

10%

20%

30%

40%

0

20

40

60

80

100

FY2015 FY2016 FY2017 FY2018 FY2020

Sales

Operating margin

FPD Business Medium-term Plan

(Billion Yen)

(Medium-term plan)

Page 38: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 38

TEL’s product strategy for a new PICP™* etching system is progressing

according to plan

Expanding the G10.5 equipment business

Medium-term Plan Progress: Highlights

2015 2016 2017 2018 2019

G10.5

G8.5

G6

PICP etching system for small- and medium-sized panels

PICP etching system forlarge-sized panels

Coater/developers and etching system

Higher profitability for all panel generations

New Betelex™ platform

OLED process (G6H)

* PICP: Plasma source for producing extremely uniform high density plasma on substrate

Page 39: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 39

Opportunity – G10.5 Equipment Market

Eight 65 inch TV panel substrate possible

“65

3,3

70

mm

2,940 mm

G10.5 TFT array equipment market

($M)

0

500

1,000

1,500

2,000

2,500

3,000

3,500

2017 2018 2019

Greater than expected investment and market expansion

Maintain high market share through technological differentiation (large area plasma suppression, air floating coater)

Results based on IHS Markit, Technology Group “Display Supply Demand & Equipment Tracker, Q1 2017”. Results are not an

endorsement of Tokyo Electron. Any reliance on these results is at the third party's own risk. Visit technology.ihs.com for more details.

Page 40: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 40

TFT a-Si Metal oxide LTPS

Representation

of structure

ApplicationLCD TV

Monitor

OLED TV

Tablet

Smartphone

(LCD/OLED)

Number of

masks5 6 - 8 9 - 13

Dry etch

processes

3

a-Si, SiNx

3

SiO, SiNx

-11

SiO, Metal

Flexible displays

+2 processes

OLED process

(G6 half size)+3-4 processes

Further new

needs

Higher sophistication of etching technology and increased number of processes

Opportunity – Metal Oxide/LTPS

Page 41: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 41

New manufacturers in Japan

Toshiba

REGZA X910 series

Launched March 2017

SonyBRAVIA A1

To be launched June 2017

PanasonicVIERA TH-65EZ1000/EZ950 series

To be launched June 2017

Opportunity – Growth of OLED TV Market

Introduced inkjet printing system to meet production demand from 2018

Material utilization significantly more efficient than current evaporation method

Increase in OLED TV manufacturers

0

1

2

3

4

5

6

7

8

2016 2017 2018 2019 2020 2021

Mm

2/Y

R

OLED TV area demand

Results based on IHS Markit, Technology Group “Display Supply Demand & Equipment

Tracker, Q1 2017”. Results are not an endorsement of Tokyo Electron. Any reliance on these

results is at the third party's own risk. Visit technology.ihs.com for more details.

Page 42: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 42

Appendix 4: Financial Data

Page 43: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 43

Financial Summary

FY2017 FY2018YoY

Change

(Reference)

FY2018 estimates

announced on Oct.

31, 2017

Net sales 799.7 1,130.7 +41.4% 1,130.0SPE 749.8 1,055.2 +40.7% 1,054.7

FPD 49.3 75.0 +52.0% 75.0

Gross profitGross profit margin

322.240.3%

475.042.0%

+47.4%+1.7pts

467.041.3%

SG&A expenses 166.5 193.8 +16.4% 196.0Operating incomeOperating margin

155.619.5%

281.124.9%

+80.6%+5.4pts

271.024.0%

Income before income taxes 149.1 275.2 +84.6% 267.0Net income attributable to

owners of parent115.2 204.3 +77.4% 198.0

EPS (Yen) 702.26 1,245.48 +77.4% 1,206.66

R&D expenses 83.8 97.1 +15.9% 100.0

Capital expenditures 20.6 45.6 +120.3% 50.0

Depreciation and amortization 17.8 20.6 +15.4% 23.0

(Billion yen)

1. In principle, export sales of Tokyo Electron’s mainstay semiconductor and FPD production equipment are denominated in yen. While some settlements are denominated

in dollars, exchange risk is hedged as forward exchange contracts are made individually at the time of booking.

2. Profit ratios are calculated using full amounts, before rounding.

Page 44: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 44

94 93

6 7

0%

50%

100%

FY'17 FY'18

749.8

1,055.2

182.7

314.624.4%

29.8%

0%

10%

20%

30%

40%

50%

60%

0

300

600

900

1,200

FY'17 FY'18

Segment Information

49.3

75.0

4.6

13.29.4%

17.7%

0%

10%

20%

30%

40%

50%

60%

0

25

50

75

100

FY'17 FY'18

Significantly raised profitability in both SPE and FPD

SPE

FPD

SPE(Semiconductor Production Equipment)

FPD(Flat Panel Display Production Equipment)

(Billion Yen) (Billion Yen)

Composition of Net Sales

Sales

Segment income

Segment profit margin

Sales

Segment income

Segment profit margin

1. Segment income is based on income before income taxes.

2. R&D expenses such as fundamental research and element research are not included in above reportable segments.

3. Composition of net sales figures is based on the sales to customers.

Page 45: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 45

(Billion Yen)

FY2018 results

Sales of DRAM and non-volatile memory

more than doubled YoY driven by increase

in demand for servers and proactive

investment in next-generation technology

In foundry/logic, investment in cutting-edge

generations and 28nm and above continued

SPE Division: New Equipment Sales by Application

25% 24%25%

25%

31%11%20%

28%

40%

30%

17%

24%

437.4

550.3

814.6

0

300

600

900

FY'16 FY'17 FY'18

DRAM

Non-volatile memory

Logic foundry

Logic & others (MPU, AP, Others)

Page 46: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 46

FY2018 results

Sales expanded in focus areas (etch,

deposition, cleaning) driven by investment

in non-volatile memory and miniaturization

enabled by multiple patterning

SPE Division: New Equipment Sales by Product

3% 2% 1%4% 6% 6%10%

11%10%

26%22%

23%29%

34%

40%

28%

25%

20%

437.4

550.3

814.6

0

300

600

900

FY'16 FY'17 FY'18

Coater/Developer

Etch system

Deposition system

Cleaning system

Wafer prober

Others

(Billion Yen)

Page 47: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 47

105.0 115.0

150.0

80.0

93.0

101.0185.0

208.0

251.0

0

100

200

300

FY'16 FY'17 FY'18

FY2018 results

Sales growth of +21% YoY,

full-year sales reached ¥251.0B

Parts sales increased strongly, especially

in South Korea, due to rise in customers’

equipment utilization

Field Solutions Sales

Used equipment and modification

Parts and services

(Billion Yen)

* FY2016 and FY2017 sales figures have been rounded.

Page 48: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 48

SPE Sales by Region

91.2

145.5

0

200

400

FY'17 FY'18

101.5119.2

0

200

400

FY'17 FY'18

59.996.9

0

200

400

FY'17 FY'18

137.7

371.9

0

200

400

FY'17 FY'18

225.9

169.0

0

200

400

FY'17 FY'18

90.6 104.3

0

200

400

FY'17 FY'18

42.8 48.2

0

200

400

FY'17 FY'18

12 14

14 11

8 9

18 35

30

16

12 10 6 5

0%

50%

100%

FY'17 FY'18

(Billion Yen)

Japan North America Europe Korea(Billion Yen)(Billion Yen) (Billion Yen)

Taiwan China S.E. Asia, Others Region Composition(Billion Yen) (Billion Yen) (Billion Yen)

S.E. Asia,

OthersChina

Taiwan

Korea

Europe

North America

Japan

Page 49: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 49

Turnover days = inventory or accounts receivable at the end of each quarter / last 12 months sales x 365

Inventory Turnover and Accounts Receivable Turnover (Quarterly)

355.9

64 57

81

65 61

57 54 54 52

107 113 111

120

108 110 107

117 111

0

40

80

120

160

0

100

200

300

400

Q4FY'16

Q1FY'17

Q2 Q3 Q4 Q1FY'18

Q2 Q3 Q4

(Billion Yen)

Net sales

Accounts receivable turnover

Inventory turnover

(Days)

Page 50: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 50

Financial Summary (Quarterly)

FY2017 FY2018

Q4 Q1 Q2 Q3 Q4vs. Q3

FY2018

Net sales 260.6 236.3 280.5 257.7 355.9 +38.1%

SPE 243.5 221.9 265.7 241.4 326.0 +35.1%

FPD 17.0 14.3 14.7 16.2 29.7 +83.1%

Gross profitGross profit margin

110.642.5%

97.141.1%

118.242.1%

106.041.1%

153.543.1%

+44.8%+2.0pts

SG&A expenses 49.1 42.3 49.7 47.9 53.7 +12.1%

Operating incomeOperating margin

61.523.6%

54.723.2%

68.524.4%

58.022.5%

99.728.0%

+71.7%+5.5pts

Income before income taxes 61.4 55.1 67.1 57.3 95.6 +66.8%

Net income attributable to

owners of parent47.2 41.2 49.4 40.7 72.9 +79.3%

R&D expenses 24.8 21.1 24.8 23.9 27.0 +13.1%

Capital expenditures 7.1 8.1 11.7 13.7 12.0 -12.7%

Depreciation and amortization 5.0 4.2 4.7 5.5 6.0 +10.6%

1. In principle, export sales of Tokyo Electron’s mainstay semiconductor and FPD production equipment are denominated in yen. While some settlements are denominated

in dollars, exchange risk is hedged as forward exchange contracts are made individually at the time of booking.

2. Profit ratios are calculated using full amounts, before rounding.

(Billion yen)

SPE: Semiconductor production equipment, FPD: Flat panel display production equipment

Page 51: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 51

Q4

FY’17

Q1

FY’18

Q2 Q3 Q4

Net sales 260.6 236.3 280.5 257.7 355.9

Operating income 61.5 54.7 68.5 58.0 99.7

Net income attributable

to owners of parent47.2 41.2 49.4 40.7 72.9

Gross profit margin 42.5% 41.1% 42.1% 41.1% 43.1%

Operating margin 23.6% 23.2% 24.4% 22.5% 28.0%

355.9

99.772.9

43.1%

28.0%

0%

10%

20%

30%

40%

50%

0

100

200

300

400

500

Financial Performance (Quarterly)(Billion Yen)

Page 52: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 52

243.5

221.9

265.7241.4

326.0

67.6 66.1 78.6 69.0

100.7

27.8%29.8% 29.6% 28.6%

30.9%

0%

10%

20%

30%

40%

50%

60%

0

70

140

210

280

350

Q4FY'17

Q1FY'18

Q2 Q3 Q4

Segment Information (Quarterly)

17.0

14.3 14.7 16.2

29.7

2.3

0.4 2.2

3.0

7.4 14.1%

3.2%

15.4%

19.0%

25.1%

0%

10%

20%

30%

40%

50%

60%

0.0

7.0

14.0

21.0

28.0

35.0

Q4FY'17

Q1FY'18

Q2 Q3 Q4

93 94 95 94 92

7 6 5 6 8

0%

50%

100%

Q4FY'17

Q1FY'18

Q2 Q3 Q4

SPE(Semiconductor production equipment)

FPD(Flat panel display production equipment)

Composition of Net Sales

(Billion Yen) (Billion Yen)

Sales

Segment income

Segment profit margin

Sales

Segment income

Segment profit margin

SPE

FPD

1. Segment income is based on income before income taxes.

2. R&D expenses such as fundamental research and element research are not included in above reportable segments.

3. Composition of net sales figures is based on the sales to customers.

Page 53: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 53

SPE Division: New Equipment Sales by Application (Quarterly)

29%

20%

30%

21%

25%

23%

27%

25%

38%

17%

22%

23%

18%

31%

32%

19%

12%

31%

32%

25%

16%

24%

34%

26%

22%

27%

27%

24%

17%

40%

16%

27%

DRAM

Non-volatile memory

Logic foundry

Logic & others

(MPU, AP, Others)

(Billion Yen)

Percentages on the graph show the composition ratio of new equipment sales. Field solutions sales are not included.

25%

23%

27%

25%

38%

17%

22%

23%

18%

31%

32%

19%

12%

31%

32%

25%

16%

24%

34%

26%

22%

27%

27%

24%

17%

40%

16%

27%

25%

35%

8%

32%

23% 19%25% 26% 24% 27%

32%26% 19%

22% 32%

32% 34%27%

16%8%

12% 10%17% 31%

31%24%

27%40%

35%41%

43%

38% 18%

12%16%

22%17%

25%21%

28%

103.1

88.3

146.1

130.4

185.3

169.7

204.3

181.7

258.8

0

50

100

150

200

250

300

Q4FY'16

Q1FY'17

Q2 Q3 Q4 Q1FY'18

Q2 Q3 Q4

Page 54: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 54

Field Solutions Sales (Quarterly)

48.944.4

52.4 50.9

60.4

54.4

64.462.1

70.0

0

20

40

60

80

Q4

FY’16

Q1

FY’17

Q2 Q3 Q4 Q1

FY’18

Q2 Q3 Q4

SPE Sales 46.4 42.4 50.0 48.8 58.1 52.2 61.4 59.7 67.2

FPD Sales 2.4 2.0 2.4 2.0 2.2 2.2 2.9 2.4 2.8

(Billion Yen)

Page 55: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 55

Q4

FY’16

Q1

FY’17

Q2 Q3 Q4 Q1

FY’18

Q2 Q3 Q4

Japan 26.4 17.8 26.4 20.3 26.6 26.7 38.4 35.1 45.1

North America 19.7 17.0 34.4 24.6 25.4 24.0 32.0 29.1 33.9

Europe 9.5 5.2 6.6 15.0 32.9 25.3 26.9 19.6 25.0

South Korea 30.9 19.8 29.0 33.3 55.5 68.3 101.7 79.4 122.3

Taiwan 42.5 30.5 58.2 62.3 74.7 45.8 36.6 42.1 44.3

China 15.2 30.0 24.0 13.7 22.7 26.7 23.0 22.6 31.8

S. E. Asia, Others 5.0 10.3 17.3 9.8 5.3 4.6 6.7 13.2 23.4

SPE Division: Sales by Region (Quarterly)

149.5130.7

196.2179.3

243.5221.9

265.7241.4

326.0

0

100

200

300

400

(Billion Yen)

Page 56: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 56

Balance Sheet (Quarterly)

65.6 68.2 70.0 72.0 69.715.4 16.2 16.4 16.5 15.8

100.4 104.2 111.4 120.8 125.9

90.4 65.2 77.0 95.6 119.5

236.2 266.6 283.4332.8

344.0133.8 139.7

142.8

152.3159.5

315.3 294.6329.9

301.2

373.8957.4 954.9

1,031.11,091.5

1,208.7

Q4FY'17

Q1FY'18

Q2 Q3 Q4

645.9 655.1 707.9 709.5771.5

311.4 299.8323.1

381.9

437.1957.4 954.9

1,031.11,091.5

1,208.7

Q4FY'17

Q1FY'18

Q2 Q3 Q4

Assets Liabilities & Net Assets

(Billion Yen) (Billion Yen)

* Cash and cash equivalents: Cash and deposits + Short-term investments, etc. (Securities in B/S).

Liabilities

Net assets

Other current assets

Tangible assets

Intangible assets

Cash & cash

equivalents*

Inventories

Trade notes, accounts

receivables

Investment & other assets

Page 57: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 57

Cash Flow (Quarterly)

88.1

-12.8

75.3

-100

-80

-60

-40

-20

0

20

40

60

80

100

Q4

FY’16

Q1

FY’17

Q2 Q3 Q4 Q1

FY’18

Q2 Q3 Q4

Cash flow from operating activities 7.9 22.1 29.8 41.3 43.6 24.4 43.4 30.4 88.1

Cash flow from investing activities* -2.9 -4.9 -4.9 -4.1 -4.9 -9.3 -9.4 -15.2 -12.8

Cash flow from financing activities 0.0 -18.3 0.0 -21.0 -0.0 -36.8 -0.0 -45.7 -0.0

Free cash flow** 5.0 17.2 24.8 37.1 38.7 15.1 34.0 15.1 75.3

Cash on hand*** 236.6 230.9 255.6 277.2 315.3 294.6 329.9 301.2 373.8

* Cash flow from investing activities excludes changes in deposits with periods to maturity of over 3 months.

** Free cash flow = cash flow from operating activities + cash flow from investing activities excluding changes in deposits with periods to maturity of over 3 months.

*** Cash on hand includes the total of cash + deposits with periods to maturity of over 3 months.

(Billion Yen)

-0.0

Page 58: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 58

(Million Yen) FY2009 FY2010 FY2011 FY2012 FY2013 FY2014 FY2015 FY2016 FY2017 FY2018

Net Sales 508,082 418,636 668,722 633,091 497,299 612,170 613,124 663,948 799,719 1,130,728

Semiconductor production equipment 325,383 262,391 511,331 477,873 392,026 478,841 576,242 613,032 749,893 1,055,234

FPD production equipment 88,107 71,361 66,721 69,888 20,160 28,317 32,709 44,687 49,387 75,068

PV production equipment 3,805 3,617

Computer network 94,207 84,473 90,216 84,867 84,664 100,726

Electronic components

Other 384 410 453 461 448 479 555 6,228 438 425

Gross profit 137,408 108,316 234,758 211,444 158,754 201,892 242,773 267,209 322,291 475,032

Gross profit margin 27.0% 25.9% 35.1% 33.4% 31.9% 33.0% 39.6% 40.2% 40.3% 42.0%

SG&A expenses 122,697 110,496 136,887 151,001 146,206 169,687 154,660 150,420 166,594 193,860

Operating income 14,710 -2,180 97,870 60,443 12,548 32,204 88,113 116,788 155,697 281,172

Operating margin 2.9% -0.5% 14.6% 9.5% 2.5% 5.3% 14.4% 17.6% 19.5% 24.9%

Ordinary income 20,555 2,558 101,919 64,046 16,696 35,487 92,949 119,399 157,549 280,737

9,636 -7,767 99,579 60,602 17,766 -11,756 86,827 106,466 149,116 275,242

7,543 -9,033 71,924 36,725 6,076 -19,408 71,888 77,891 115,208 204,371

Depreciation and amortization 23,068 20,001 17,707 24,197 26,630 24,888 20,878 19,257 17,872 20,619

Capital expenditures 18,107 14,918 39,140 39,541 21,773 12,799 13,183 13,341 20,697 45,603

R&D expenses 60,987 54,074 70,568 81,506 73,248 78,663 71,349 76,286 83,800 97,103

Interest-bearing debt 3,806 5,105 7,996 4,402 3,756 13,531 ― ― ― ―

Equity 518,387 511,818 572,741 586,789 593,032 578,091 639,483 562,369 643,094 767,146

Total assets 668,998 696,351 809,205 783,610 775,527 828,591 876,153 793,367 957,447 1,208,705

Debt-to-equity ratio 0.7% 1.0% 1.4% 0.8% 0.6% 2.3% ― ― ― ―

Equity ratio 77.5% 73.5% 70.8% 74.9% 76.5% 69.8% 73.0% 70.9% 67.2% 63.5%

ROE 1.4% -1.8% 13.3% 6.3% 1.0% -3.3% 11.8% 13.0% 19.1% 29.0%

81,030 48,284 83,238 29,712 84,266 44,449 71,806 69,398 136,948 186,582

-160,621 9,613 -35,881 -8,352 -141,769 -19,599 155,737 -150,013 -28,893 -11,833

-46,015 -287 -5,236 -27,334 -10,625 -186 -18,213 -138,600 -39,380 -82,549

42.2 -50.5 401.7 205.0 33.9 -108.3 401.1 461.1 702.3 1,245.5

24 12 114 80 51 50 143 237 352 624

10,391 10,068 10,343 10,684 12,201 12,304 10,844 10,629 11,241 11,946

Net income per share

Cash dividends per share

Number of employees

Income before income taxes

Net income attributable to owners of parent

Cash flow from operating activities

Cash flow from investing activities

Cash flow from financing activities

Consolidated 10-year Financial Summary

Page 59: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 59

Disclaimer regarding forward-looking statement

Forecast of TEL’s performance and future prospects and other sort of information published are made based on information available

at the time of publication. Actual performance and results may differ significantly from the forecast described here due to changes in

various external and internal factors, including the economic situation, semiconductor/FPD market conditions, intensification of sales

competition, safety and product quality management, and intellectual property-related risks.

Processing of numbers

For the amount listed, because fractions are rounded down, there may be the cases where the total for certain account titles does not

correspond to the sum of the respective figures for account titles. Percentages are calculated using full amounts, before rounding.

Exchange risk

In principle, export sales of Tokyo Electron’s mainstay semiconductor and FPD panel production equipment are denominated in yen.

While some settlements are denominated in dollars, exchange risk is hedged as forward exchange contracts are made individually at

the time of booking. Accordingly, the effect of exchange rates on profits is negligible.

Disclaimer regarding IHS Markit data (Page 39, 41)

The IHS Markit reports and information referenced herein (the "IHS Markit Materials") are the copyrighted property of IHS Markit Ltd. (“IHS Markit”) and represent data, research,

opinions or viewpoints published by IHS Markit, and are not representations of fact. The IHS Markit Materials speak as of the original publication date thereof (and not as of the

date of this offering document). The information and opinions expressed in the IHS Markit Materials are subject to change without notice and IHS Markit has no duty or

responsibility to update the IHS Markit Materials. Moreover, while the IHS Markit Materials reproduced herein are from sources considered reliable, the accuracy and

completeness thereof are not warranted, nor are the opinions and analyses which are based upon it. To the extent permitted by law, IHS Markit shall not be liable for any errors

or omissions or any loss, damage or expense incurred by reliance on the IHS Markit Materials or any statement contained herein, or resulting from any omission. No portion of

the IHS Markit Materials may be reproduced, reused, or otherwise distributed in any form without the prior written consent of IHS Markit. Content reproduced or redistributed with

IHS Markit’s permission must display IHS Markit’s legal notices and attributions of authorship. IHS Markit and the IHS Markit globe design are trademarks of IHS Markit. Other

trademarks appearing in the IHS Markit Materials are the property of IHS Markit or their respective owners.

Disclaimer regarding Gartner data (Page 6, 7, 12, 19)

All statements in this presentation attributable to Gartner represent Tokyo Electron’s interpretation of data, research opinion or viewpoints published as part of a syndicated

subscription service by Gartner, Inc., and have not been reviewed by Gartner. Each Gartner publication speaks as of its original publication date (and not as of the date of this

presentation). The opinions expressed in Gartner publications are not representations of fact, and are subject to change without notice.

FPD: Flat panel display

Page 60: Tokyo Electron Corporate Update ·  · 2018-05-142018-05-14 · processes: front-end (wafer fabrication) and back-end ... “Market Share: Semiconductor Wafer Fab Equipment ... process

CORP IR / 2018.05.14 60