tel.archives-ouvertes.fr · hal id: tel-00938619 submitted on 29 jan 2014 hal is a...

206
HAL Id: tel-00938619 https://tel.archives-ouvertes.fr/tel-00938619 Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and dissemination of sci- entific research documents, whether they are pub- lished or not. The documents may come from teaching and research institutions in France or abroad, or from public or private research centers. L’archive ouverte pluridisciplinaire HAL, est destinée au dépôt et à la diffusion de documents scientifiques de niveau recherche, publiés ou non, émanant des établissements d’enseignement et de recherche français ou étrangers, des laboratoires publics ou privés. Investigation and development of advanced Si/SiGe and Si/SiGeC Heterojunction Bipolar Transistors by means of Technology Modeling Andrés Quiroga To cite this version: Andrés Quiroga. Investigation and development of advanced Si/SiGe and Si/SiGeC Heterojunction Bipolar Transistors by means of Technology Modeling. Other [cond-mat.other]. Université Paris Sud - Paris XI, 2013. English. NNT: 2013PA112273. tel-00938619

Upload: others

Post on 20-Sep-2020

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

HAL Id: tel-00938619https://tel.archives-ouvertes.fr/tel-00938619

Submitted on 29 Jan 2014

HAL is a multi-disciplinary open accessarchive for the deposit and dissemination of sci-entific research documents, whether they are pub-lished or not. The documents may come fromteaching and research institutions in France orabroad, or from public or private research centers.

L’archive ouverte pluridisciplinaire HAL, estdestinée au dépôt et à la diffusion de documentsscientifiques de niveau recherche, publiés ou non,émanant des établissements d’enseignement et derecherche français ou étrangers, des laboratoirespublics ou privés.

Investigation and development of advanced Si/SiGe andSi/SiGeC Heterojunction Bipolar Transistors by means

of Technology ModelingAndrés Quiroga

To cite this version:Andrés Quiroga. Investigation and development of advanced Si/SiGe and Si/SiGeC HeterojunctionBipolar Transistors by means of Technology Modeling. Other [cond-mat.other]. Université Paris Sud- Paris XI, 2013. English. �NNT : 2013PA112273�. �tel-00938619�

Page 2: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

UNIVERSITE PARIS-SUD

ÉCOLE DOCTORALE : STITS Institut d'Électronique Fondamentale

SPECIALITE : PHYSIQUE

THÈSE DE DOCTORAT

soutenue le 14/11/2013

par

Andrés QUIROGA

Investigation and development of advanced Si/SiGe and Si/SiGeC Heterojunction Bipolar Transistors by means of Technology Modeling

Directeur de thèse : Frédéric ANIEL IEF, Université Paris-Sud

Co-encadrant de thèse : Nicolas ZEROUNIAN IEF, Université Paris-Sud

Responsable Industriel : Clément TAVERNIER STMicroelectronics Composition du jury : Président du jury : Souhil MEGHERBI IEF, Université Paris-Sud Rapporteurs : Christell MANEUX IMS, Université de Bordeaux Christophe GAQUIERE IEMN, Université de Lille 1 Examinateurs : Agnieszka KONCZYKOWSKA Alcatel Thales III-V Lab, Marcoussis Nicolas ZEROUNIAN IEF, Université Paris-Sud Clément TAVERNIER STMicroelectronics, Crolles Frédéric ANIEL IEF, Université Paris-Sud

Institut d'Électronique Fondamentale

Page 3: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and
Page 4: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and
Page 5: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and
Page 6: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

v

Acknowledgments

This research work is the result of a collaborative effort between the Institut d'Électronique

Fondamentale at the Université Paris-Sud and STMicroelectronics in the framework of the

DotFive project, supported by the European Commission through the Seventh Framework

Program for Research and Technological Development.

I would like to express my deepest appreciation and sincere gratitude to Pr. Frederic Aniel,

Dr. Nicolas Zerounian, Dr. Clement Tavernier and Dr. Ardechir Pakfar for giving me the

guidance, insight, encouragement, and independence during all the stages of research and

thesis work.

This research work was also possible due to generous support of the Device Modeling group

of STMicroelectronics, Crolles France, where I interned as a technology modeling engineer

for advanced SiGe bipolar devices. I would like to specially thank my managers Dr. Clément

Tavernier and Dr. Hervé Jaouen. I would also like to extend special thanks to Dr. Didier Celi,

Mr. Nicolas Derrier and Mr. Franck Pourchon in the Bipolar Modeling team and Dr. Alain

Chantre and Dr. Pascal Chevalier in the Advanced SiGe Bipolar team of the R&D Process

Integration group. I want to express my appreciation to Cristophe Gaquiere, Christell

Maneux, Agnieszka Konczykowska and Souhil Megherbi for their time and consent to be in

my thesis committee.

I would also like to thank my colleagues in the IEF at Orsay: Eloy Ramirez, Amad Driss, Eric

Tea, Xing Liu, Lei Cao and Mrs. Anne-Sophie Grimault-Jacquin. I would like to express my

deepest appreciation and sincere gratitude to the entire TCAD Modeling team: Sebastien

Gallois-Garreignot, Loic Loisel, Zahi Essa, Floria Blanchet, El-Mehdi Bazizi, Pierre Boulen,

Vincent Fiori, Vincent Quenette, Romuald Roucou, Olivier Saxod, Amina Sidhoum, Julien

Singer, Benoit Sklenard, Papa Momar Souare, Assawer Soussou, Pascal Tannhof, François

Waquant and Alban Zaka. I would also like to thank Cecilia Mezzomo, Giancarlo Castañeda,

Natalia Ruiz, Birahim Diagne, Davide Garetto, Thomas Lacave.

And last, but definitely not the least, I would like to thank my family for their unconditioned

love, and for their support and confidence over the years. I am forever indebted to my family.

Page 7: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

vi

Page 8: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

vii

Abstract

The present work investigates the technology development of state-of-the-art SiGe and

SiGeC HBTs by means of technology computer aided design (TCAD). The objective of this

work is to obtain an advanced HBT device very close to the real device not only in its

process fabrication steps, but also in its physical behavior, geometric architecture, and

electrical results. This investigation may lead to achieve the best electrical performances for

the devices studied, in particular a maximum operating frequency of 500 GHz. The results of

this work should help to obtain more physical and realistic simulations, better understanding

of charge transport, and facilitate the development and optimization of SiGe and SiGeC HBT

devices.

The TCAD simulation kits for SiGe/SiGeC HBTs developed during our work have been

carried out in the framework of the STMicroelectronics bipolar technology evolution. In order

to achieve accurate simulations we have used, developed, calibrated and implemented

adequate process models, physical models and extraction methodologies. To our

knowledge, this work is the first approach developed for SiGe/SiGeC HBTs which takes into

account the impact of the strain, and of the germanium and carbon content in the base, for

both: process and electrical simulations.

In this work we will work with the successive evolutions of B3T, B4T B5T technologies. For

each new device fMAX improves of 100 GHz, thus the technology B3T matches to 300 GHz,

B4T and B5T to 400 and 500 GHz respectively.

Chapter one introduces the SiGe SiGeC heterojunction bipolar technologies and their

operating principles. This chapter deals also with the high frequency AC transistor operation,

the extraction methods for fMAX and the carrier transport in extremely scaled HBTs.

Chapter two analyzes the physical models adapted to SiGeC strained alloys used in this

work and the electrical simulation of HBT devices. This is also an important work of

synthesis leading to the selection, implementation and development of dedicated models for

SiGeC HBT simulation.

Page 9: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

viii

Chapter three describes the B3T TCAD simulation platform developed to obtain an

advanced HBT very close to the real device. In this chapter the process fabrication of the

B3T technology is described together with the methodology developed to simulate advanced

HBT SiGeC devices by means of realistic TCAD simulations.

Chapter four describes the HBT architectures developed during this work. We will propose

low-cost structures with less demanding performance requirements and highly performing

structures but with a higher cost of production. The B4T architecture which has been

manufactured in clean-room is deeply studied in this chapter. The impact of the main

fabrication steps is analyzed in order to find the keys process parameters to increase fMAX

without degrading other important electrical characteristics. At the end of this chapter the

results obtained is used to elaborate a TCAD simulation platform taking into account the

best trade-off of the different key process parameters to obtain a SiGeC HBT working at

500 GHz of fMAX.

Page 10: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

ix

Résumé

“Recherche et développement de transistors bipolaires avancés par le

biais de la modélisation technologique”

Le travail porte sur le développement et l’optimisation de transistors bipolaires à

hétérojonction (TBH) SiGe et SiGeC par conception technologique assistée par ordinateur

(TCAD). L'objectif est d'aboutir à un dispositif performant réalisable technologiquement, en

tenant compte de tous les paramètres : étapes de fabrication technologiques, topologie du

transistor, modèles physiques. Les études menées permettent d’atteindre les meilleures

performances, en particulier une amélioration importante de la fréquence maximale

d’oscillation (fMAX). Ce travail est la première approche développée pour la simulation des

TBH SiGeC qui prend en compte l'impact de la contrainte et de la teneur en germanium et

en carbone dans la base; conjointement pour les simulations des procédés de fabrication et

les simulations électriques.

Pour ce travail, nous avons développé et implémenté dans le simulateur TCAD des

méthodes d'extraction de fMAX prenant en compte les éléments parasites intrinsèques et

extrinsèques. Nous avons développé et implémenté un modèle pour la densité effective

d’états en fonction de la teneur en germanium et en carbone dans la base. Les modèles

pour la bande interdite, la mobilité et le temps de relaxation de l'énergie sont calibrés sur la

base de simulations Monte-Carlo.

Les différentes analyses présentées dans cette thèse portent sur six variantes

technologiques de TBH. Trois nouvelles architectures de TBH SiGe:C avancés ont été

élaborées et proposées pour des besoins en basse et en haute performances. Grace aux

résultats obtenus, le meilleur compromis entre les différents paramètres technologiques et

dimensionnels permettent de fabriquer un TBH SiGeC avec une valeur de fMAX de 500 GHz,

réalisant ainsi l’objectif principal de la thèse.

Page 11: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

x

Page 12: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

xi

Table of Contents

Acknowledgments ................................................................................................. v

Abstract .............................................................................................................. vii

Introduction .......................................................................................................... 1

1 Silicon Bipolar and Silicon-Germanium-Carbon Heterojunction Bipolar

Transistors ...................................................................................................... 3

1.1 Bipolar Junction Transistor Theory............................................................................ 5

1.1.1 Terminal Currents ................................................................................................. 6

1.1.2 Collector Current ................................................................................................... 8

1.1.3 Base Current ......................................................................................................... 9

1.1.4 Current Gain ......................................................................................................... 9

1.1.5 Collector-emitter breakdown voltage (BVCEO) ...................................................... 10

1.1.6 SiGeC HBT vs Si Bipolar Transistor .................................................................... 12

1.2 High Frequency AC Operation ................................................................................ 14

1.2.1 Two Port Modeling .............................................................................................. 14

1.2.1 Carrier Transit Time ............................................................................................ 16

1.2.2 Definition of the Maximum Oscillation Frequency ................................................ 17

1.2.3 Extraction Methods for fMAX ................................................................................. 19

1.2.3.1 fMAX Extraction with a Full Frequency Sweep ................................................... 20

1.2.3.2 fMAX Extrapolation over a Wide Frequency Range ........................................... 20

1.2.3.3 fMAX Extrapolation at a Fixed Frequency .......................................................... 21

1.2.4 Definition of the current gain cut-off Frequency ................................................... 22

1.2.1 AC Current Gain Determination .......................................................................... 23

1.3 Carrier Transport in Extremely Scaled SiGe HBTs .................................................. 25

1.3.1 Transport Phenomena in Bipolar Devices ........................................................... 26

1.3.2 Quantum Transport ............................................................................................. 27

1.3.3 The Semi-Classical Boltzmann Transport Equation (BTE) .................................. 28

Page 13: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

xii

1.3.4 The Monte Carlo Method .................................................................................... 29

1.3.5 Hydrodynamic Transport Model .......................................................................... 30

1.3.6 Drift-Diffusion Transport Model ........................................................................... 33

1.4 Conclusion .............................................................................................................. 34

2 Transport Modeling in SiGe and SiGeC HBTs: from material and physics to

devices ..........................................................................................................35

2.1 Film Growth and Material Properties of SiGeC Alloys ............................................. 35

2.2 Band structure ........................................................................................................ 38

2.3 Electron and Hole Effective Masses ........................................................................ 38

2.3.1 Electron Effective Masses ................................................................................... 39

2.3.2 Hole Effective Masses ........................................................................................ 43

2.3.3 Effective Density of States .................................................................................. 45

2.3.4 Effective Density of States Models ...................................................................... 46

2.4 Bandgap ................................................................................................................. 51

2.4.1 Band Offsets ....................................................................................................... 51

2.4.2 SiGeC Bandgap Model ....................................................................................... 52

2.4.3 Bandgap Narrowing in SiGeC HBTs ................................................................... 53

2.4.3.1 Impact on Carrier Statistics ............................................................................. 54

2.4.3.2 BGN and Apparent BGN Models ..................................................................... 56

2.5 Electron and Hole Mobility in Heavily Doped SiGeC strained Layers ...................... 57

2.5.1 Contributions to the Mobility ................................................................................ 58

2.5.2 SiGeC Mobility Models ........................................................................................ 58

2.5.2.1 Phonon scattering ........................................................................................... 58

2.5.2.2 Impact of biaxial strain: Anisotropic Mobility .................................................... 60

2.5.2.3 Impurity scattering and new mobility model for SiGeC alloys .......................... 62

2.5.2.4 Ge and C impact and final expression of mobility ............................................ 64

2.6 Energy Relaxation Time .......................................................................................... 65

2.7 Effect of Transport Models on the HBT Behavior .................................................... 66

2.8 Conclusion .............................................................................................................. 69

Page 14: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

xiii

3 TCAD Simulation of Advanced Terahertz SiGe and SiGeC HBTs ....................71

3.1 Simulation Context and Objective ........................................................................... 71

3.2 B3T Technology...................................................................................................... 72

3.2.1 B3T process fabrication ...................................................................................... 73

3.2.2 Simulated Structure ............................................................................................ 76

3.2.3 B3T Mask Layout ................................................................................................ 77

3.2.4 B3T TCAD Project .............................................................................................. 78

3.3 Composition and Dopant Diffusion Modeling .......................................................... 80

3.3.1 Carbon Content .................................................................................................. 80

3.3.1.1 Carbon incorporation in SiGe alloys ................................................................ 81

3.3.1.2 Carbon Diffusion Effect on the Base Width ..................................................... 82

3.3.2 Dopant Diffusion in SiGeC Alloys ........................................................................ 84

3.3.3 Boron Diffusion in SiGe and SiGe:C Alloys ......................................................... 87

3.3.4 Modeling of Boron Diffusion in SiGe and SiGeC Alloys ....................................... 89

3.4 B3T Simulation and Calibration ............................................................................... 90

3.4.1 The Process Simulator ........................................................................................ 91

3.4.1.1 Etching and Deposition Simulation .................................................................. 91

a) Etching ............................................................................................................ 92

b) Deposition ....................................................................................................... 93

3.4.1.2 Diffusion .......................................................................................................... 93

3.4.1.3 Oxidation ......................................................................................................... 94

3.4.2 1D Process Simulation ........................................................................................ 94

3.4.3 2D Process Simulation ........................................................................................ 95

3.4.4 Electrical Simulation ............................................................................................ 98

3.4.4.1 Collector Current Calibration ........................................................................... 99

3.4.4.2 Base Current Calibration ................................................................................. 99

3.4.4.3 Transition Frequency Calibration ..................................................................... 99

3.4.4.4 BVCEO Calibration .......................................................................................... 100

3.5 Impact of process parameters ............................................................................... 101

Page 15: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

xiv

3.5.1 Effect of the boron doping level ......................................................................... 101

3.5.1.1 Boron Diffusion Effect on the Base Width ...................................................... 101

3.5.1.2 Boron Doping Level Impact on Electrical Characteristics .............................. 103

3.5.2 Carbon Content ................................................................................................ 104

3.5.2.1 Carbon Diffusion Effect on the Base Width ................................................... 104

3.5.2.2 Influence on Frequency Performance and Current Gain ............................... 105

3.5.3 Germanium Profile Effect .................................................................................. 107

3.5.3.1 Effect of Germanium Percentage at the Emitter Side (GeE) .......................... 107

3.5.3.2 Effect of Germanium Percentage in the Middle (GeB) ................................... 108

3.5.3.3 Effect of Germanium Percentage at the Collector Side (GeC) ....................... 109

3.6 Electrical Results .................................................................................................. 111

3.6.1 Static Characteristics ........................................................................................ 111

3.6.2 Dynamic Characteristics ................................................................................... 112

3.7 Conclusion ............................................................................................................ 114

4 Optimization towards fMAX 500 GHz ............................................................117

4.1 High Speed SiGe and SiGeC HBTs Technologies ................................................ 118

4.1.1 IHP ................................................................................................................... 118

4.1.2 IMEC ................................................................................................................. 119

4.1.3 IBM ................................................................................................................... 119

4.1.4 Freescale .......................................................................................................... 120

4.2 New Architectures Exploration .............................................................................. 121

4.2.1 High-performance in a low-cost HBT architecture ............................................. 121

4.2.1.1 Collector-Base Capacitance Study ................................................................ 122

a) Undoped Collector Epitaxy ............................................................................ 124

b) Doped Collector Epitaxy ................................................................................ 125

4.2.2 Spacers poly formation issue ............................................................................ 126

4.2.2.1 Spacers role in low cost architecture ............................................................. 126

4.2.2.2 Spacers issue ............................................................................................... 127

4.2.2.3 Spacers Etching Shape ................................................................................. 128

Page 16: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

xv

4.2.2.4 Geometry Dependence ................................................................................. 130

4.2.1 Buried Collector Architecture ............................................................................ 131

4.2.2 Performances comparison ................................................................................ 132

4.3 B4T Architecture ................................................................................................... 133

4.4 ST B4T devices and Simulation ............................................................................ 134

4.4.1 Electrical Results .............................................................................................. 135

4.5 Impact of the process parameters and optimization towards 0.5 THz fMAX ............. 138

4.5.1.1 Boron doping level and Carbon Content ........................................................ 140

4.5.1.2 Germanium Impact ........................................................................................ 144

4.5.1.3 Influence of process parameters I: Emitter Window, Base Connection, SIC

Energy and Dose. ......................................................................................... 146

4.5.1.4 Influence of process parameters II: SPIKE, Collector-Base Oxide and

Amorphous Poly Etching. .............................................................................. 150

4.5.2 Simulated SiGeC HBT device working at 500 GHz: B5T ................................... 152

4.6 Conclusion ............................................................................................................ 155

Conclusion .........................................................................................................157

Bibliography ......................................................................................................159

Appendice .........................................................................................................173

Résumé de la thèse ...........................................................................................173

“Recherche et développement de transistors bipolaires avancés par le biais de

la modélisation technologique” ..................................................................173

1 Fonctionnement du transistor bipolaire a hétérojonction SiGe/SiGeC et le transistor

bipolaire au silicium .............................................................................................. 174

1.1 Fonctionnement à haute fréquence....................................................................... 174

1.2 Extraction de la fréquence maximale d’oscillation fMAX .......................................... 175

1.3 Transport de charge dans les semiconducteurs .................................................... 175

2 Modélisation du transport des charges dans les TBH SiGe et SiGeC ................... 176

2.1 Masses effectives d’électrons et de trous.............................................................. 176

2.2 Les modèles de densité effective d’états .............................................................. 176

Page 17: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

xvi

2.3 Le modèle de bande interdite ................................................................................ 178

2.4 Modèles de mobilité des électrons et des trous dans les couches contraintes SiGeC

fortement dopées .................................................................................................. 179

2.5 Le modèle du temps de relaxation de l'énergie ..................................................... 179

3 Influence des paramètres technologiques sur la technologie B3T ......................... 179

3.1 Composition et modélisation de la diffusion des dopants ...................................... 180

3.2 Simulation et calibrage des dispositifs B3T ........................................................... 180

3.3 Influence du niveau de dopage (bore) ................................................................... 180

3.4 Influence du carbone ............................................................................................ 181

3.5 Influence du profil de germanium .......................................................................... 181

3.5.1 Effet du pourcentage de germanium côte émetteur (GeE) ................................ 181

3.5.2 Effet du pourcentage de germanium côte collecteur (GeC) ............................... 181

3.6 Résultats électriques ............................................................................................ 182

4 Optimisation vers fMAX de 500 GHz ....................................................................... 182

4.1 Comparaison des performances ........................................................................... 183

4.2 Architecture B4T ................................................................................................... 184

4.2.1 Résultats électriques ......................................................................................... 185

4.3 Influence des paramètres technologiques et optimisation vers fMAX de 500 GHz. .. 185

4.4 Un transistor bipolaire SiGeC avec fMAX de 500 GHz ............................................ 186

5 Conclusion ............................................................................................................ 187

Page 18: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

1

Introduction

Since the 1990s, thanks to the rapid progress of the technology used for manufacturing

silicon germanium based heterojunction bipolar transistors (SiGe HBTs), this has gradually

replaced the III-V compound devices technology for their typical applications [Böck]. This is

mainly due to the high performances reached by SiGe based devices, and the compatibility

between the SiGe and silicon materials which allows to build them in a conventional

substrate beside to CMOS circuits (BiCMOS technology), keeping similar cost of

manufacturing.

BiCMOS technology offers high volume production and generates an attractive market for

applications in the millimeter wave range. Recent studies have shown that this market is in

the strong growth, and this trend will continue in coming years [Dotfive]. To meet this

demand several semiconductor manufacturers have among their objectives to increase

performances of the SiGe and SiGeC HBT devices.

This objective calls for devices operating with fMAX of about 500 GHz, a challenging task to

achieve, where important improvements are needed, leading to the increase of the involved

costs. Finding a suitable process for the fabrication of an integrated circuit is a long and

costly operation. IC processing takes a relatively long time: a test run may involve hundreds

of different process steps and the execution of a process in the clean room may take several

weeks.

Moreover, the optimization of a process requires a large number of such runs in which each

time the value of a relevant process is changed. The development time for a process can be

considerably reduced if results are predicted with an efficient and reliable modeling. In the

past, such predictions have been made solely on the basis of experience, but this procedure

may lead to an inefficient practice of trial and error if the results are not accurate enough.

The simulation of one or more process steps with a computer offers a valuable tool to

improve the reliability of these predictions. Process and device simulations thus offer an

attractive and cheap alternative for lengthy test runs and experiments in clean room.

This work stems from the harmonious work between in semiconductor research in private

company and in academic world to achieve individual devices and integrated circuits with

Page 19: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

2

higher operating speed, enabling realization of new applications at very high frequency. This

work has been carried out in collaboration with the TCAD Modeling Department of

STMicroelectronics at Crolles, and the research team EPHYCAS (études physiques de

composants aux hautes fréquences) of the Institut d’Electronique Fondamentale at the

Université Paris-Sud, Orsay.

In this work existing HBT architectures are investigated by means of TCAD in order to

reinforce the agreement with the electrical measurements of this technology and with the

support of the early technology process development done in the ST manufacturing unit at

Crolles.

The capabilities of the existing architecture are evaluated to extend the operating conditions

to 300 GHz and beyond. The influence of each region of the device is investigated in order

to evaluate how much each part of the process/architecture contributes to performance and

to push further the limit of this technology by TCAD means. This work is carried-out in

synergy with the technology development team and a TCAD-based solution will be

manufactured.

The fourth phase of the project consists in the development of a new HBT architecture able

to bridge the step in frequency towards 500 GHz in strong collaboration with the process

development team.

Page 20: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

3

Chapter 1

1 Silicon Bipolar and Silicon-Germanium-Carbon Heterojunction Bipolar Transistors

The invention of the bipolar transistor at the Bell Telephone Laboratories by Shockley in

1948 [Shockley 49] [Weiner 73], and the demonstration of its practical feasibility and its

amplifying action by Bardeen and Brattain in 1949 [Bardeen 49], marked the beginning of

the microelectronics industry. The advantages of this three-terminal device over the vacuum-

tube diode were: the smaller size, much less power consumption, better electrical

performance, better reliability and lower prices. Initially, germanium was predominantly the

material used during the fabrication and later silicon took over almost completely.

The natural advantages of silicon are: highly earth abundant, excellent thermal properties,

diamond lattice structure, nontoxic and highly stable, excellent mechanical properties, high-

quality dielectric easily grown on, etc. It has made it the most important material of the

semiconductor industry. Silicon has been one of the most studied materials, technical and

technological expertise has been acquired through many decades. However comparing

compound semiconductors and heterostructures for RF and microwave circuit applications,

the use of germanium comes to play; thanks to silicon-germanium compound the silicon-

based technology can be even more improved.

Nowadays SiGe technologies are considerably developed enabling the improvement of the

performances without abandoning the silicon technology. The main characteristics of the

SiGe material with respect to silicon are its lower energy bandgap and the possibility to

control it by varying the germanium content. The addition of germanium into silicon

technologies to build SiGe heterojunction bipolar transistors enable to practice bandgap

Page 21: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

4

engineering. To make possible the design of energy band discontinuities and spatial

gradient in the base, leads the improvement of the statics and the dynamics properties of the

transistor [Kasper 93] [Arndt 98].

Later, the bandgap engineering has been enhanced by using carbon in very thin base SiGe

HBTs. Incorporation of carbon in SiGe diminishes the mechanical stress generated by

germanium [Lanzerotti96], promoting the mechanical stability of the strained layer, this

thanks of the carbon lattice constant which is much smaller than that of silicon or

germanium. The mains interest of carbon is to limit the diffusion of boron dopant atoms and

make possible the confinement of dopant atoms in a thinner base layer which improves the

transistor performance [Osten97].

SiGeC HBTs are generally integrated with MOS transistors in the BiCMOS technology. This

co-integrates bipolar and CMOS devices in such way as to combine the advantages of both

creating new circuit options and leads to high performance circuits. In the current

marketplace a large number of commercial products using SiGe HBTs are available, and

today market options for SiGeC HBTs include:

Automotive [Demirel 11] [Lehmann 11]

RF and microwave circuits [Parisa 11] [Sumei 11] [Lei Wang 11]

Cryo-Electronics [Cressler 10] [Ramirez-Garcia 09] [Cressler 93.1]

Low Noise RF [Kenneth 11] [Gang Liu 10] [Pacheco 10]

Microwave Power Circuits [Joseph 11] [Xiao Ying 09] [Van Noort 08]

High-speed interconnects [Tillack 11]

High Speed Wireless Communication [Rucker 10] [Viswanathan 08]

Space [Lan Luo 11]

Imaging [Ojefors 11]

Page 22: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

5

1.1 Bipolar Junction Transistor Theory

The bipolar transistor is a three-layer semiconductor device consisting of either two n-type

and one p-type or two p-type and one n-type layers of material. The former is called an npn

transistor, while the latter is called a pnp transistor. In a npn transistor (most HBTs) the three

semiconductor regions are: emitter (n-type), base (p-type), and collector (n-type). As it will

see shortly, charge carriers of both polarities (electrons and holes) participate in the bipolar

transistor operation, which is the reason for the name bipolar. Figure 1.1 shows a schematic

representation of a npn Si bipolar transistor structure, with the typical dopant profiles and the

transistor biased to operate in the active mode.

Figure 1.1 Basic npn Si bipolar transistor structure. Above, typical dopant profiles for emitter, base

and collector. Below, transistor biased to operate in the active mode.

The npn transistor consists of two pn junctions, the emitter–base junction and the collector–

base junction. In normal operation the emitter-base junction is forward-biased while the

collector-base junction is reverse biased, which means that the potential barrier between

emitter and base is reduced while it is increased between collector and base. As a result a

large number of majority carriers will diffuses across the forward-biased np junction into the

p-type material, leading to the emitter current as depicted in Figure 1.2.

Concentration

ICIE

IB

Emitter (E) Base (B) Collector (C)

N+ P N

VBE VCB

NE

NB

NC

SCR SCR

Page 23: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

6

Figure 1.2 Energy band diagram for (a) a npn Si bipolar transistor with no applied bias, (b) for a npn

Si bipolar transistor biased in forward active mode.

Since the sandwiched p-type material is very thin and lesser doped compared to the heavily

doped emitter, a large fraction of electrons injected from the emitter reach and cross the

collector reverse-biased junction creating the collector current. Reaching the n-type material

electrons become majority carriers. Only a small percentage of all electrons flowing through

the base-emitter junction can recombine with the less numerous holes in the base, forming

the very small base current.

1.1.1 Terminal Currents

To illustrate transistor current components in an npn bipolar transistor operating in the

forward active mode, let’s examine what happens inside. Below we will describe the various

components of emitter, base and collector currents illustrated in Figure 1.3.

Page 24: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

7

Figure 1.3 Emitter, collector and base current components of a npn BJT in the forward active mode.

a) IE, Emitter current components:

- InE: Electron diffusion current at the left-hand edge of the neutral base region

- IpE: Hole diffusion current at the left edge of the emitter/base depletion region

- IrG: Recombination current in the emitter/base depletion region

Total emitter current is then:

E nE pE rGI I I I (1.1)

b) IB, Base current components:

- IpE: hole diffusion current at the left edge of the emitter/base depletion region

- IrG: Recombination current in the emitter/base depletion region

- IrB: Recombination current in the neutral base

The resulting base current is therefore the following:

rBrGpEBIIII (1.2)

c) IC, Collector current components:

The collector current IC is mainly defined by the larger number of majority carriers

(electrons) diffused through the base region toward the collector, that is:

rBnEnCBEC

IIIIII (1.3)

IE

I B

IC

Emitter Base CollectorSCRE SCR

N+ P NN+

Irg IrbIrg Irb

Electrons Ine IncElectrons Ine Inc

TrousIpe

HolesIpe

Page 25: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

8

In the following paragraphs we describe the transistor currents based on the semi-classical

Boltzmann transport equation (BTE) and the drift diffusion approach is presented later in

section 1.3.

1.1.2 Collector Current

The dependences of the injected minority-carrier concentrations on the applied junction

voltages can be obtained by using the Boltzmann expressions for the carrier concentrations

and the behavior of the quasi-Fermi levels in the device.

The current density is proportional to the product of the carrier concentration and the

gradient of the quasi-Fermi level. Intrinsic carrier concentration in silicon is defined by:

(1.4)

with NC and NV the conduction band and valence band density of states, respectively, and

EG the bandgap. In the case of the SiGeC base layer of the HBT this is:

(1.5)

Collector current can be expressed according to the drift-diffusion model. Let us consider a

homogeneously doped base region within the transistor. In this region the electric field is

relatively small and negligible outside the space-charge-region (SCR) and the diffusion term

only of the electron current is:

(1.6)

The relation between electron concentration and the applied junction voltage is:

(1.7)

Substituting (1.7) into (1.6) gives:

(1.8)

where is defined by

(1.9)

Page 26: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

9

thus the collector current as a function of emitter area and base width is:

(1.10)

1.1.3 Base Current

Similarly to the situation in a diode, the hole current flows from the base (p-type region) to

the emitter (n-type region) due to a gradient in the hole concentration between emitter and

base. This concentration gradient is caused by recombination of electron-hole pairs in the

emitter layer and at the interface. In the case of base current the current density is:

(1.11)

The relationship between hole concentration and the applied junction voltage is:

(1.12)

After of substituting (1.12) into (1.11) and with

we obtain the expression for base

current as a function of emitter area and emitter width (thickness of the emitter layer WE):

(1.13)

1.1.4 Current Gain

The levels of IC and IB in the common emitter configuration are related by the current gain

which is defined by the following equation:

(1.14)

for a SiGeC HBT this is:

(1.15)

Page 27: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

10

In a SiGeC HBT the current gain is highly depending on the germanium percentage mainly

because of the exponential dependency on the bandgap difference between the emitter and

the base. In this case, this is poorly influenced by the base and the emitter thickness, and

modestly influenced by the doping levels of the base and emitter layers. There is a trade-off

between the current gain and the base resistance which limits the frequency response.

Doping of the base should be high enough for a low base resistance, without out-diffusion of

p-dopant into the emitter, and the base layer should be thin enough for a small transit time

through it.

1.1.5 Collector-emitter breakdown voltage (BVCEO)

The collector-emitter breakdown voltage in common emitter configuration with an open base

(BVCEO) is an important parameter for the optimization of the bipolar transistor. It is linked

with the avalanche multiplication process in the base-collector SCR under open base

condition. With the base-emitter junction forward-biased, the electron current (Figure 1.3)

enters into the base-collector depletion region. Because of the high electric field in this

region the avalanche multiplication phenomenon can occur and generates electron-hole

pairs such as holes required for the base recombination are produced by the impact

ionization (no current is required from the open base contact ). Under these conditions we

find that:

(1.16)

(1.17)

where is the multiplication factor for electrons. The breakdown collector-emitter

breakdown voltage can be written in the following form:

(1.18)

where is the breakdown voltage in common base with the base open circuit. This is

given by:

(1.19)

Page 28: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

11

The critical electric field depends on the material doping level e.g. for

= 105 V.cm-1

the breakdown voltage in common base is around of 5 V.

As shown in Figure 1.4, the BVCEO value is the voltage where the base current is zeroed.

This is an important parameter for the transistor design since its value limits the maximum

voltage that can be applied to the transistor in common emitter.

Figure 1.4 Statics Characteristics, collector-emitter breakdown voltage BVCEO for Vbe= 0.7 V at 300K.

There is a trade-off between the BVCEO and the current gain as showed in Figure 1.5, the

former is inversely proportional to the latter. The best BVCEO value cannot be obtained

simultaneously with the higher current gain value. For the SiGeC HBT devices studied in this

work BVCEO is about 1.5 V. High BVCEO requires low collector doping and thick collector

SCR, and low collector transit time requires the opposite configuration.

Figure 1.5 Trade-off between current gain β and breakdown voltage BVCEO

0 0.5 1 1.5-5

0

5

10

VCE

(V)

Cu

rre

nt

(nA

)

Base Current ( IB

)

BVCEO

Cu

rren

t G

ain

High-SpeedDevices

BVCEO

High-Voltage devices

Page 29: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

12

1.1.6 SiGeC HBT vs Si Bipolar Transistor

The bandgap engineering used in the design of SiGeC HBTs is the key of considerable

static and dynamic performance enhancements. The effect of SiGe on the base-bandgap

and transport properties can be advantageously used in the bipolar transistor to provide a

higher collector current and reduce the carrier transit time. As SiGeC alloy has a lower

bandgap than Si, a bipolar transistor could be created with SiGeC in the base to obtain

much higher values of current gain. To understand the differences between the SiGeC HBT

and the Si BJT the band diagrams of both are compared in Figure 1.6.

Figure 1.6 Comparison of the band diagrams of a SiGeC HBT (solid line) and a Si bipolar transistor

(dashed line). (a) The band structure for a Si bipolar transistor (BJT) and a rectangular Ge base

profile (HBT). (b) The band structure for a Si bipolar transistor (BJT) and a linearly Ge graded SiGe

HBT.

In a SiGeC HBT band diagram, the valence band is much more impacted than the

conduction band, therefore there is a stronger difference in this band with respect to Si BJT.

According to the band alignment between Si and SiGeC, the valence band produces step

Page 30: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

13

discontinuities (step of about 50 to 250 meV depending on the Ge fraction) while the

conduction band produces very small spikes discontinuities (less than 30 meV).

For a fixed applied voltage at the emitter-base junction, SiGeC base leads to lower the

energy barrier for electrons, which enables a higher carrier injection. Hence collector current

is stronger than in the case of a silicon bipolar transistor at the same bias as is plotted in

Figure 1.7. In addition, it is possible to optimize device profile introducing a graded

germanium epitaxy. With a Ge concentration higher in the collector side than the emitter

side, and a constant acceptor doping level, we obtain a gradient in the conduction band,

which acts as a built-in electric field, accelerating electrons from the emitter to collector. This

allows reduction of the transit time of the carriers in the neutral base improving the frequency

performance [Hurkx 94].

Figure 1.7 Gummel plot comparison characteristics of two equivalents transistors SiGe HBT and Si

BJT.

The effect of the bandgap discontinuity in the emitter-base junction was described by

Kroemer who showed that if the bandgap in the emitter was wider than that of the base, the

minority carriers moving from emitter to the base will see a lower barrier than the minority

carriers injected from the base to the emitter, inducing an exponential augmentation in the

current gain [Kroemer 57].

The grading of germanium in the thin base can generate large electric fields. If we use a

linear Ge profile with a peak of 10%, in a 50 nm of base width, this yields 75 meV over

50 nm i.e. 15 kV/cm of electric field; such quantity can accelerate the minority carriers up to

1x107 cm/sec (saturation velocity). This means that the frequency response will be

considerably improved because of the electrons transit time reduction.

0.5 0.6 0.7 0.8 0.9 110

- 10

10- 8

10- 6

10- 4

10- 2

Vbe(V)

I C,I

B(A

)

SiGeC HBT

Si BJT

Page 31: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

14

1.2 High Frequency AC Operation

The two main figures of merit related to the dynamic characteristics in transistors are the

transition or unity gain cut-off frequency (fT) and the maximum oscillation frequency (fMAX),

with fMAX more sensitive to extrinsic parasitic elements [Shi & Niu 2005]. In the last part of

this section we will describe the different methods of extraction for fMAX which we develop for

the AC simulation. These techniques are also used for determinate fT.

1.2.1 Two Port Modeling

Typically a HBT device is connected to different parasitic elements as resistances and

capacitances. In order to calculate the transistor performance, the dependence on the

parasitic elements must be calculated. It can be made including the parasitic elements in the

circuit analysis. Nevertheless, this approach requires a new analysis each time that one

element is changed. In order to simplify this procedure, the HBT device behavior is modeled

as a two-port equivalent network. Figure 1.8 shows the two port network used during our

HBT SiGeC mixed-mode simulations.

Figure 1.8 Two port network used during our simulations to study the small-signal properties of the

SiGeC HBT with its parasitic components.

The two-port networks have four terminals corresponding to two voltages and two currents.

Two terminals constitute a port when the electric current that flows into one terminal is equal

to the current emerging from the other terminal.

Intrinsic device simulation

Usual simulation window

Page 32: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

15

(1.20)

(1.21)

where

(1.22)

The two-port network model is used for circuit analysis to separate portions of larger circuits.

This is as a black box where the properties are defined by a matrix of numbers. In a two-port

network, one port denotes the device input access and the other the output access.

In our simulations we define the elements to be analyzed by the two port network. Thus

depending on the study to be performed, or to compare the accuracy of results, a simulation

region is defined.

In Figure 1.9 if the black dashed box is considered, only the intrinsic HBT is simulated and

the parasitic elements need to be added at the end of the electrical simulation. These

elements are extracted by TCAD simulations from dedicated structures combining the

different metal layers of the back-end and allow the de-embedding of the device

characteristics during the measurements analysis.

Figure 1.9 Schematic view of the standard HBT device with the reduced simulation window (in black),

the standard simulation window (in red) and the different parasitic elements needed for the mixed-

mode HBT electrical simulation.

Intrinsic device simulation window

Usual simulation window

Page 33: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

16

Typically for a more complete simulation the set-up is established as schematically shown

by the red dashed box of Figure 1.9, where most important parasitic are already taken into

account by the TCAD simulation of the complete HBT device. Figure 1.9 also shows the

parasitic elements to be taken into account during the simulation; where Cpe is emitter/base

back-end capacitance, Cpc the collector/base back-end capacitance, Coxe the internal part

of the emitter/base oxide (or spacer) capacitance and Coxc the internal part of the

collector/base oxide capacitance. Rex, Rbx and Rc are the components of the total emitter,

base and collector resistance.

The small signal analysis carried out by the electrical simulator calculate for each bias (Vi)

and for each frequency the conductance (A) and capacitance (C) matrices which can be

linked to the admittance parameters:

(1.23)

Admittance parameters can be converted to the other two-port parameters as S, Y, Z or H-

parameters.

1.2.1 Carrier Transit Time

Total transit time is related to the variation of total minority carriers charge in the device with

a variation in the collector current with the emitter-collector voltage constant:

(1.24)

The contributions from emitter to collector regions to the total transit time can be obtained by

a regional analysis [Sze 07] [Zerounian 04]:

(1.25)

The first and second terms, and are the transit time for the neutral emitter and the

emitter-base junction, respectively, and given by

(1.26)

)

2exp(

kT

qVBE

EB (1.27)

Page 34: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

17

The third term, is the neutral base transit time, this is highly influenced by the base width

(1.28)

For a homogenously doped base the factor is equal to 2. A non-uniformly doped base

creates a built-in electric field and the value of vary between 2 and 4.

The fourth term in equation (1.25), is the base-collector transit time,

(1.29)

The fifth term is the collector transit time and represents the RC parasitic circuit formed

with the collector resistance and the base-collector junction capacitance,

(1.30)

The sixth and last term is the transit time associated with emitter–base and base–collector

junction capacitances and the emitter dynamic resistance and is given by

(1.31)

In equation (1.25) the sum of the four first terms and represents the forward

transit time . The total transit time can also find in literature as a function of :

(1.32)

1.2.2 Definition of the Maximum Oscillation Frequency

The maximum oscillation frequency is the frequency where the unilateral power gain is equal

to 1. The highest transistor ac power gain is achieved when the output is terminated with an

impedance that is the conjugate of the output impedance. Using nodal analysis in Figure

1.10 we obtain the collector and base currents:

(1.33)

(1.34)

Page 35: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

18

Figure 1.10 Schematic circuit used for fMAX derivation. (a) High frequency input impedance, and (b)

output impedance in the common emitter configuration.

For the case of a conjugate-matched load the power gain is define by,

(1.35)

Assuming that the current through is negligible compared to , and that ,

we have

(1.36)

(1.37)

Substituting (1.36) and (1.37) into (1.35) yields

(1.38)

In addition, since

(1.39)

Substituting and solving the equation for will result in the following:

(1.40)

Page 36: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

19

In the above equation we can see that fMAX is strongly dependent on the base resistance

and on the base-collector junction capacitance . From equation (1.40) we can deduce a

trade-off between fT and fMAX. If the base width is made smaller; the base transit time is

reduced. This leads to the enhancement of fT but degrades fMAX because the increase of .

1.2.3 Extraction Methods for fMAX

When the device is represented by a two-port network it can be characterized by several

power gains that depend on its adaptation as input and output, among the different

definitions for the extraction of fMAX available in literature we found: the Mason unilateral gain

(MUG or U), the transducer power gain (GT), the maximum available gain (MAG), and the

maximum stable gain (MSG). Figure 1.11 shows the measured MAG, MSG, and Mason’s U

versus frequency for a SiGe HBT biased near peak fT [Zerounian 00] [Cressler 03].

Figure 1.11 Mason’s U, MAG and MSG extraction methods, K is the Rollett's stability factor, this is a

one way to get an indication of whether there is a stability problem to obtain the power gain [Cressler

03].

In our studies we use the Mason unilateral gain (1.41) which is the most widespread in

literature. It can be extracted from relatively lower frequencies.

(1.41)

Page 37: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

20

Below it is presented briefly the methods that we used to develop advanced TCL-based

scripts to extract fMAX from the small-signal simulations generated by our TCAD tool.

1.2.3.1 fMAX Extraction with a Full Frequency Sweep

For an ideal extraction of fMAX, U could be a function of frequency with a flat level at low

frequencies and falling off with a -20dB/decade slope at higher frequencies as illustrated in

Figure 1.12. In this method the script developed perform a frequency sweep over a wide

range of frequencies for each DC operating point. The user can set up the frequency sweep

range defining the start and the end frequencies. For more accurate results the frequency

sweep should start at a low frequency where U is constant.

This method is time consuming and its result can deviate from the real fMAX value at high

frequencies.

Figure 1.12 Bode plot showing the full frequency sweep for fMAX extraction

1.2.3.2 fMAX Extrapolation over a Wide Frequency Range

After a frequency sweep for each DC operating point, the -20dB/decade region is localized

and fMAX is obtained by extrapolation of the -20dB/decade slope to the frequency axis, this is

depicted in Figure 1.13.

Frequency (GHz)0

10

20

30

40

Ma

gn

itu

de

(d

B)

fMAX

=1

10log

Page 38: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

21

Figure 1.13 Bode plot showing the full frequency sweep and extrapolation for fMAX extraction

As the previous method, this is computationally expensive because the large frequency

range.

1.2.3.3 fMAX Extrapolation at a Fixed Frequency

In this method only one frequency point is required. In this method for each DC operating

point only one frequency is compute. The script developed, first find one point at which the

gain has dropped from the flat low frequency region. Next, assuming that the -20dB/decade

slope has been reached, the script extrapolates from this point the frequency value for U =

0dB as shown in Figure 1.14. This is similar to previous method in the fact that after to

localize the -20dB/decade region fMAX is obtained by extrapolation.

Figure 1.14 Bode plot showing the one point method. Only one frequency is used in the 20dB/decade

slope region for each DC operating point.

In all cases, we assume that the gain-bandwidth product is constant or the fall-off is with a -

20 dB/decade slope. For one measurement frequency in the fall-off region, we can write:

Frequency (GHz)

0

10

20

30

40

Ma

gn

itu

de

(d

B)

fMAX=1

10log

Frequency (GHz)

0

10

20

30

40

Ma

gn

itu

de

(d

B)

fMAX=1

10log

Fm

Page 39: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

22

(1.42)

where the measurement frequency or the defined frequency for extrapolation. This

technique is only valid if is located in the -20dB/decade slope region.

1.2.4 Definition of the current gain cut-off Frequency

The transition frequency fT represents the frequency where the AC current gain h21 in

common emitter configuration (sometimes appearing as hfe or βac) drops to unity i.e. 0dB,

this is depicted in Figure 1.15. This is an important figure-of-merit for the characterization of

the high-frequency properties of the transistor.

Figure 1.15 Bode plot of h21 versus frequency for the definition of the transition frequency fT

The transition frequency is inversely proportional to the total transit time from emitter to

collector [Sze 07]; this is given by the relation:

(1.43)

Substituting from equation (1.32), the cut-off frequency can be written in the following form:

(1.44)

Using (1.44) we can explain the behavior showed in Figure 1.16. Under low and medium

bias conditions fT is determinate by the sum of depletion capacitances. In this region the

Frequency (GHz)0

10

20

30

40

Ma

gn

itu

de

(d

B)

fT

=1

20log

Page 40: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

23

increases of fT with the increase of IC is mainly due to the decrease of the emitter dynamic

resistance (kT/qIC). Under low and medium injection all other contributions to fT are weakly

dependent on the bias condition. Under high bias condition the transit time increases owing

to high injection effects leading to the fT decrease. In HBT, the main high injection effect is

the Kirk effect where the neutral base extends into the collector region. The base transit time

is largely increased in this condition.

Figure 1.16 Variation of fT with collector current in a SiGeC HBT.

1.2.1 AC Current Gain Determination

To calculate fT first we must to know the AC current gain h21. This is obtained from a simple

equivalent circuit of the intrinsic transistor in common-emitter configuration. The equivalent

circuit, called hybrid-π, is used to best represent the transistor high frequency region

behavior in Figure 1.17.

Figure 1.17 Equivalent circuit model used for the h21 derivation.

10-4

10-3

10-2

10-1

0

50

100

150

200

250

300

IC

(A)

Fre

qu

en

cy

(G

Hz

)

fT

SC

SCR

SCR

Page 41: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

24

From a node equation we obtain the collector and base currents:

BEBCBEmCvCjvgi

. (1.45)

(1.46)

The AC current gain is obtained by combining (1.45) and (1.46):

(1.47)

At the frequencies for which this model is valid, >> BCC ; thus we can neglect the BCj C

term in the numerator and write

21

( )

m

Diff BE BC

gh

j C C C

(1.48)

The magnitude of common-emitter AC current gain versus frequency is shown in Figure

1.18.

Figure 1.18 Magnitude of common-emitter AC current gain versus frequency

The transition frequency fT exists if, at a given DC operating point exists a frequency domain

where the small-signal common-emitter current gain h21 can be written

Frequency (GHz)

-10

-30

10

20

30

40

Ma

gn

itu

de (

dB

)

-3 dB

dB = 20log

=1

β0

fβ fT

Half-gain

Page 42: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

25

(1.49)

thus, transition frequency will be obtained when

(1.50)

converting to decibels

(1.51)

where is the low frequency small-signal current gain, is the -3 dB cutoff frequency. The

transition frequency fT, also indicates the frequency at which the current gain is less than

unity and the transistor is no longer useful as an amplifying or a switching device. From the

-20dB/decade slope in Figure 1.18 it follows that the frequency at which h21 drops to unity, is

given by

(1.52)

The AC current gain can also be obtained through two ports network, for Y-parameters this

is given by:

(1.53)

The methods to determinate the cutoff frequency, are similar to those explained for fMAX

extraction. The characteristics of U are equivalents to h21, both have a constant value at low

frequency followed by a -20dB/decade fall-off region.

1.3 Carrier Transport in Extremely Scaled SiGe HBTs

In this section we investigate about the important phenomenon of electrons and holes

transport and the most important models to describe it. Such models are an essential and

necessary tool to perform accurate TCAD simulations for SiGeC HBT devices. The

Page 43: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

26

simulations performed during our studies are based in many of the equations present here;

consequently the results achieved are directly linked to some models of this section.

1.3.1 Transport Phenomena in Bipolar Devices

A bipolar transistor formed a complex physical system. In presence of an external force as

electric field, carrier concentration gradients or thermal gradients, the free carriers are

displaced and a current results. Because of this external force free carriers are no more in

equilibrium and must interact with different scattering mechanisms; producing a unique and

complex system of electrical behavior. Such system makes the understanding and the

prediction of the transistor behavior a major challenge. A first approximation is the

arrangement of mobile charges within the transistor energy band and can be seen as a gas

of non-interacting charged particles which execute Brownian motion (Figure 1.19).

Figure 1.19 Typical electron Brownian-motion due to collisions in a semiconductor (a) In absence of

an electric field (b) in presence of an electric field.

Fixed charges also play an important role in the bipolar device; despite they cannot

participate in current. Small movements in its position yield the dielectric response.

Additionally those charges are also responsible for polarization effects.

To model the behavior of the bipolar transistor we have to use a set of equations describing

the interactions of free carriers with the different scattering mechanisms and an adequate

method to solve these equations. For this reason, it is necessary to use different models

which make possible to describe the physical phenomena. Device engineers needed

analytic techniques and software tools, to support their design efforts. Therefore physical

Electric Field

Collision

(a) (b)

Collision

Collision

Page 44: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

27

models need to be simplified to reduce the computation costs in the numerical simulations.

The modeling of transistor behavior became an important field which has, and continues to

make, a considerable contribution to the progress of transistor development.

Hierarchically, transport models can be arranged on one side in microscopic models and

macroscopic models and on the other side as semi-classical models and quantum models

[Jüngel 01] [Ramirez-Garcia 11]. Transport models can vary considerably in ease and

accuracy of solution: these can be physically very sophisticated but difficult to solve and time

expensive or easy and fast to solve but with inaccurate results. Figure 1.20 shows different

levels of modeling from the most accurate with few physical assumptions but the most

difficult to solve, to the less accurate with many physical assumptions but the most practical

to solve.

Figure 1.20 Hierarchy overview of the semiconductor transport theories

1.3.2 Quantum Transport

At the most basic level, free carriers are quantum mechanical waves propagating through

the transistor. The most fundamental model is the quantum transport model. The quantum

transport describes the trajectory of an electron or a hole wave packet through the device

under the effect of all possible interactions. When the position and the momentum cannot be

identified simultaneously because of quantum-mechanical effects, the semi-classical

Boltzmann transport equation (described later) is not a valid description of carrier transport.

Hot Carrier Effects Neglected

Quantum Effects Neglected

Only first three moments of BTE

Boltzmann Transport Equations

Quantum Transport Theory

Drift Diffusion Model

Hydrodynamic Model

Macroscopic Models

MicroscopicModels

Page 45: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

28

In semiconductor structures whose dimensions are in the nanometer scale with quantum-

mechanical properties, the limitation of the classical drift-diffusion and of the Boltzmann

transport theories are surpassed and must be replaced by quantum-mechanical models.

The quantum approach is used in a vast field of research activity for high-speed electronic

and optoelectronic nanometer devices as superconductors, molecular conductors, carbon

nanotubes, the high electron mobility transistor (HEMT), the modulation doped field effect

transistor (MODFET), injections laser diodes, single electron GaAs nanostructures and

quantum dot lasers.

On the other hand the relatively large size of a practical device and the high complexity of

quantum transport, this is an open boundaries multi-particle interacting system with various

types of scattering, leads to calculations which are not computationally attractive [Schöll 98].

Moreover, extracting measurable quantities from such results is a non-trivial task.

1.3.3 The Semi-Classical Boltzmann Transport Equation (BTE)

In presence of an electric field the energy distribution of electrons and holes is affected and

they are no longer in thermal equilibrium (0 K). Consequently their distribution over energy is

not specified by the Fermi-Dirac function; but by a non-equilibrium distribution function

determined through the semi-classical Boltzmann equation [Balkansky & Wallis 00].

Semiconductor BTE-based equations are used in most of the current device models, where

the dimensions of device geometry are greater than 100 nm (de Broglie wavelength).

The Boltzmann transport equation is used to describe the statistical distribution of particles

in a gas or fluid. BTE provides a description of an electronic system in terms of single

particle distribution functions ƒ(r, k, t) which is the probability that at time t and position r a

particle has a momentum k [Jüngel 09]. This can be written as (1.54) where f is the

distribution function of occupation of states, with being the rate of change of f at fixes

values of r and k. The distribution function is equal to the sum of three terms: a collisions

term with account for its interactions with scattering phenomena, a force

term with account with the effect of an external driving force on particles, and a

diffusion term with account with the effect of diffusion on particles from one

region in space to the other.

Page 46: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

29

(1.54)

A large number of difficulties exist to solve directly the Boltzmann equation since it is an

integro-differential equation, analytical solutions rarely exist except for a few simple and

usually unrealistic cases [Chen 95]. To obtain result drastic approximations must be make.

Using entropy arguments it is possible to derive functional form for ƒ(r, k, t) but this requires

a lot of computing power and it is far from being of practical value to a transistor designer

[Snowden 98]. The major benefit of the BTE is that it provides a platform from which

approximations can be made to derive more tractable equations.

1.3.4 The Monte Carlo Method

This is the method used in the development of the advanced SiGeC alloy models that we

have implemented in the electrical simulator to carry out our studies. The Monte Carlo

method, in comparison to partial differential methods, has different approach to solving

nonlinear transport in semiconductors. This is a statistical numerical technique for solving

physical problems.

This technique is a direct solution of the Boltzmann transport equation, which incorporates

the actual band structure and track electron-by-electron subject to an applied electric fields

and scattering mechanisms. The method basically consists in describing microscopic

processes by generating sequences of random numbers with specific distribution

probabilities.

By a stochastic process the path and duration between collisions and the type and

magnitude of any resulting scattering events are determined. This can be used to solve

microscopic problems as the electrons motion or extracting macroscopic quantities as

current. This technique was first used by Kurosawa to model the carrier transport in 1966

[Kurosawa 66]. The first full Monte Carlo simulations of a narrow base bipolar transistor were

performed by Fishetti [Fishetti 89].

This technique is very useful to develop conceptual models as it closely matches the model

with the microscopic behavior of the semiconductor. Additionally it is relatively easy to

include high order physical effects such as the full band structure and a wide range of

scattering models. Though very computationally intensive and complex the method is

Page 47: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

30

probably the only one viable for examining accurately high energy carrier phenomenon as

impact ionization or ballistic transport.

1.3.5 Hydrodynamic Transport Model

The hydrodynamic transport model (HD) is the model which we use in our simulations, since

its high documented and the accuracy is almost similar to most advanced models, while its

computation time is far better.

For devices with base width less than de Broglie wavelength, which is our case, non-

stationary phenomena neglected in the drift-diffusion model, impact the transistor electrical

behavior. In drift-diffusion formulation it is assumed that in presence of a high electric field

free carriers instantly reach the drift velocity and that the temperature is close to that of

lattice. These assumptions are valid for slowly varying spatially electric field, but in small

dimensions devices, as state-of-the-art HBTs, abrupt changes can occur in the transistor

base. Under these conditions we must take into account free carriers acceleration and

calculate carriers’ temperature as an independent variable.

In addition, if the electric field variation is of the order of the mean free path new

phenomenon such as velocity exceeding the steady-state drift velocity (velocity overshoot)

and absence of any collisions during short periods (ballistic transport) is considered in HD. In

the case of extremely scaled HBT electron transport within the base-collector depletion

region is quasi-ballistic and with presence of velocity overshoot [Hong 09].

Even if the Boltzmann equation could be solved, it would generate the full momentum

distribution of free carriers at every point of the device. Most of time, such a detailed view is

not necessary. To generate a set of equations easier to solve, it exists a mathematical

technique which make possible to extract features of an equation or function. Having a

function g(k) we define the nth moment (Mn) as:

(1.55)

The first moment of (1.55) gives the median value, the second the variance. If more

moments are calculated, more complete is g(k). In the case of Boltzmann equation the first

three or four moments generate a set of equations called the hydrodynamic (or energy

Page 48: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

31

balance) equations [Gough 94]. This system of equations can be solved using numerical

methods to find the energy and carrier density in all regions of the device.

On the other hand the more precise calculation of the temperature, the velocity and of the

carrier concentration is necessary for an accurate analyze of hot-carrier effects. This

phenomenon is due to free carriers with a temperature greater than lattice temperature or

with a kinetic energy greater than the equilibrium value. It should be noted that if

temperature and energy are qualitatively similar, they are equivalents concepts only near

equilibrium [Schöll 98].

Since the work of Stratton [Stratton 62] and Bløtekjær [Bløtekjær 70], there have been many

variations of the hydrodynamic model. As the equations structure of hydrodynamic model is

similar to drift-diffusion model, this can be numerically solved by an exponential-fitting

scheme [Mahan 90]. The full formulation includes the so-called convective terms [Benvenuti

91].

Let us consider now the Boltzmann equation for charge carrier transport in semiconductors:

(1.56)

Where:

ƒ(r, k, t) is the probability of finding a particle at position r, with momentum k at time t,

the group velocity,

the external force on a charge particle q placed in and electric field E,

the scattering operator.

In addition to the Poisson equation:

(1.57)

and current continuity equations:

(1.58)

(1.59)

Page 49: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

32

The following are the hydrodynamic equations for electrons, implemented by the simulator

used in this work [Sdevice 11] to solve the equation (1.56):

(1.60)

(1.61)

(1.62)

(1.63)

Where is the current density, the energy flow density, the energy density, the

electron charge, the electron mobility, the electrostatic potential at conduction band,

the Boltzmann constant, the charge temperature, the thermal diffusion constant,

the electron effective mass, the prefactor of the diffusive term and the lattice energy

density.

The collision terms are defined by:

(1.64)

(1.65)

(1.66)

With and being the energy gain/loss terms due to generation-recombination

processes, the parameters and improve the numeric stability. The energy densities

and are given by:

(1.67)

Page 50: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

33

(1.68)

(1.69)

The term is the equilibrium energy density and the lattice temperature.

For Fermi-Dirac statistics,

, with

(1.70)

(1.71)

where is the Fermi integral of order ½.

1.3.6 Drift-Diffusion Transport Model

The drift-diffusion model (DD) is the most commonly used for simulating semiconductor

devices and is the base of our explanations of the bipolar transistor currents in section 1.1.1.

The drift-diffusion equations are obtained by taking the two first moments of the Boltzmann

equation. This is the most basic and one of the simplest of all device transport models.

Success of this model steams from the possibility to obtain accurate results to different

practical problems without the computational of other methods. This was derived by van

Roosbroeck [Roosbroeck 50] and was used in connection with a transistor by Shockley

[Shockley 52]. The current densities for electrons and holes in this model are given by:

(1.72)

(1.73)

The diffusion coefficients are defined by the Einstein relationships,

(1.74)

(1.75)

Page 51: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 1. Silicon Bipolar and SiGeC Heterojunction Bipolar Transistors

34

The electric field is given by , and are the hole and electron mobilities and

is the electrostatic potential. Equations (1.72) and (1.73) are solved in conjunction with

the Poisson equation (1.57) and continuity equations (1.58) and (1.59).

Because of the large number of assumptions introduced [Baccarani 77], the drift-diffusion

model is only appropriate for fairly long devices where the electric field is small compared to

the mean free path, i.e. devices with applied voltages much smaller than 1 V and length

scales not much smaller than 1 µm.

1.4 Conclusion

This chapter has reminded first the DC electrical behavior of the bipolar transistor. A

discussion was done about the BiCMOS technology and the need of high-speed transistor

for RF and millimeter wave applications. This is possible with the introduction of the

germanium and after of the carbon into the base of the transistor to make possible

heterostructures and HBTs in the widely used, reliable, Si-based microelectronic technology.

Like compound semiconductor technologies, bandgap engineering is possible, and npn

SiGeC HBTs benefit of material properties to reach high operating frequency.

AC electrical behaviors have been also introduced with the extraction of the transition

frequency of the current gain (fT) and of the Mason’s gain (fMAX), implemented in the TCAD

simulation platform.

The last part of this chapter introduces the different levels to model the electron transport

into semiconductors: The quantum-mechanical transport model, the semi-classical

Boltzmann transport equation, the energy-based hydrodynamic transport model, the

simplest drift-diffusion transport model. A brief discussion includes the Monte-Carlo method

to solve transport equations.

The specific properties of strained SiGeC materials used in HBT, of moment-based models

are detailed in the next chapter.

Page 52: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

35

Chapter 2

2 Transport Modeling in SiGe and SiGeC HBTs: from material and physics to devices

The main interests of SiGeC alloys are the lower bandgap energy compared to silicon, and

the possibility to control the bandgap by varying the germanium and carbon content. In

heterojunction bipolar transistors (HBT), a compositionally graded SiGeC layer builds the

base of the device, grown on a thin Si buffer layer to constitute the collector region, and

followed by a Si-cap layer for the emitter.

This chapter is dealing with definition of physical parameters necessary for HBT modeling.

Description of SiGeC strained alloys requires a set of material parameters that can have

been developed independently in the literature. This chapter provides and discusses a

synthesis set of parameters such as several mass, bandgap energy, band discontinuity,

mobility and relaxation time in SiGeC strained alloy and discuss their implementation in the

commercial software used for device modeling. No physical calculations based on Quantum

mechanics and condensed matter physics have been made in the frame of the present work.

Most of the time, weakly out of equilibrium carrier populations are addressed and classical

near band edge approximation is done (involving parabolic or non-parabolic anisotropic

bands).

2.1 Film Growth and Material Properties of SiGeC Alloys

At 300 K, the different lattice constant of silicon (5.43Å) and germanium (5.65Å), leads to a

4.2x% lattice mismatch with x being the germanium content. Despite of this relatively large

Page 53: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

36

mismatch and of difficulties to form a heterojunction, a thin layer of SiGe is grown on Si

thanks to the strained layer epitaxy. The strained material gets different properties than the

relaxed SiGeC alloy and enables the use of bandgap engineering.

Band discontinuities are well defined if the in-plane lattice constant is preserved across the

interface in a pseudo-epitaxy of the SiGeC alloy on the silicon wafer. Strain can be uniaxial,

biaxial or hydrostatic; uniaxial or biaxial strain splits the bands, hydrostatic strain shift the

energetic position of the band as is depicted in Figure 2.1.

Figure 2.1 Upper part of figure illustrates schematically the surfaces of constant energy in k space for

the conduction band in case of different strain. The lower part shows the degeneracy of conduction

and valence band for different induced strain in Si structures [Duschl 00][Jorke 00].

The schematic illustration of strained Si1-xGexCy crystal lattices in Figure 2.2 depicts the

growth and properties of strained layers. In the case of the epitaxial growth of a SiGeC alloy

on a silicon substrate, the modification of the Ge content and of the C content leads to layers

with a lattice constant larger or smaller than that of the Si substrate. If the epitaxial layer has

a larger lattice constant (alayer) than the lattice constant of the silicon substrate (asub), the

layer is with a biaxial compressive strain. Otherwise a biaxial tensile strain is obtained when

the epitaxial layer has a smaller lattice constant than silicon substrate.

Since the lattice constant of SiGeC alloys with low carbon content is higher than that of

silicon, the SiGeC layer in the HBT is under a biaxial compressive strain. This leads to

anisotropic transport properties, and transport parameters of both electron and hole charge

carriers will be affected.

Page 54: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

37

Properties of pure Silicon, Germanium and Carbon relaxed crystals are shown in Table 2.1.

Compositional and strained layers influence significantly the transport of charge carriers

through the device. The rigorous modeling of carriers transport must consider these

parameters to simulate their impact on the device behavior. In advanced tools as Monte

Carlo (MC), the effects induced by the stress and the composition of the material are

inherently taken into account in the band structure and in interaction models.

Figure 2.2 Schematic representation of Si1-xGexCygrowth and different types of strain [Hållstedt 04].

Page 55: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

38

Table 2.1 Properties of Si, Ge and C at room temperature.

Parameter Si Ge C Unit

Eg 1.12 0.66 5.47 eV

a 5.43 5.66 3.57 Å

2.33 5.32 3.52 g/cm3

ε 11.90 16.20 5.70 -

2.2 Band structure

The electronic structure of semiconductor is fundamental for SiGeC layer modeling. The

state of an electron in a solid is determined by its energy and wave function, and the sum of

energies establishes the band structure of the solid. The band structure leads to the value

and the behavior of transport parameters such as the mass, the density of states, the

mobility and the energy relaxation time of carriers. It determines the static and dynamic

properties of the carriers in the material. Some consequences in varying layer composition

and strain may have opposite effect on these parameters. For instance with the reduction of

the effective mass and of the density of states (see section 2.3.3), the collector current

diminishes too but at the same time it leads to the increase of the carrier mobility.

Predictive simulation of SiGeC HBT with the base layer biaxially strained on Si, requires to

take into account the combined effects of the ternary alloy specific properties, and of the

biaxial stress induced by the lattice mismatch.

2.3 Electron and Hole Effective Masses

The experimental determination of the effective mass of electrons and holes is quite

complex since it implies expensive and difficult cyclotron resonance studies [Cressler 03].

For this reason an experimental set of effective mass values as a function of doping and of

germanium percentage is not available in literature. Effective masses are key parameters for

the TCAD simulator. In Sdevice simulator the effective masses define the mass density of

states and the mobility which impact all the electrical characteristics of the transistor.

For the percentages generally used for germanium and carbon (up to 30% and 2%,

respectively), SiGeC strained alloys have physical properties that are close to those of pure

silicon and can be analyzed as Si-like alloys.

Page 56: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

39

2.3.1 Electron Effective Masses

In order to clarify the different definitions found through the literature for the effective mass in

this section we presented the definitions for: 1/ the density of states (DOS) effective mass

, 2/ the longitudinal and transverse effective mass (

, 3/ the conductivity

effective mass

The is the effective mass of a parabolic band that has the same density of states to the

thermal energy than the real band [Richard 04] and it is given by [Fischetti 96]:

2.1

where is the DOS effective mass in the conduction band n, and is the DOS at

the energy .

The transverse and longitudinal effective masses are the two effective masse components

which derived from the non-isotropic shape of the constant energy surface of the conduction

band edge in the E-k diagram [Sah 91].

The conductive effective mass is one more parameter extracted from the simplification of the

band energy with effective mass calculation. This is obtained from the sum of the inverse of

the individual masses, as each band maxima or minima. For anisotropic minima containing

two transverse and one longitudinal effective masses one has to sum over the effective

masses in the different minima along the equivalent directions. For instance in silicon the

resulting effective mass is given by [Zeghbroeck 11]:

The conductivity effective mass is used to calculate mobility and diffusion constants [Sze

07]. Another example is the calculation of the shallow impurity levels using a hydrogen-like

model [Zeghbroeck 11]. Due to the valley splitting and the anisotropy among the bands,

different orientations correspond to different when an electric field is applied [Song

12].

Page 57: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

40

For SiGe HBTs, due to the anisotropic transport properties in the strained SiGeC layer, and

the bipolar transport characteristics (involving both electrons and holes) we have to take into

account both conduction directions, [001] and [100]. For SiGe strained alloys grown on

<001> silicon substrate Fischetti and Laux calculated the conductivity electron effective

mass using non local empirical pseudo-potentials, their results are shown in Figure 2.3.

.

Figure 2.3 Conductivity electron effective mass, normalized with the free electron mass, for SiGe

alloys grown on <001> silicon substrate [Fischetti-Laux 96].

The biaxial compressive strain effect on and

is illustrate in Figure 2.4 where the

longitudinal ellipsoids have flatter bands and the transverse have a larger curvature.

The band structure of the SiGe alloy includes six degenerate conduction band minima

located along the (100) or Δ axes for Ge content lower than 0.8. This produces a

repopulation of electrons between the transverse and longitudinal valleys [Pejcinovic 89].

This is important because when an applied electric field is aligned along a (100) axis, the

effective mass induces variation of the SiGe mobility compared to the mobility in silicon.

Thus in the in-plane direction, perpendicular to the direction of the growth, the heavy

longitudinal electron mass leads to a lower electron mobility. On the other hand in the out-of-

plane direction, the effective mass is reduced, and a higher electron mobility is expected

and, therefore, higher current densities.

Page 58: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

41

(a) (b)

Figure 2.4 (a) Schematic representation of normal, equivalent, conduction band iso-energy surfaces

in silicon (b) Biaxial compressive strain effect on and

the longitudinal ellipsoids bands become

flatter and the transverse acquires a larger curvature [Chen 01].

In the band structure of Figure 2.5 the lighter solid lines represent the strain splitting of the

conduction band of SiGe layer grown on a Si (100) substrate. The curved dashed line

illustrates the folding of the (100) split band that would occur on layer grown on a (100)

surface [Bean 92].

(a) (b)

Figure 2.5 (a) Constant-energy surfaces for electrons in unstrained SiGe alloy, the ellipsoids are

located at about three-fourths of the distance from the Brillouin zone center;(b) Band structure for Si

and SiGe alloy. Vertical axis is energy, horizontal axis is electron or hole momentum in (111) and

(100) k-space directions [Bean 92].

Figure 2.6 shows the Miller indices of some important planes in the HBT device after the

selective epitaxial growth (SEG) of the SiGeC base. While sets the electrons behavior on

the direction <001>, sets their behavior on the direction <010>.

[010], [001]

[100]

Page 59: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

42

Figure 2.6 Miller indices of some important planes in the HBT device after the selective epitaxial

growth (SEG) of the SiGeC base.

The density of states effective mass is a key parameter to calculate the scattering

mechanisms involved in electron transport. It can be extracted by writing the DOS calculated

directly on the electronic structure versus the average energy of carriers to a simplified DOS

corresponding to isotropic and parabolic band named .

Table 2.2 shows the value of electron effective masses for silicon and SiGe relaxed alloy. It

cannot be seen an important variation for and

. This is explained by values of

and close from each other in both materials. In fact to generate a stronger impact, the

SiGe layer should be in uniaxial or biaxial strain.

Table 2.2 Effective masses of electrons for Si and SiGe

In the Sentaurus device simulator the DOS effective mass for silicon is modeled by the

[Sentaurus 12] with MC = 6,

and calculated from

the relationship:

2.2

<001>

<010>

Si {100}

SEG

Material at 300K Silicon [Sze 07] SiGe at Ge < 85% [Schaffler 01]

Longitudinal mass ( ,) 0.98m0 0.92m0

Transverse mass ( ) 0.19m0 0.19m0

DOS mass ( 𝑠

) 1.08m0 1.06m0

Conductivity mass ( ) 0.26m0 0.26 m0

Page 60: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

43

where is the electron rest mass, coefficient is set to 0.1905, is the silicon

bandgap at zero Kelvin and is the bandgap as a function of the lattice temperature.

The Sentaurus formula is only valid when the electrons are close to the minimum of the

conduction band. Moreover it ignores the Ge and C content and strain effects, and ignores

the variation of doping concentrations (ND and NA). Thus if ND and NA become comparable

or greater than NC and ND, respectively, the Fermi-level is erroneously driven deeper into the

conduction or valence band [Li 2006].

2.3.2 Hole Effective Masses

Hole masses in silicon are divided into three components: heavy holes (HH), light holes (LH)

and split-off holes (SO).The curvature of their respective band structures is compared to the

case of SiGe in Figure 2.7. The biaxial compressive strain splitting of the valence band leads

to a preferential occupation of the heavy hole band [Van de Walle 00]. It reduces the HH and

splits off band energies with respect to the LH band.

Figure 2.7 Schematic deformation of valence bands and SiGe alloys under compressive strain

[Cressler 03].

In SiGe alloys under biaxial compression, the HH band moves upward in energy, while the

LH and SO band moves down [Cressler 03]. The deformation of the HH band is one of the

most beneficial feature for the HBT because it confers a significant reduction of the effective

mass for heavy holes, and leads to the enhancement of the hole mobility [Chen 01] [Chung

92] [Hinckley 89]. The upward shift of the valence band to higher energy allows to practice

bandgap engineering [Gopal 08] [Yang 04] [Rieger 93].

Page 61: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

44

Shown in Figure 2.8 Manku and Natan have calculated the conductivity holes effective mass

for SiGe strained alloys [Manku 91]. It can be seen that, unlike to the electrons mass, the

holes effective mass is significantly reduced in SiGe.

Figure 2.8 Conductivity hole effective mass, normalized with the free electron mass, for SiGe alloys

grown on <001> silicon substrate [Manku 91].

Figure 2.9 shows the strong dependency on energy of the hole DOS effective mass in SiGe

strained alloys. This dependency is important for values higher than 65 meV [Fu 93].

Figure 2.9 (a) DOS effective mass for the heavy hole band in SiGe alloys (hDOSmass). (b) DOS

effective mass for the light hole band. (normalized with the free electron mass) [Manku 91].

The reduction of the holes’ effective mass with the increase of Ge content was also

demonstrated by Cheng et al. [Cheng 93] who investigate the cyclotron resonance effective

Page 62: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

45

mass of 2D holes quantum wells for different values of Ge percentage. They found a

decrease of the effective hole masses from 0.39 m0 to 0.29 m0 with Ge content of 13% to

37%, respectively. Similar studies with comparable results were made by Engelhardt et al.

[Engelhardt 94].

2.3.3 Effective Density of States

The density of states (DOS) function measures the number of available electronic states at

each energy level, for the conduction band is given, and in the frame of parabolic band, by

[Sze 03]:

2.3

assuming that all available states in the conduction band can be represented by an effective

density of states NC depending on temperature, a mathematical simplification can be made

using Boltzmann approximation (Equation 2.7). By similar arguments an equivalent

expression NV is found for the valence band (Equation 2.8).

The Figure 2.10 illustrates a comparison between the band structure and the magnitude of

effective DOS value. We consider two energy bands with different curvatures, with the same

quantity of states along the horizontal k-axis in a fixed energy interval. Thus the effective

mass and the density of states are linked together. The band with the larger curvature and

therefore the smaller effective mass has fewer states within the energy interval, while the

band with the larger effective mass (flatter band) gives more effective states within the same

energy interval.

Figure 2.10 Bands with different curvatures, curvature shape determines the numbers of states in a

fixed energy interval.

Page 63: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

46

2.3.4 Effective Density of States Models

In this section, new MC-based analytical models for effective DOS in SiGeC devices are

presented. These models are elaborated for simulation purposes with SiGeC strained and

relaxed layers. NC and NV are given as an analytical function of the germanium and carbon

content, temperature and strain-related effects. The study of for SiGeC alloys is far

insufficient in literature and TCAD device simulators are lack of accurate effective DOS

models for this kind of devices.

The MC-based calculation for the effective DOS approach used in present work was also

used by Sasso, Rinaldi, Matz, and Jungemann [Sasso 10], but theirs models not take into

account critical dependencies as the strain-related effects and ignore the carbon content.

Others models are a simplified approximation, lying in a constant ratio between the product

of the effective DOS for silicon-germanium and silicon [Hueting 96], or fix the valence band

effective DOS to that one of bulk silicon [Pejcinovic 89], or assume that this is linearly

dependent on the mole fraction [People 86].

On the other hand, numerical device simulators (such as Sentaurus) uses a simple parabolic

band model by default, which ignores the Ge and C content and strain effects, and limits the

effective mass (m*) and DOS to constant quantities ignoring the variation of doping

concentrations (ND and NA). Thus if ND and NA become comparable or greater than NC and

ND, respectively, the Fermi-level is erroneously driven deeper into the conduction or valence

band [Li 2006].

The other possibility to model the effective DOS is to calculate it from scalar effective mass

data but it is much more laborious. It needs to extract a bunch of scalar values from

literature (for example from Manku and Natan [Manku 91], the only data we found for both

electrons and holes) and to introduce it into the device simulator with great attention to avoid

error. However the studies of Manku and Natan are only for SiGe alloys.

In order to perform accurate TCAD simulations, better effective DOS models for electrons

and holes are needed. The models presented here are calibrated with Full-Band Monte

Carlo simulations rely on strain-dependent SiGeC tight-binding band structures [Michaillat

09] [Michaillat 10.1]. These models are suitable for the implementation in different

hydrodynamic TCAD simulators.

Page 64: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

47

Due to the dependency of DOS on bandgap [Buffler 98], the model of the Ge content

dependency is based on the Bean formula [Bean 92], which describes the variation of the

bandgap in SiGe alloys compressively strained on silicon. Also, the additional effect of the

molar fraction of C in SiGeC alloys is approximated, and associated with Ge according

towith the following relationship

2.4

Where is the germanium content, is the carbon content and the coefficients to are

defined according to Palankovski, Gonzalez and Kosina [Palankovski 99]. Their values are

reported in Table 2.3.

Table 2.3 SiGeC Effective Mass Parameters

Parameter Value Unit

c1 -0.96 eV

c2 0.43 eV

c3 -0.17 eV

c4 0.3 eV

Strain related effects are defined by a strain-compensation parameter described in

[Michaillat 09]:

2.5

If z<0 the material is under compressive strain and if z>0 it is under tensile strain while for

z=0 the alloy is exactly strain-compensated.

The Figure 2.11 shows the value of z as a function of Ge and C content, and underlines for

instance that carbon content of about 1.1% can compensate the strain induced by 20% of

Ge.

Page 65: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

48

Figure 2.11 Values of as a function of Ge and C content

The reduction of the diffusion mechanism on the effective alloy potentials, which results from

the decrease of the density of states at low energy induced by the biaxial strain, is modeled

by a multiplicative factor depending on [Michaillat 10.2]:

2.6

If the strain parameter is zero, value is unity. When the strain increases tends

asymptotically to the limit value . is introduced in equations 2.9 and 2.10 and allows to

takes into account the fluctuations of the alloy scattering due to the biaxial strain. The

calibrated values for and are reported in Table 2.4.

Table 2.4 SiGeC Strain Parameters

NC z > 0

z < 0

0,01

0,01

2.7

1.3

NV z > 0

z < 0

0,05

0,05

3,2

2,1

The SiGeC models for effective DOS of the conduction and of the valence bands are

formulated as a function of the silicon effective DOS through the well-known expression

0 0.5 1 1.5 2-0.04

-0.03

-0.02

-0.01

0

0.01

0.02

C content (%)

Z p

ara

me

ter

Ge = 0%

Ge = 20%

Ge = 30%

Ge = 40%

Page 66: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

49

[Sze 07] which includes the density of state effective mass for electrons ( ) and holes

( ) [Smith 79]:

2.7

2.8

At room temperature (300 K), is approximately 2.86x1019 cm-3 and 1.55x1019 cm-3

[Singh 06].

Based on the early theoretical calculations in SiGe strained alloys done by People [People

85] [People 86] and considering that the 6-fold conduction band degeneracy of Si is lifted,

resulting in a 2-fold (out-of-plane) and 4-fold (in-plane) band splitting noted as and

[Pejcinovic 89], the final expression for the effective DOS in the conduction band is then:

Δ

2.9

The electrons effective DOS model is depicted in Figure 2.13 at different lattice

temperatures of strained Si1-x-yGexCy as a function of Ge content x.

Figure 2.12 Electrons effective density of states at different lattice temperatures of strained Si1-x-

yGexCy as a function of Ge content x. Symbols refer to MC simulations, lines represent our analytical

electrons DOS model.

0 0.05 0.1 0.15 0.2 0.25 0.3

1

2

3

4

5

6

7x 10

19

Germanium Content

Ele

ctr

on

s E

ffe

ctive

DO

S (cm

-3) MC T=500K

MC T=400K

MC T=300K

MC T=200K

SiGeC Model

Page 67: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

50

In the case of holes, the valence band splitting noted as , and is based on Prinz

et al. [Prinz 89]:

Δ

Δ

2.10

The parameters of equation 2.9 and of equation 2.10 are bowing terms for conduction

and valence band respectively, adjusted to obtain an optimal agreement with MC

simulations results. The default parameter values for and formulations are

listed in Table 2.5.

Figure 2.13 depicted the holes effective DOS model at different lattice temperatures of

strained Si1-x-yGexCy as a function of Ge content x.

Figure 2.13 Holes effective density of states at different lattice temperatures of strained Si1-x-yGexCy

as a function of Ge content x. Symbols refer to MC simulations, lines represent our analytical holes

DOS model.

Table 2.5 SiGeC Effective Mass Parameters

ELECTRONS HOLES

Parameter Value Parameter Value

MC1 4 MV1,MV2,MV3 1

MC2 2

ΔEC[eV] 0.6 ΔEV1, ΔEV2 0.3

Ce 0.16 Ch 0.47

0 0.05 0.1 0.15 0.2 0.25 0.30

1

2

3

4

5x 10

19

Germanium Content

Ho

les

Eff

ective

DO

S (cm

)

-3

MC T=500K

MC T=400K

MC T=300K

MC T=200K

SiGeC Model

Page 68: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

51

2.4 Bandgap

The energy gap of a semiconductor is the difference between the conduction and valence

energy bands. Si and Ge are both indirect bandgap semiconductors, their bandgap energies

are 1.12 eV and 0.66 eV respectively. In SiGeC alloys bandgap energy depends on

germanium and carbon percentages and to a lesser extent on temperature and doping level

(if doping level is high).

2.4.1 Band Offsets

Band discontinuities occur in the conduction band and the valence band due to the addition

of Ge in Si and mainly by the strain. Biaxial strain is known to alter both the conduction and

valence bands of Si. For a pseudomorphic growth of SiGe along the [001] direction on Si

substrates, the biaxial strain causes a tetragonal distortion which shifts and splits the

conduction and valence bands modifying strongly the material’s energy bandgap.

All the publications dealing with the subject conclude in a unanimous way that the band gap

reduction due to the Germanium is most strongly reflected on the valence band than on the

conduction band [People 86] [Bean 92] [Cressler 98] [Maiti 01] [Ashburn 03]. For example

for 10% germanium, the valence band offset is 0.073 eV, compared with 0.019 eV for the

conduction band offset [Ashburn 03].

Strain effect on the alloy results in a reduction of the fundamental gap but it not modifies the

indirect nature in this. Band splitting was depicted by People [People 85] [People 86] who

described it in terms of the deformation potentials and the strain tensor. Figure 2.14 shows

the band alignments for SiGe alloys for two different Ge concentrations.

(a) (b)

Figure 2.14 Band alignments for (a) Ge0.2Si0.8/Si on (001)-Si, (b) Ge0.5Si0.75/Si heterostructures on

(001)-Si.

Page 69: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

52

The values of were modeled by People [People 86] by the relationship:

2.11

where denotes the Ge content in the epilayer and , denotes the Ge content in the

substrate.

2.4.2 SiGeC Bandgap Model

In our simulations the bandgap reduction with Ge and C content is modeled using the Bean

formula [Bean 92], which describes the variation of the bandgap for SiGe alloys

compressively strained. The opposite effect on the strain of SiGeC due to C content is

added and the bandgap is approximated with:

ycxcxcxc

T

TETyxE gg 4

3

1

2

11

2

0),,(

2.12

Where Eg0 defines the bandgap of Si bulk at room temperature, is the Ge concentration

and the linear term represents the C concentration. Parameters of the analytical formula

are shown in Table 2.6.

The effective bandgap taking into account the reduction due to bandgap narrowing (detailed

later) is simply calculated from the previous standard bandgap as:

bgngeffg ETyxEE ),,(, 2.13

Table 2.6 Advanced Models Parameters of the SiGeC bandgap

Parameter Value Unit Reference

Eg,0 1.17 eV [Sentaurus 10]

4.73x10-4

eV/K [Sentaurus 10]

636 K [Sentaurus 10]

c1 -0.96 eV [Palankovski 99]

c2 0.43 eV [Palankovski 99]

c3 -0.17 eV [Palankovski 99]

c4 3.40 eV [Pakfar 03]

Page 70: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

53

The variation of the bandgap with germanium and carbon percentage, following the equation

2.12 is illustrated in Figure 2.15 and Figure 2.16. A few carbon content is able to

compensate a larger Ge content. For a germanium content of 25% and a carbon content of

0%, compared with silicon the bandgap reduction is 220 meV, and for 25% of germanium

and 1% of C the reduction is only of 170 meV.

Figure 2.15 Bandgap model of SiGeC compressively strained on silicon, as a function of the

germanium and carbon percentages.

Figure 2.16 Temperature dependence of the SiGeC bandgap model for SiGeC alloys compressively

strained on silicon. Germanium varies from 0 to 35%, carbon content of 1%.

2.4.3 Bandgap Narrowing in SiGeC HBTs

In literature two terms are used concerning the heavy doping effects on the bandgap: the

real bandgap narrowing (BGN) and the apparent bandgap narrowing (aBGN). About this

0 0.5 1 1.5 20.7

0.8

0.9

1

1.1

1.2

1.3

C content (%)

Ban

Gap

(eV

)

Ge content = 0 to 35%

0 100 200 300 400 5000.7

0.8

0.9

1

1.1

1.2

1.3

Temperature (K)

Ba

nG

ap

(e

V)

Ge content = 0 to 35%

C content = 1%

Page 71: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

54

topic several studies have been published [Van Vliet 93] [Sokolic 94] [Gonzalez 97] [Luo 07].

Based on these studies we are going to clarify the two terms since they play an important

role for SiGeC HBTs simulation. The use of two values must be consistent with the bandgap

model discussed previously; otherwise, it can produce false results [Shi 03]. The two terms

depict the same phenomena: the reduction of the bandgap energy. The division of this

reduction on the valence and on the conduction band is also discussed.

At high impurity concentrations (>1019 cm-3) the band structure of silicon is noticeably

distorted. As a consequence, its physical properties differ from the standard values

considered for lowly doped material. Since HBTs contain highly doped regions, notably

emitter and base, heavy doping effects have not negligible impact on the device

performance.

2.4.3.1 Impact on Carrier Statistics

In order to explain the existence of the two BGN and the impact of the distribution of the

BGN between the conduction band and the valence band, a brief review of the Fermi-Dirac

and of the Boltzmann statistics is done.

Unlike to the Boltzmann statistic, the Fermi-Dirac statistic can take into account degeneracy

effects. This becomes important at high doping levels. In HBTs, the two statistics will give

different number of carriers and different results.

With the Fermi-Dirac distribution the number of carriers occupying a conduction band state

or a valence band state at thermal equilibrium can be written as

2.14

When the states in either case have energy of several kT away from the Fermi level, then

this equation can be reduce to the following Boltzmann distribution for electrons in the

conduction band and for holes in the valence band, respectively

2.15

Page 72: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

55

The number of electrons or holes is named the intrinsic carrier concentration

2.16

which can be write as

2.17

The above equation can be used for low-doped semiconductors. For high-doped

semiconductors, depending on carrier statistics, we must use the effective intrinsic density

corrected with the BGN

2.18

or

2.19

In equation 2.18 the degeneracy effects for high doping concentrations of Fermi-Dirac

statistic are expressed through the terms and , which are given by

2.20

2.21

where is the Fermi integral of order 1/2 [Grundmann 06].

Thus for simulations using the Fermi–Dirac statistic, the bandgap model must not

incorporate the degeneracy effects, and only the real BGN model should be used. If the

Fermi–Dirac statistic is used with the apparent BGN model, degeneracy effects are wrongly

taken twice into account.

Nevertheless, if the Fermi–Dirac statistic is not available, the Boltzmann statistic can be

used, working well for low doped semiconductors, and employed at high doping level, using

Page 73: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

56

the apparent BGN model to consider degeneracy effects. If the use of the Boltzmann

statistic with the real BGN model will give surely wrong results for HBTs, the Boltzmann

statistic and the apparent BGN will not replace exactly the right modeling with the Fermi-

Dirac statistic.

2.4.3.2 BGN and Apparent BGN Models

The experimentals values of the BGN (real BGN) and of the apparent BGN are shown in

Figure 2.17. The values for the real BGN are taken from [Wagner 84-88] and from [Dumke

83] and are based on photo-luminescence experiments. The experimental data for the

apparent BGN are taken from [Dumke 83.2] and [Swirhun 88].

Figure 2.17 Experimental data for BGN (real BGN) and apparent BGN [Jain-Roulston 91].

The most used real BGN models for bipolar simulation come from Jain and Roulston [Jain-

Roulston 91] and from Bennett and Wilson work [Bennett-Wilson 84], while the most

common apparent BGN models come from Slotboom [Slotboom 76] [Slotboom 77] and from

del Alamo [del Alamo 85]. Figure 2.18 shows the important variation of those models in

function of the doping level. It can be seen the considerable difference with doping levels

larger than 1x1019 cm-3. For a doping concentration of 1x1020 cm-3 a difference of 40 meV

appears between the Jain-Roulston model and the Slotboom model. The implementation of

a bandgap model associated with an incorrect BGN model in commercial simulators leads to

significant inconsistencies between simulated characteristics using different statistics for

heavily-doped SiGe HBTs.

Jain-Rouslton fit

Jain-Rouslton

Page 74: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

57

Figure 2.18 Comparison among apparent BGN and real BGN models.

In our simulations we use the real BGN model from Bennett-Wilson because it is available in

most of device simulators and it is consistent with the Fermi-Dirac statistic. It should be

mentioned that the BGN models described previously are for NPN bipolar transistors which

are the type of devices which we worked; PNP devices are out of our interest area.

2.5 Electron and Hole Mobility in Heavily Doped SiGeC

strained Layers

For the present work, we used the anisotropic analytical models based on low-field MC

simulations for SiGeC alloys [Michaillat 09 -10.2]. These models were developed for doped

ternary SiGeC alloys, relaxed or biaxially strained on relaxed silicon. They describes

homogeneous and stationary transport of both electrons and holes; the band structure

description is made by a numerical Full-Band method. Modeled mechanisms include ionized

impurity scattering, alloy scattering, carrier phonon scattering and impact ionization. For the

extraction of material parameters and the determination of analytical models for SiGeC,

transport parameters include the Ge and C relative concentrations, the type of active doping

species, the lattice temperature, the magnitude of the external electric field and the biaxial

stress effects.

Real BGN

Apparent BGN

Page 75: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

58

2.5.1 Contributions to the Mobility

Mobility is an important transport parameter to consider as it affects directly the collector

current, the base current, the base transit time, the base resistance with recombination and

the leakage currents. Mobility results from scattering mechanisms, and it is defined as the

ratio of the average or the drift velocity of moving carriers under low value of applied electric

field. For devices operating at the room temperature or above, the main scattering events

involved in mobility regime are:

-Phonon scattering

-Impurity scattering

-Carrier to carrier scattering

Considerable effort and progress have been made in order to obtain mobility models suitable

for simulation for doped silicon [Caughey-Thomas 67, Masetti 83, Reggiani 02].

2.5.2 SiGeC Mobility Models

In our simulations we used analytical mobility models based on MC simulations for SiGeC

alloys [Michaillat 09, 10.1, 10.2]. These models give a response for low electric field that

happens mainly in ohmic regions of the HBT, and in the neutral region of the base where the

electric field is low and until non-local phenomena such as carriers’ overshoot velocity occur.

These models are valid for materials with carbon content up to 2% and with germanium

content up to 50%. Compared to the isotropic mobility for relaxed SiGeC alloys, these

models treat separately the in-plane and the orthogonal components of the anisotropic

mobility tensor relevant to the biaxial strain.

In order to implement these models in HBT simulations we have tested the different mobility

components and compared the results with the literature data. We have found a good

agreement for all components except for the silicon electron mobility which we have

calibrated. In following sections a deeper description of those models will be given.

2.5.2.1 Phonon scattering

In an undoped semiconductor, scattering caused by collisions between carriers and phonons

is the dominant mechanism. Phonon scattering is complex in materials such as Silicon and

Page 76: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

59

Germanium, due to inter and intra valley scattering [Eastman 60] [Tripathi 03] [Hebling 09].

To summarize this mechanism on the mobility, the well-known power dependence on

temperature is used

2.22

where denotes the carrier mobility limited by phonons at 300 K under the influence of the

strain. If was derived from MC simulations at room temperature, the coefficient is an

empirical parameter obtained from experimental studies [Michaillat 10.2]; it is different for

Silicon and Germanium [Landolt-Bernstein 82] [Prince 92]. The strain dependency will

be discussed later.

The temperature dependence of electron and hole mobilities is shown in Figure 2.19 for

various impurity concentrations. Mobilities are illustrated only for the case of Si0.74Ge0.25C0.01.

Figure 2.19 SiGeC mobility model. Electron and hole mobilities versus temperature: comparison at

different doping concentrations at Ge content of 25% and C content of 1%.

200 300 400 500 600

200

300

400

500

600

700800900

1000

Temperature (K)

Ele

ctr

on

Mo

bilit

y (

cm

2/V

s)

N=1e15

N=1e18

N=1e19

N=1e21

Ge content=25%

C content=1%

Ge content=25%

C content=1%

Ge content=25%

C content=1%

Ge content=25%

C content=1%

200 300 400 500 600

100

200

300

400

500600

Temperature (K)

Ho

le M

ob

ilit

y (

cm

2/V

s)

N=1e15

N=1e18

N=1e19

N=1e21

Ge content=25%

C content= 1%

Ge content=25%

C content= 1%

Ge content=25%

C content= 1%

Ge content=25%

C content= 1%

Page 77: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

60

2.5.2.2 Impact of biaxial strain: Anisotropic Mobility

Strain properties of SiGeC alloy in biaxial compression yield an anisotropic mobility with a

lateral and a vertical component to the layer. For the high symmetry growth on the [001]

direction this can be quantified by a mobility tensor [Bufler 97.1] [Manku 92]

2.23

where and are the in-plane and orthogonal components of the mobility. In

conventional vertical HBT, these two components are essential for a correct device

simulation. In the base layer, the collector current (electrons) and the base current (holes)

flow perpendicular to each other: the collector current flows vertically through the base and

the base current flows laterally along the emitter-base junction. Thus the main component

for the electron mobility is while it is for holes. The expression of the strain effect on

the electron mobility is

2.24

where is or depending on the values of , , and , which are different for

the in-plane and out-plane mobilities. The term is the already discussed compensation

parameter which serves to determinate whether the material is under strain and the type of

strain. The parameters for hole mobilities are shown in Table 2.7 and Table 2.8.

Table 2.7 Parameters for the in-plane and out-plane hole mobilities.

cm2/Vs

cm2/Vs

cm2/Vs

cm-3

cm-3

Si 2.2 42 104 3.1017 3.1017 0,70 0,70

Ge 1800 2,3 42 134 9.1016 9.1016 0,65 0,60

60 70 170 3,4 3

For typical values in HBT (Ge 10-30% and C lower than 0.2%) the SiGeC layer is always in

compressive strain.

Page 78: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

61

Table 2.8 Parameters for hole mobilities, longitudinal and transverse components.

cm2/Vs

z > 0

z < 0

510 0,0010 0,05

0,05

2,1

2,1

z > 0

z < 0

510 0,0015 0,05

0,05

3,2

2,1

Holes mobilities are modeled by equation 2.23 with specifics values for and depending

on mobility component or

2.25

The reduction of the mobility due to the alloy scattering depends on germanium and carbon

contents, and it results mainly on the decrease of the density of states at low energy induced

by the biaxial stress, modeled by equation 2.6. The effect of the germanium and the carbon

content on mobility is depicted in Figure 2.20 and Figure 2.21.

Figure 2.20 Electron mobility comparing effects of alloying and doping in relaxed SiGe alloys.

Symbols refer to MC simulations; lines represent the used analytical mobility model.

Page 79: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

62

Figure 2.21 Electron mobility components; out-of-plane, in-plane as a function of Carbon content.

2.5.2.3 Impurity scattering and new mobility model for SiGeC alloys

The scattering of carriers by impurities plays an important role in determining the behavior of

HBT, this is primarily due to the large number of impurities presents in the emitter and base

layers.

Compared to mobility in silicon reported in literature, the default values of the SiGeC model

extracted by Michaillat [Michaillat 10.2] leads to an overestimation of the electron mobility

when Ge and C content are zero as shown in Figure 2.22a. This generates an

overestimation of the collector current as well as imprecise values for the other electrical

characteristics.

In order to obtain the same behavior than the Reggiani model [Reggiani 99] [Reggiani 02]

we calibrated the values of , and We calibrated with Reggiani model, because

in this a wider range of operating conditions has been investigated and the doping

dependence of bulk mobilities for both majority and minority carriers has been widely

developed. The calibrated model is compared with Reggiani’s model in Figure 2.22. It should

be mentioned that the change of mobility as a function of doping for silicon does not impact

the others mobility components since each one is independently calculated:

Page 80: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

63

2.26

The calibrated model takes into account the doping dependence of mobilities for both majority and

minority carriers. It incorporates a dependence of mobility upon both the acceptor and donor

concentrations. Phonon scattering described by equation 2.22 is included with the term. The

parameters for electron mobilities are showed in Table 2.9 and Table 2.10.

(a) (b)

Figure 2.22 Minority-Electron mobility as a function of acceptor concentration. (a) Comparison

between mobility models (b) Michaillat calibrated model vs Reggiani model for Si.

Table 2.9 Parameters for the in-plane and out-plane electron mobilities.

cm2/Vs

cm2/Vs

cm2/Vs

cm-3

cm-3

Si 2.4 10 65 1.117 8,5.1016 0,78 0,75

Ge 3500 1,6 450 100 1.1017 6.1016 0,70 0,80

120 0 0 3 3

The term is the saturation mobility which modulates the carrier mobility at high doping

concentrations, it is different for silicon and germanium as expressed in 2.27 through the

terms and .

2.27

1014

1016

1018

1020

0

500

1000

1500

Acceptor Concentration (cm-3

)

Min

ori

ty-E

lec

tro

n M

ob

ilit

y (

cm

2/V

s)

Masetti

Reggiani

Michaillat

Silicon at 300K

1014

1016

1018

1020

0

200

400

600

800

1000

1200

1400

Acceptor Concentration (cm-3

)

Min

ori

ty-E

lec

tro

n M

ob

ilit

y (

cm

2/V

s)

Reggiani

Michaillat Updt

Silicon at 300K

Page 81: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

64

Table 2.10 Parameters for electron mobilities, longitudinal and transverse components.

cm2/Vs

cm2/Vs

z > 0

z < 0

3000 1400 0,009 300 0,01

0,01

2,7

1,3

z > 0

z < 0

700 3000 0 400 0,01

0,01

1

2,2

2.5.2.4 Ge and C impact and final expression of mobility

Equation 2.28 is the final expression for the SiGeC mobility. Previous terms are combined

through a Matthiessen's rule. The dependence on the germanium and the carbon fraction is

based on an extension to the model of Palankovski [Palankovski 04]. Figure 2.23 shows the

variation of the electron and hole mobilities in function of acceptor concentrations, for a

carbon content of 0.1%. We should underline that simulations include the reduction of the

mobility at high doping level, but also the reduction by the biaxial strain. The percentage of

Ge and C are the typical quantities used in the fabrication of advanced HBT.

Figure 2.23 Electron and Hole mobilities as a function of germanium content, for a C content of 0.1%.

In equation 2.28 Ge mole fraction is represented by , while C mole fraction by . Specific Si

and Ge carrier mobilities calculated in 2.28 are introduced in the two first terms, including the

temperature and doping dependences included. Bowing parameters and represent

0 10 20 300

200

400

600

800

1000

1200

1400

Germanium content (%)

Min

ori

ty E

lectr

on

Mo

bility (

cm

2/V

s)

NA=1*1015 to 1*1021cm-3

0 10 20 300

100

200

300

400

500

600

700

Germanium content (%)

Ma

jori

ty H

ole

Mo

bility (

cm

2/V

s)

NA=1*1015 to 1*1021cm-3

Page 82: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

65

the mobility degradation due to the alloy scattering. In-plane and out-of-plane components

are differentiated by their respective values for and

2.28

The mobility model in equation 2.28 includes the combined effects of germanium and carbon

incorporation in silicon, namely: Mobility degradation caused by the alloy scattering, strain

anisotropy of SiGeC layers in biaxial compression, and strain compensation when the lattice

parameter of SiGeC is the same to that of the silicon substrate.

2.6 Energy Relaxation Time

In the hydrodynamic equations of the device simulator the energy relaxation time noted

for electrons is introduced in Eq. 2.28 which is a sub-equation of the collision formula 1.62 of

chapter one. The same expression is valid for holes interchanging the subscript n with

subscript p [Sentaurus 12]

2.29

The relaxation time of the carrier energy is a parameter that quantifies the time required for a

population of excited carriers to return to equilibrium. Thus, the relaxation time characterizes

the ability of the material to dissipate the energy of carriers through inelastic interactions of

carrier-phonon or impact ionization types. This parameter is therefore a property of transport

of carriers at high energies. It can be calculated by Monte Carlo simulation from stationary

results in a homogeneous material. In order to simulate the charge transport at high

energies, it is necessary to integrate a numerical description of the band structure. In our

simulations the model used for the relaxation time of the carrier energy was extracted using

the indirect method developed by Palankovski [Palankovski 99]. For SiGeC alloys it was

modeled by the Michaillat model [Michaillat 10.2] as a function of the ratio between the

carrier temperature and the lattice temperature , as follows:

2.30

Page 83: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

66

In expression 2.30, the parameter controls the influence of the germanium percentage.

The effects of carbon content (up to 2%) and doping concentration on the simulated

relaxation time are negligible [Michaillat 10.2]. Therefore, the carbon content is not included

on the modeling of the relaxation time [Michaillat 10.2].

2.7 Effect of Transport Models on the HBT Behavior

The influence of transport modeling on device behavior is shown by comparison between

two sets of hydrodynamic simulations. We compare two distinct models of transport

parameters: the SiGe default models of the physic based device simulator (SDevice), and

the SiGeC specific models implemented in the same simulator.

The simulated device is the SiGeC npn HBT of the B3T technology and it will be deeply

depicted in chapter 3. The base epitaxy is formed by a Si/SiGeC stack with a thickness of

about 40 nm which 20 nm of SiGeC base. The Ge graded profile increases from the emitter

side up to collector side, varying from 20 to 30%. The C content is less than 1% within the

same region.

The use of a hydrodynamic simulator incorporating an exact description of the electrical

parameters, enables taking into account the germanium, carbon and dopant profiles.

Otherwise the unique characteristics of SiGeC HBT will be ignored leading to incorrect

results. The hydrodynamic numerical method implemented in the device simulator used in

this work has already been explained in previous chapter.

The equivalent models used by default in the device simulator for the SiGeC HBT device

are:

The Klaassen mobility model [Klaassen 92.1] [Klaassen 92.2], which unifies the

description of majority and minority carrier silicon bulk mobilities. This describes also

the temperature dependence of the mobility and takes into account electron–hole

scattering, screening of ionized impurities by charge carriers, and clustering of

impurities.

Page 84: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

67

The carrier energy relaxation time is assumed by the simulator as the same value as

that of bulk silicon. By default, this is constant and is set to 0.3 ps for electrons and

0.25 ps for holes [Sdevice 11].

Bandgap model provide mole fraction dependencies based on Bean formula [Bean

92]. In this the bandgap is given for a SiGe pseudomorphic layer grown on a silicon

substrate.

Electrical results are shown in Figure 2.24, Figure 2.25 and Figure 2.26. The Gummel

characteristic of the simulated SiGeC HBT (Figure 2.24) shows a considerable difference on

the collector current IC between the simulator default models and the implemented SiGeC

models. This is due mainly to the different mobilities in SiGeC alloys in relation to silicon

bulk; the collector current is over estimated by a factor of about two by the default simulator

models.

Figure 2.24 Gummel plot for Vcb = 0 V at 300 K. Overlays among measurements, simulator default

models and SiGeC alloy models.

The high frequency behavior represented by fT and fMAX in Figure 2.25 and Figure 2.26 was

also significantly enhanced with the use of SiGeC advanced models. In the case of fT, is the

default device simulator model leads to underestimation while the SiGeC specific models

give results closed to measurement due particularly to the electron mobility µn. For fMAX a

higher difference is found between both models with the default model underestimating the

0.5 0.6 0.7 0.8 0.9 110

- 10

10- 8

10- 6

10- 4

10- 2

Vbe(V)

I C,I

B(A

)

Measurements

Default Models

SiGeC Models

Page 85: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

68

fMAX value. This is explained by the hole in-plane mobility which is higher when considering

the positive impact of the strain obtained with SiGeC models, helping to reduce the base

resistance and to profit to fMAX. The fT peak is of 255 GHz for IC = 14 mA and

Vbe = Vce = 0.86 V, the fMAX peak is of 352 GHz for IC = 7.63 mA and Vbe = Vce = 0.83 V.

Figure 2.25 Comparison of experimental results and simulated characteristics for fT at Vcb = 0 V.

Figure 2.26 Comparison of experimental results and simulated characteristics for fMAX at Vcb = 0 V.

10- 4

10- 3

10- 2

10- 1

0

50

100

150

200

250

300

IC(A)

f T (

GH

z)

Measurements

SiGeC Mdls

Default Mdls

10- 4

10- 3

10- 2

0

50

100

150

200

250

300

350

400

IC(A)

f MA

X (

GH

z)

Measurements

SiGeC Mdls

Default Mdls

Page 86: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

69

The SiGeC transport models used in our simulations allow considering the influence of Ge

and C doping profiles on the electrical behavior of SiGeC HBT devices. Simulation results

indicate that the use of standard transport models which ignore the specific properties of

SiGeC alloys should induce significant errors on electrical characteristics of HBT SiGeC

devices. The use of advanced transport models for SiGeC HBTs in TCAD simulation should

help to obtain more physical and realistic results, better understanding of charge transport in

the device, and facilitate HBT device development and optimization.

2.8 Conclusion

In this chapter we studied the electrical simulation and the transport modeling of SiGeC

HBTs. We carried out a work of synthesis leading to the selection, implementation and

development of models which could not exist until now. It was shown how the predictive

simulation of SiGeC HBT devices with the base layer biaxially strained on Si, requires taking

into account the combined effects of the ternary alloy specific properties, and the biaxial

stress induced by the lattice mismatch. In our simulations we worked with the first

anisotropic analytical models based on low-field MC simulations for SiGeC alloys.

It was shown the importance of transport properties in electrons and holes as the effective

masses, density of states, bandgap, mobility and energy relaxation time. We demonstrated

how effective masses of electrons and holes are key parameters to largely explain the

transport in strained SiGeC alloys. It was demonstrate the main components of electrons

and holes effective masses and their respective models were studied. It was also

demonstrate the differences between the effective masses for conductivity calculations and

the masses for density of states calculations.

In this chapter we presented new MC-based analytical models for effective DOS in electrons

and holes. We elaborated these models for SiGeC strained and relaxed layers simulation

purposes. It was seen how the existing effective DOS models not take into account critical

dependencies as the strain-related effects and ignore the carbon content.

We analyzed the impact of the germanium content and the incorporation of C atom of the

strained base layer on major physical parameters and how it affects the electrical

parameters and improves significantly the transport of charge carriers through the device

leading to anisotropic transport properties.

Page 87: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 2. Transport Modeling in SiGe and SiGeC HBTs

70

It was reminded the impact of carrier statistics and the bandgap narrowing models and how

this can produces important issues and false results during its implementation. At the end of

the chapter the benefit of the SiGeC transport model is underlined in comparison with the

SiGe default models of the physic based device simulator, leading in the last case to

underestimation of the real HBT performances.

Page 88: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

71

Chapter 3

3 TCAD Simulation of Advanced Terahertz SiGe and SiGeC HBTs

3.1 Simulation Context and Objective

Technology Computer Aided Design (TCAD) has become an important tool for the

development and optimization of new semiconductor technologies. Accurate TCAD uses

dedicated models for the technology process to simulate each process step and dedicated

models to simulate the device physical and electrical behavior.

Over the years, models have been enhanced jointly with the technological progress, using

new materials, structures and reducing the overall device dimensions for higher operation

speed. The accurate device modeling mainly depends on two aspects: 1) the ability to

describe the real device structure, 2) the physical model used to simulate the electrical

behavior of the device.

For the first aspect, the structure can be completely drawn according to structural-

dimensional characterization of the real device. This can result of the modeling of the

fabrication processes as deposit/growing, etching, implantation, annealing through the

TCAD simulation. For the second aspect, the results could be accurate or not depending on

the ability of the physical models used to take into account for instance all the complex

behavior of the charge transport through the HBT layer. The design flow starts with a precise

layer stack determination obtained with 1D process simulation. The lateral dimension of the

HBT is simulated with a 2D process simulation. Finally, some other aspects to consider for

right results such as extrinsic capacitances are included. The main objective is to model 1D

Page 89: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

72

and 2D process in the advanced ST technology where vertical and lateral dimensions of the

HBT core is pushed to sub-micron and nanoscale.

The simulated device was released in the framework of the Dotfive European project

[Dotfive] where one objective is obtaining a HBT which reaches a maximum oscillation

frequency (fMAX) of 500 GHz. The simulation of each processing step of the process flow

leads to an accurate prediction of the active dopant distribution, stress distribution and

device geometry of the SiGeC HBT.

In this chapter, we explain the TCAD simulation project for B3T devices, which constitutes

the baseline for more advanced TCAD platforms B4T and B5T in the next chapter. The

description of the device technology named B3T is done, illustrated with structures obtained

from process simulation. Models used for diffusion of doping species versus layer

composition are discussed, followed by the introduction of the simulation process flow. The

methodology used for calibrate model parameters is exposed and simulation results are

compared to measurements made in real devices. The impact of some technological

parameters (boron doping level, carbon and germanium contents) on doping profiles or on

electrical performances is analyzed. Finally, simulation results are compared to fabricated

B3T devices.

3.2 B3T Technology

B3T is a bipolar process technology derived from the latest high-speed 0.13-µm BiCMOS

technology from STMicroelectronics BiCMOS9MW [Chevalier 05] [Chevalier 09]. It features

260 GHz / 350 GHz for fT / fMAX and has demonstrated the capability for complex circuits

manufacturing [Chantre 10] [Avenier 09].

The major changes in the B3T architecture relative to the previous BiCMOS9MW are: New

layout rules, new base doping profiles, new SIC alignment (Selectively Implanted Collector)

after the emitter opening, new SIC dose after poly-emitter deposition, new poly-base

patterning, and new spike annealing temperature reduction. The goal of this technology is to

develop transistors with the highest fMAX.

An important feature in the development of the B3T process is to scale down the size of the

transistor, while maintaining the specificity of the ST self-aligned process technology. This

technology should maintain efficient emitters in spite of the increase of resistances in series

Page 90: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

73

due to the dimension reduction. This requires to improve also poly-silicon emitters and self-

alignment techniques. In B3T technology the self-alignment is an effective tool which makes

possible structures below the limits of photo-lithography. This can be introduced into

production lines without excessive costs.

The Figure 3.1 shows the transmission electron microscopy of the cross section of the core

of the HBT. The 274 nm wide SiGe:C boron doped base is grown on the Si collector. The

120 nm emitter-base junction width (WE) is few nanometers under the 174 nm line drawn for

dimensional measurement. The emitter peripheral region is isolated from the base (SiGe:C

layer and the extrinsic lateral poly-silicon layer) with silicon dioxide (light grey) and silicon

nitride forming a L-shape. The dark region is the tungsten plug for the emitter. It can be

noted the very small lateral dimension obtained with very deep UV lithography steps.

Figure 3.1 TEM cross-section of the simulated device (B3T Technology). Fully Self Aligned SiGeC

HBT with emitter width (WE) of 120 nm.

3.2.1 B3T process fabrication

The front end of line of the B3T fabrication process is shown in Figure 3.2. The main steps of

this HBT fabrication process is also illustrated in Figure 3.3 to Figure 3.11, with device cross

sections obtained from the simulation. The left edge of each figure is placed on the central

axis of the HBT.

Emitter

CollectorSiGe:C base

Emitter

CollectorSiGe:C base

Page 91: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

74

Figure 3.2 B3T Technology process flow. Major fabrication steps in the process flow, from the P

substrate passing by the SiGe:C base epitaxy up to the cobalt silicidation.

On a p-type silicon substrate, the process starts with the deposition of a thin oxide layer to

keep out dirt, followed by an arsenic implantation to form the n+ buried layer. After the

removal of the oxide a lightly doped n-type layer is deposited to form the collector. The

active area of the transistor is then isolated and defined by the formation of the shallow

trench isolation (STI) (Figure 3.4). To contact the collector buried layer and avoid a high-

resistivity area between the buried layer and the contact area a n+ implantation (SINKER) is

performed (Figure 3.4). This is followed by the CMOS well implantation, the definition and

opening of the bipolar area. The pedestal oxide layer is deposited, followed by a P doped

poly-base and a stack of oxide/nitride layers (Figure 3.5). Once etched selectively, the

pedestal oxide is used to prepare the intrinsic base region and the connection with the

extrinsic poly-base layer.

P substrate

N+ buried layer

Collector epitaxy

CMOS WellImplantation

Shallow TrenchIsolation

N+ Sinker Implantation

Bipolar areaopening

Pedestal oxide & polybase deposition

Polybase implantation

SIC implantation

Oxide / nitridestack implantation

Emitter window patterning

SiGe:C base epitaxy

Emitter inside spacers formation

Polyemitter deposition

Cobalt salicidation

Polyemitter & polybase patterning

Spike activation anneal

Page 92: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

75

Figure 3.3 Implantation of the N+ buried layer and Collector epitaxy. This figure and following figure show the cross-section of the HBT process.

Figure 3.4 Shallow trench isolation (STI in dark region) and N+ Sinker implantation (between the two STI). The left edge of the figure is the central axis of the HBT.

Figure 3.5 Deposition of the pedestal oxide (dark), the poly-base and the nitride.

Figure 3.6 Emitter Window patterning (thick protecting layers, anisotropic etching). patterning (thick protecting layers, anisotropic etching).

Figure 3.7 Oxide etching to define the intrinsic base region (self-alignment of the two junctions) and Selective Implantation of the Collector (SIC) owing to this nitride uncovered region (left side of the figure).

Figure 3.8 Intrinsic SiGe:C boron doped base epitaxy, and Si-cap.

After these successive depositions the emitter window is defined and opened via photo-

lithography (Figure 3.6). Thin and thick layer stacks of oxide and nitride are used and

anisotropically etched. The pedestal oxide is isotropically etched in order to release the

surface on top of the collector, and giving enough space under the poly-base for the

subsequent connection between the intrinsic and the extrinsic base (Figure 3.7). The fully

self-alignment of junctions lies on this method associated with the selective SiGe:C base

epitaxy. The selectively implanted collector (SIC) is carried out through this opening. The

boron doped SiGe:C base is then epitaxied producing a thin single-crystal layer on top of the

selectively implanted collector (Figure 3.8).

The base growth is followed by the emitter spacers’ formation (Figure 3.9) and the poly-

emitter deposition (Figure 3.10). The final device is defined by the poly-emitter and poly-

Page 93: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

76

base patterning (Figure 3.11), and finalized with the spike activation and the cobalt

silicidation. Then, tungsten plugs and via are processed, and the subsequent BiCMOS 6

levels metal back end of line is addressed to high-frequency circuits.

Figure 3.9 Emitter inside spacers formation.

Figure 3.10 Poly-emitter depositions and annealing.

Figure 3.11 Poly-emitter & poly-base patterning.

3.2.2 Simulated Structure

The Figure 3.12 illustrates the 2D device structure generated with the TCAD tool. As

detailed in previous sections, the HBT results from a double-polysilicon FSA (Fully Self-

Aligned), with a boron-doped SiGe:C base, and one arsenic-doped mono-emitter. The

collector module is formed by a n+ buried layer, a collector epitaxy and a selectively

implanted collector (SIC). The Figure 3.13 focus the view on the core of the HBT, showing

more finely the different region of Si, SiGe:C, oxide, nitride and poly.

Figure 3.12 Structure generated with the 2D simulation. Brown areas indicate oxide regions, orange

areas the N type doping, blue areas the P type doping. Because of symmetry, only one half of the

structure is simulated.

0.5 1 1.5 20-0.5-1-1.52

SINKER

Deep Collector

STI

High Doped Collector

Page 94: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

77

Figure 3.13 Structure obtained at the end of the electrical simulation with its main parts. Brown areas

indicate oxide regions, orange areas the N type doping, blue areas the P type doping.

3.2.3 B3T Mask Layout

Each photolithography step required to manufacture a 0.13µm technology (CMOS devices,

HBTs, passive components, interconnect) needs one specific mask. The front end of line for

B3T-HBTs requires 8 mask levels. A brief description of these levels follows, in appearance

order of the manufacturing process:

• Nburied: At the start of process, it defines regions in which implantation of the collector

buried layer will be performed.

• DeepTrench: This mask allows the implementation of deep trench isolation (DTI) for

isolating the device from adjacent semiconductor devices.

• Sinker: This photolithography step defines the regions of the collector wells (implantation

dose under the contacts).

• BipOpen: It opens up the gate polysilicon in areas where will be fabricate bipolar

transistors.

• SIC: This mask defines the zone where will be carry out the selective implantation of

collector. It is not used if SIC implantation is performed in the emitter window.

• Polybase: It serves to limit the lateral extent of the extrinsic base (polybase) of the device.

Emitter Contact

Extrinsic Base

Base-Collector Oxide

Collector

Poly-Emitter

Nitride Spacer

L Spacer

SiGe:C Intrinsic Base

Page 95: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

78

• EmWin: This mask is the most important in the HBT processing, it enables opening of the

emitter window and the device self-alignment. The emitter window will be located inside the

polybase mask and within an active region. The minimum width of the emitter window is

limited by the resolution of the deep UV photolithography equipment. The wavelength used

is 193 nm for the B3T technology.

• PolyEm: This is the last specific mask of the HBT processing; it defines the emitter poly-

silicon. This mask must not extend outside the polybase and must include the emitter

window. It has to be wide enough to accommodate the emitter contact and must to leave the

polybase surface large enough to place the base contacts.

3.2.4 B3T TCAD Project

The development of this TCAD simulation platform is based on the STMicroelectronics

advanced BiCMOS technology for SiGe and SiGeC HBTs. The objective is to control

process parameters, leading to simulation results with static and dynamic characteristics of

the NPN HBT, the figures of merit and first order parameters commonly used for

performance comparisons.

Figure 3.14 shows the TCAD simulation flow implemented in order to obtain simulation

results for advanced HBT very close to the real device. The design flow starts with a precise

layer stack determination obtained from 1D process simulation. The lateral dimension of the

HBT is simulated with a 2D process simulation. Finally, some other aspects to consider for

right results such as extrinsic capacitances are included. The main objective is to model 1D

and 2D process in the advanced ST technology where vertical and lateral dimensions of the

HBT core is pushed to sub-micron and nanoscale.

Page 96: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

79

Figure 3.14 HBT TCAD Simulation flow. Main steps during the transistor simulation, 1D and 2D

process simulation, device simulation and figures of merit: fT, Gummel plot and fMAX.

The B3T TCAD project is the simulation kit of the B3T technology developed at

STMicroelectronics. The simulation includes all processing step. Compared to the previous

TCAD platform for HBT, new layout rules, new base doping profiles, new SIC profile, new

poly-base patterning, and new spike annealing temperature reduction are introduced in this

simulation project. Typical TCAD simulations of devices are based on a 1D profile and do

not take into account all the process steps. In our approach the dopant position is defined

not only by the 1D profile but also by all process steps which should lead to obtain a

behavior closest to the real device behavior. All this leads to an accurate prediction of the

active dopant distribution, of the stress distribution and of the device geometry. The correct

simulation of the process fabrication is assured by SiGeC specifics process models which

describe the diffusion of boron and arsenic in SiGe and SiGeC alloys.

Today it is possible to find several models for a same phenomenon and no model for some

phenomena. For instance the transport model for the particle flux of dopants in the process

simulator counts on seven different models, while there is not a defined model for the

arsenic diffusion in SiGeC alloys. Besides the accuracy of each model is limited and each

model requests basic parameters that depend on measurement and on the ability to extract

them.

Page 97: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

80

Many models are only valid and work for a specific device and under specific conditions after

a TCAD calibration. Such models cannot work when operating conditions are out of the

domain used during calibration. Such calibrated models are not predictive tools and are not

useful to detect device problems or to improve the device technology. Nevertheless, such

calibrated models are very useful for device optimization because of the so many

parameters on which we can acts to reach specific performances.

The architecture and the process flow of the HBT is quite complex. The diffusion of doping

species (boron, arsenic) in and out of the strained SiGeC layer, and of polycrystalline

materials (emitter, extrinsic base layer), during the process, has not been precisely studied

experimentally. Then different TCAD parameters must be added to model diffusion

according to the semiconductor physics.

Germanium and carbon and the strain of the SiGeC layer help to reduce boron diffusion but

accelerate arsenic diffusion. Diffusion parameters are carefully tuned in a calibration work as

well as the base layer epitaxial parameters (Ge and C content and thickness of each

epitaxial step) to reproduce the electrical characteristics of HBTs. In our simulations the

process simulation are based on state of the art process models (such as ion implantation,

oxidation, etching, diffusion) within Sprocess simulator [Sprocess 11] and on the

implementation of specific diffusion models for SiGeC alloys [Pakfar 03].

3.3 Composition and Dopant Diffusion Modeling

3.3.1 Carbon Content

Carbon is valence isoelectronic to both germanium and silicon and may crystallize in a

diamond structure. There is a conduction band offset between silicon and SiC enabling

bandgap engineering and the exploration of different types of strain [Osten-94] [Dietrich-94]

[Zaumseil97]. The presence of carbon in the SiGe base layer helps to reduce considerably

boron diffusion and allows the formation of thin and highly doped base. SiGeC bipolar

transistors have very low base widths and their dynamic performance is improved

significantly compared to SiGe HBTs [Sibaja 11]. Reducing the diffusion coefficient of boron

is such that often it is said of "blocking" the diffusion of boron [Rucker-98].

Page 98: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

81

The blockage effect of carbon on the transient enhanced diffusion, due to the point defects

generated by ion implantation of boron, attracted the research interest on carbon diffusion in

the 1990s [Lanzerotti96] [Cacciato 96] [Gossmann 96].

Since its lattice constant is considerably smaller than that of silicon (3.57 Å) carbon

incorporation in substitutional site on SiGe is of great importance to compensate the

compressive strain in SiGe layers grown on silicon [Schmidt 98] and thus relax the critical

thickness constraint on SiGe layers.

3.3.1.1 Carbon incorporation in SiGe alloys

The finest boron profile is reached only with carbon incorporation. For high doped devices

requested for high dynamics performances such fMAX, the widening of the doped base layer

due to the increase of the boron doping level is limited with carbon deposition in the same

time.

Silicon, germanium and carbon form crystals purely covalent in which the atoms of the lattice

are not electrically charged, and are randomly distributed [Logan 64]. At thermodynamic

equilibrium, the solubility limit of carbon in silicon is very low. However SiGeC alloy layers

having carbon content exceeding 1% is commonly done by low temperature epitaxy. Indeed,

the C incorporation limit is far more significant in the first deposition layers, and the non-

equilibrium thermodynamics growth of the crystal let to maintain the same limit value at the

surface within the volume of the sample to achieve high concentrations of carbon [Tersoff

95].

For concentration lower than 1%, carbon is only located in substitutional sites of the Si or

SiGe crystal lattice [Bodnar-95] [Osten-96]. For higher concentrations, the excess of carbon

is in interstitial site into the crystalline lattice, and does not contribute to the compensation of

the lattice mismatch. For a C incorporation superior to 2% nano-crystals of silicon carbide

are formed [Guedj 98] [Liu 98]. These constitute crystal defects which can lead to

polycrystalline layer and which will always be avoided in silicon devices. If the carbon atoms

are placed in interstitial sites they introduce recombination centers and traps which degrade

the device performance.

At low concentration, the effect of substitutional carbon in SiGe alloys is to increase the

material bandgap, and to compensate the biaxial strain of SiGe layers on relaxed silicon

Page 99: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

82

substrate. Several publications have focused on the experimental study of the bandgap of

SiGeC layers as a function of their substitutional C content [Regolini 94] [Chang 96] [Yuki

01] [Brossard 06]. Figure 3.15 shows the carbon substitutional effect ( ) on SiGeC layers.

reduces lattice strain of the SiGeC layer. For increased C concentration, the main SiGe

X-ray diffraction peak shifts toward the Si peak, putting in evidence the strain compensation.

Figure 3.15 X-Ray diffraction diagrams of SiGeC layers grown with different C concentrations

[Brossard 06].

Indeed, the introduction of the carbon in SiGe has a double impact on the bandgap. It

induces a lowering of the conduction band reducing the value of the bandgap. But, in

opposite, due to the partial reduction of the layer biaxial strain on the silicon substrate, the

bandgap value is increased. This strain effect is more important than the effect of the carbon

on the conduction band [Brunner 97], thereby the bandgap of SiGeC alloys increases with C

content [Hull 99].

3.3.1.2 Carbon Diffusion Effect on the Base Width

The SIMS profiles in Figure 3.16 and Figure 3.17 show the results obtained by Lanzerotti

[Lanzerotti 97] and Osten [Osten 98] for SiGeC layers. They prove as the incorporation of

low carbon concentration within the SiGe region of SiGe HBTs can significantly suppress

boron outdiffusion. The SIMS profile without carbon reveal a strong boron out-diffusion. The

SIMS profile with substitutionally incorporated carbon atoms stops the boron outdiffusion

Page 100: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

83

nearly completely. They observed reduction in B diffusion effect in both secondary ion mass

spectroscopy and electrical characteristics.

Figure 3.16 SIMS profiles showing the suppression of boron diffusion by carbon incorporation (a)

whitout carbon, (b) with a C content of 0.5% (c) with a C content of 0.9% [Lanzerotti 97].

Figure 3.17 SIMS profiles of boron before and after the formation of a phosphorous implanted poly-Si

emitter annealed at 950 °C for 30 sec. Buried, box-shaped 30 nm thick Si0.8Ge0.2 layer without (left)

and with 1020

cm-3

carbon background (right) [Osten 98].

Compared to the strain/bandgap effect of carbon in SiGe layer, the main benefit is to reduce

the B diffusion, and carbon incorporation is used more as this technological parameter. Our

simulations confirmed the results of different studies, which have shown the importance of

Page 101: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

84

using carbon to reduce the boron diffusion in strained SiGe base layers [Lanzerotti 96]

[Rucker 97] [Osten 98] [Barbalat 07]. This reduction is achieved by the under-saturation of Si

self-interstitial caused by the out diffusion of C [Rucker 97] [Scholz 98].

3.3.2 Dopant Diffusion in SiGeC Alloys

Unlike of III-V and II-VI alloys, the atoms in column IV of the periodic table are chemically

similar and physically compatible. Thus, obtaining group-IV semiconductor alloys can be

done more easily avoiding common problems of heterogeneous compounds such as partial

miscibility of atoms, atomic order in small and large scale in the material, or the phase

stability of alloys during the thermal treatment.

As previously mentioned the use of carbon to trap self-interstitials is one of the techniques

used to reduce the impurities diffusion. Many quantitative studies have shown that a

substitutional carbon atom can capture on average a little more than one interstitial atom

of silicon [Cacciato 96] [Cowern 96] [Cristiano 97]. Consequently in silicon, the formation of

the complex will cause a significant decrease of the interstitial concentration reducing the

dopant diffusion.

Along with oxygen carbon is one of the most investigated electrically inactive impurity in

silicon, this because it is present in significant concentrations after the crystal growth

[Goesele 00]. Carbon can be placed in substitutional site ( , or interstitial site in the silicon

lattice ( ). The diffusion of carbon in pure silicon has been modeled by Rucker, Scholz and

Cowern [Rucker 99] [Scholz 99] [Cowern 02]. Its action on the substitutional impurity

profiles, on accelerating the effective diffusion of vacancy dopants and on reducing the

phosphorus and boron diffusion, is demonstrated [Rucker 99.2]. For the levels used during

our experiments (less than 2%) we will interested to substitutional carbon , for this

quantities the effect is negligible.

In our simulations the carbon diffusion depend on the percentage of germanium and carbon,

it is given by:

3.1

Page 102: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

85

3.2

where and are respectively the SiGeC interstitial and vacancy concentration,

and are the point defects at equilibrium thermodynamic, and are the

silicon and carbon interstitial concentration, and

are the vacancy an interstitial strain

parameters, is the germanium content, and

are the concentration of interstitials

and vacancies in carbon, is the layer strain, is the material bandgap, and

are

represent the influence of material electric parameters for interstitials and vacancies

respectively, and and

define the change in the concentration of interstitials and

vacancies in SiGe alloys, related to silicon [Pakfar 03]. The diffusion of carbon in silicon

involves the mechanisms kick-out and dissociative Franck-Turnbul [Werner 98] [Scholz 99].

In thermodynamic equilibrium, the two carbon configurations are examined separately. The

limits of solubility in thermodynamic equilibrium and diffusivity of the two types of carbon are

summarized in Table 3.1.

Table 3.1 Parameters of the Arrhenius law for concentrations and diffusivities of substitutional and

interstitial carbon in thermodynamic equilibrium.

Parameter Pre-exponential Factor Activation Energy (eV)

4.1024cm-3 2.3

2.1025cm-3 4.52

D( ) 1.99 cm-2.s-1 3.1

D( ) 0.44 cm-2.s-1 0.88

Reactions 3.3 and 3.4 define the balance between populations of carbon in interstitial and in

substitutional sites and of point defects. The atom diffuses rapidly in silicon by direct

interstitial mechanism, while the diffuses four times slower because it uses reactions kick-

out and Frank-Turnbull. The kick-out is the dominant diffusion mechanism of carbon in

silicon. This describes the interaction with carbon interstitial point defects ( ), such that:

3.3

Page 103: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

86

The dissociative Franck-Turnbull mechanism is the second equilibrium relation to consider. It

depends on vacancies:

3.4

The limit of solubility of the two carbon configurations is low and remains well below the

impurity concentrations usually used in microelectronics. This low value is close to the

detection limit of the characterization tools.

The reactivity flow of point defects in thermodynamic equilibrium is defined by:

3.5

3.6

The points defects and and are compared in Figure 3.18.

Figure 3.18 Flux in thermodynamic equilibrium of point defects and of substitutional and interstitial

carbon. The different curves represent the product of the diffusivity and concentration in equilibrium of

interstitials (purple), vacancies (blue), substitutional carbon (black) and interstitial carbon (red

symbols).

1.E-04

1.E-02

1.E+00

1.E+02

1.E+04

1.E+06

1.E+08

0.7 0.75 0.8 0.85 0.9 0.95 1 1.05

Spe

cie

s F

lux

1000/T(K-1)

D(Cs)x[Cs eq]

D(Ci)x[Ci eq]

D(V)x[V eq]

D(I)x[I eq]

Page 104: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

87

During the diffusion of carbon in silicon in thermodynamic equilibrium the flow of point

defects is bigger than the flux of carbon. When the carbon diffuses by kick-out and Frank-

Turnbull mechanisms, the rejected point defects are instantly replaced due to the high

mobility of interstitial and vacancies. Then the carbon diffusion in silicon is carried out with

same mechanisms as other impurities (e.g. boron), it has no effect on the point defects

concentration. This is defined by:

3.7

Non-equilibrium diffusion of carbon can occurs with concentrations up to several orders of

magnitude higher than those of the limit of solubility. This kind of diffusion confers to carbon

specific action properties on point defects and their concentrations. Indeed, the high

concentration of substitutional carbon creates a significant imbalance of the "kick-out"

phenomena. The equation 3.3 leads to increase the concentration of interstitial carbon and a

high sub-saturation of interstitial point defects, such as:

and 3.8

Thus, when an enough concentration of substitutional carbon is reached the following

inequality is obtained:

3.9

3.3.3 Boron Diffusion in SiGe and SiGe:C Alloys

The shape of the boron doping profile is determinate by its diffusion on SiGe alloys and the

suppression of out-diffusion by carbon incorporation [Jones 97] [Lanzerotti 96]. To

understand the diffusion mechanism of boron in SiGe and SiGeC alloys, let us to show how

this operates in silicon. Dopants are impurities which will diffuse by interacting with native

point defects, such as vacancies (V) or Si self-interstitials (I), which are always present in the

crystal. When these impurities are positioned on a site dedicated to silicon, they can ionize

and become electrically active. The reactions involving diffusion mechanisms and thus

responsible for forming the mobile dopant-point defect complexes are:

Page 105: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

88

3.10

3.11

3.12

3.13

where , represents the impurity in a substitutional position, and the impurity in an

interstitial position. In the case of boron the diffusion is occurring via interstitials and can take

place in two ways as represented in Figure 3.19. The simple interstitial mechanism consists

to the local deformation of atomic bonds obtained by coupling between an intrinsic interstitial

atom and the impurity where both diffuse as an pair. The kick-out mechanism has

particular importance since it is the predominant diffusion mechanism of boron in silicon. In

this mechanism the impurity atom is kicked out from a lattice site and diffuse as an isolated

interstitial .

Figure 3.19 Schematic representation of the interstitial mechanism (a) Simple interstitial (b) Kick-out

The point defects are characterized by two main properties important for the study of the

diffusion: their concentrations at equilibrium ( for interstitials and for vacancies) and

their migration rates ( for interstitials and for vacancies). The individual dopant-point

defect complexes are related to the atomic concentration of the material and total

diffusivity in steady state by

Page 106: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

89

3.14

In SiGe films with germanium content lesser than 40%, experimental data shows a lower

diffusivity of boron than in pure silicon [Kuo 93] [Larsen 98] [Willoughby 99]. In these alloys,

the diffusion coefficient of boron decreases with the increases of Ge content. The effects

identified as having a major influence on the concentrations of point defects and the

distributions of common dopants are the strain, the chemical composition and segregation.

In SiGeC alloys the presence of carbon in active layers of the HBT can be used as a "trap"

of point defects generated by ion implantation. It is not the case for B3T HBTs where carbon

is epitaxied on the base layer at the same time than Si, Ge and B. But carbon can be

implanted before the epitaxy of the active area of a conventional SiGe HBT. This buried

carbon profile is clearly identified as recombination wells which drain the point defects of the

implantation, and transient diffusion due to defects of the extrinsic base implantation

[Magnee 01].

3.3.4 Modeling of Boron Diffusion in SiGe and SiGeC Alloys

The modeling of the dopants diffusion mechanism is quantified by a single parameter called

the fraction of interstitial, . The fraction of interstitial is the ratio of the diffusion using

interstitial mechanisms to the total diffusion, in steady state conditions. When impurity

diffusion is only due to the interstitial mechanism is equal to 1, while equals 0 for

impurity diffusion only due to vacancies.

The modeling of the boron diffusion in SiGe alloys is assumed to be purely an interstitial

diffusion mechanism. Thus the fraction of interstitial mechanism for boron is equal to 1 and

its diffusion is expressed by combining the concentration of their point defects and the effect

of the coupling boron-germanium :

   

   

( ) [ ] ( )

( ) [ ] (0)

B SiGe B

B Si B

D SiGe I D BGe

D Si I D 3.15

3.16

Page 107: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

90

where is the silicon-germanium interstitial concentration, is the silicon interstitial

concentration, represents the reduction of the number of mobile boron atoms through the

crystal, and is the Ge fraction. If we consider the expression of elongation as ε = ,

the boron diffusion becomes:

3.17

with the variation of the boron activation energy depending on SiGe film parameters

following:

'

1 1)0.042 ( BGe Ge

B I I gEa SiGe X Q Q Q EK 3.18

where ,

define the change in the concentration of interstitials in the SiGe alloy, related

to silicon [Pakfar 03].

In SiGeC alloys the boron diffusion is given by:

3.19

where is defined in equation 3.1.

3.4 B3T Simulation and Calibration

The B3T calibration work is performed comparing the simulated device to the real device.

The different simulation parameters and their impact on dopant profiles, on geometric

structures and on electrical characteristics are analyzed and compared to measurements.

Simulated dopant profiles are compared to secondary ion mass spectrometry (SIMS)

measurements, geometric structures are compared to TEM pictures and simulated electrical

results are compared to the device characterization results.

The calibration process is a methodology which can involves more than thirty parameters

which most of the time are dependent upon one another. Consequently the modification of

one parameter can impact all the others.

Page 108: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

91

3.4.1 The Process Simulator

In the Sentaurus process simulator (Sprocess), the different fabrication steps are introduced

in a Sprocess command file. This file is the main input for the process simulator, containing

all the process steps and it can be edited according to user specifications. For instance, the

following command is used for the NBuried implantation:

The mask coordinates are parameterized using the Emitter Window variable “W”.

3.4.1.1 Etching and Deposition Simulation

The etching and deposition speeds and directions are obtained from experimentally

determined rates and geometric considerations. The simulation is performed by MGOALS

algorithms in the process simulator [Sprocess 12]. Both operations are simulated using

geometric shapes and mathematical formulations. In 1D and 2D simulations, meshes are

generated after every geometry operation of etch and deposition.

In the MGOALS library the starting structure is analyzed for the interfaces that will change

during the operation. Afterwards, the geometry-changing operations are performed and

finally the entire structure is re-meshed. During re-meshing, nodes in the silicon region are

retained as much as possible in their original locations. In most cases, a high percentage of

the nodes are retained after re-meshing which minimizes interpolation errors.

The MGOALS algorithm places mesh points as instructed by the user. Afterwards, the

elements are created using a modified Delaunay-meshing algorithm. The meshes generated

within are refined adaptively, statically, or as a combination of adaptive and static

refinements. The refinements are specified within four major types of refinement box: field

based (adaptive meshing), mask based, uniform (standard) and interface.

All these refinements are user controllable. In addition, the MGOALS mesh engine enforces

mesh smoothing to limit the changes in element size from one element to the next one. This

smoothing is important for accuracy and for convergence especially at intrinsic base and

boundaries.

Page 109: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

92

Mesh refinement is a two-step process. First, the refinement box is defined. Second, the

mesh is refined when the next re-mesh occurs either with an explicit grid re-mesh command

call or during standard geometry modifications, or native layer formation.

All refinement boxes have refinement criteria that add mesh and constraints that can be

used to limit where the mesh refinement occurs. One type of refinement criteria is available

for each type of box, and it essentially defines the box type. The refinement criteria and,

therefore, the refinement box type are static or adaptive depending on the simulated region.

For instance for the L spacers we use a standard static refinement box. On the other hand

we use the adaptive refinement for STI and for poly-base which accurately capture the entire

evolution of a static mesh and is less time consuming.

In 2D, MGOALS uses either an analytic method or a fast level-set method to perform

boundary-modifying operations. In general, the analytic method is faster, uses less memory,

and more accurate. However, it cannot handle deposition step in concave regions or etching

step of convex areas when there are boundary collisions and self-intersections.

a) Etching

In the case of etching three main specifications are required: the mask specification for the

etch type, the material to be etched and the amount of material to be removed. Etching may

be considered as a negative deposition in the topographic simulation, because nodes are

removed from the structure instead of being created.

In 2D, all etch types have been implemented within the process simulator using three

different methods: analytic, fast level-set, and general time-stepping level-set, which are

described in MGOALS boundary-moving algorithms. The etch types can be anisotropic (etch

in the vertical direction only), isotropic (etch rate is uniform in all directions), directional (in a

specific direction), polygonal (according to a polygon specified by the user), crystal (Angle-

dependent etching where etch rate is dependent on the crystallographic direction) [Sprocess

12].

Page 110: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

93

b) Deposition

For deposition, the analytic method, the fast level-set method, and the full level-set method

are available. In 2D, the analytic method is the preferred method, and the level-set method is

used when the analytic method is not possible when a front collision is detected.

In a newly deposited region, constant field values can be initialized. For isotropic deposition,

a piecewise linear solution fields can be defined as a function of the distance from the

original surface.

To define the deposition type, the parameter anisotropic, isotropic, fill, or fourier must be

specified. To specify the thickness of the deposited layer for isotropic and anisotropic

deposition, the parameter thickness may be specified, or the rate and time parameters.

Besides the deposition type and thickness, the material to be deposited must be also

specified.

3.4.1.2 Diffusion

During the fabrication process, the diffusion and the distribution of dopants through the

various thermal annealing cycles, are modeled by the simulator taking into account the

following effects:

Dopant (de)activation

Dopant–defect interaction

Chemical reactions at interfaces and in bulk materials

Material flow

Moving material interfaces

Internal electric fields

The process simulator uses the Alagator language which allows the modification of diffusion

models. This language had been used for implement and modified the SiGeC diffusion

models.

Page 111: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

94

3.4.1.3 Oxidation

The thermal oxidation of silicon is performed in three steps in the process simulator: -1-

Diffusion of oxidants (H2O, O2) from the gas–oxide interface through the existing oxide to the

silicon–oxide interface, -2- reaction of the oxidant with silicon to form new oxide and -3-

motion of materials due to the volume expansion, which is caused by the reaction between

silicon and oxide.

The equation of oxidant diffusion is solved using the generic partial differential equation

(PDE) solver of Sentaurus Process. For the simulation of thermal oxidation, there are two

requirements: The silicon or polysilicon region which is in contact with the gas or an oxide

region, which, in turn, is in contact with the gas and the diffuse command which specifies a

reactive atmosphere.

If silicon or poly-silicon are in contact with gas at the beginning of a thermal oxidation, an

initial oxide layer is created automatically. The default thickness of this layer is 1.5 nm but it

can be modified according to user preferences.

3.4.2 1D Process Simulation

One-dimensional simulations are performed in order to obtain accurate layer profiles of

dopants under the emitter window. The base epitaxy is formed by a Si/SiGe:C/Si stack with

a total thickness of about 50 nm. Boron doping and carbon incorporation is done during the

epitaxy only in the central part of the SiGe layer. Ge content follows a graded profile, with

20% to 30% from the emitter side to the collector side of the SiGe base. The SiGe thickness

is typically of 20 nm. During the growth and the final thermal annealing, the diffusion of

doping species gives broader layers than those deposited. All aspects are simulated,

according to the process flow. The growth of the base is finished with a silicon cap layer,

which forms the mono-emitter, after deposition and diffusion of As doped poly-silicon. The

process simulation is calibrated with SIMS measurements which provide emitter-base and

collector-base junction accurate delineation. Figure 3.20 gives a comparison between the

SIMS profile of an HBT and the simulation of the corresponding process flow.

Page 112: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

95

Figure 3.20 SIMS profiles compared with the simulated data of arsenic, boron, germanium and

carbon of B3T technology.

We could remind that C content lower than 1% allows to block the boron diffusion, enabling

a higher doping level in a thinner base layer [Lanzerotti 96] [Rucker 97]. Such a thin base

helps improving the HBT electrical performance.

3.4.3 2D Process Simulation

The 2D simulation of the transistor is also performed to accurately calculate collector and

sinker profiles formed by implantation-diffusion in silicon. Figure 3.21 shows the final

structure obtained from TCAD according to the B3T technology process flow. The 1D and

2D simulations are gathered for the species and doping profiles, and this structure will be

used for electrical simulation. The correct definition of the lateral profile (junction widths,

doping levels in the connection region) is of the same great importance than the vertical

layer profile. One of the limitations for the increase of fMAX is linked with the peripheral part of

the emitter junction, the part of the base resistance between the extrinsic and the intrinsic

base layers.

Page 113: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

96

Figure 3.21 2D Key process parameters: a) L-shape oxide spacer width, b) L-shape oxide spacer

thickness, c) Nitride spacer, d) Base-collector oxide and e) External to internal base connection.

The use of FSA-SEG architecture [Hashimoto 03] [Meister 03] gives many advantages over

previous generations. The intrinsic device is thus achieved with only one level of

photolithography. There is not mismatching risks, base and collector contacts can be closer

to the center of the device, which will reduce the base resistance and therefore, increases

fMAX.

The 2D process simulations lead to an accurate representation of real device and

consequently realistic results. Figure 3.21 shows such critical parameters as L-shape spacer

length and thickness, nitride spacer thickness, BC oxide thickness and external-to-internal

base connection length. It should be noted that each thickness is compared and verified with

dimensions taken from TEM pictures as showed in Figure 3.22.

Figure 3.22 TEM picture of the high speed B3T SiGeC HBT (left) vs. TCAD simulation (right).

Emitter

Base

Collector

a) b) c)

d)

e)

Page 114: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

97

For 2D process simulation, the whole HBT architecture is build. High-speed HBT are often in

CBEBC configuration. For 2D electrical simulation, only half of the structure is considered

and the middle of the emitter window is a symmetry axe.

In the 2D process simulation we consider all the process steps in order to be as close as

possible to the real process seen by the HBT we study. The combination of the different

masking steps and the different deposition and etch steps leads to the simulation of the HBT

architecture. For the 2D architecture calibration, the most important steps are the definition

of the emitter window by an etching step and the thickness of the different oxide and nitride

deposition and etching steps.

The 2D simulation file includes four important doping profiles:

a) The NBuried profile is generated by a high dose arsenic implantation followed by a 0.3

micron epitaxy to form a highly doped layer beneath the active zone of the device and

reduces the collector resistance.

b) The Sinker profile is defined at the collector contact zone and is a highly doped

phosphorus implantation set to minimize the resistance between the NBuried layer and

the surface contact.

c) The SIC implantation is an arsenic profile implanted by a dedicated masking step or in

the emitter window before the emitter poly deposition in fully-self aligned HBTs to reduce

the collector vertical resistance and optimize the High-Speed (HS) HBT. In the 2D

process simulation both the 2D implantation and diffusion of this profile are simulated.

d) The extrinsic base profile is formed by the diffusion of a highly doped boron implantation

in the base poly and the 2D diffusion of the boron in the active silicon is important for the

base resistance of the device.

The last step of the 2D process simulation is dedicated to the generation of the contacts

used in the device simulation. The emitter contact is set on top of the polysilicon emitter, the

base contact is set at the poly base surface and the collector contact is set at the Sinker.

Page 115: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

98

3.4.4 Electrical Simulation

After the accurate 1D and 2D process simulation towards a predictive TCAD simulation, the

physical models of the electrical simulation are upgraded to account for the impact of the

SiGeC strained layer on the different electrical characteristics of the device. Figure 3.23

shows the structure simulated electrically, with a meshing density adapted to HBT.

Figure 3.23 TCAD cross section of a SiGe HBT elaborated under B3T technology process flow. a)

Process meshing; b) Electrical meshing of final structure, 1D and 2D simulations are gathered for

electrical simulation.

For the accurate device calibration, many electrical characteristics are compared to

measurements, and a calibration methodology is applied with following electrical

characteristics:

The junctions' capacitance, as a function of the bias voltage, in addition to the doping

profiles obtained from SIMS. The voltage-capacitance characteristic depends on the

doping profile.

The normalized Gummel plot, in order to precisely reproduce the Collector and Base

current in the low to moderate injection regime.

The standard Gummel Plot, for the high injection regime.

The IB(VCE) curve extracted with the BVCEO simulation for the Collector resistance and

Base/Collector junction.

The fT(IC) curve for the good evaluation of the dynamic device parameters.

a) b)

Page 116: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

99

The fMAX(IC) curve for the good evaluation of the dynamic device parameters and parasitic

capacitances and resistances.

3.4.4.1 Collector Current Calibration

The first curve to calibrate is the collector current as a function of emitter/base bias voltage.

For this calibration, parameters to consider are following:

a) Emitter area: The collector current is directly proportional to this parameter. This is

defined by the emitter window patterning, the emitter spacers and the TEOS oxide cap.

b) Base doping: This is fixed by the parameters of base epitaxial layer (i.e. doping levels

of carbon, boron, arsenic and germanium) and is specified in the 1D process command

files. Nevertheless, the area of the emitter junction is of the same importance for the

collector current magnitude.

c) Emitter/Base junction: This is controlled by the boron and arsenic doping levels, its

diffusion in SiGeC alloys and the thickness of the base and Si cap.

d) Germanium content: In a graded profile the most dominant region of the germanium

epitaxy is that close to the emitter. This determines the collector current slope and has

an important impact on all electrical properties of the transistor.

3.4.4.2 Base Current Calibration

The epitaxial boron layer leads to a very thin base region. The base current is mainly due to

the recombination of few holes at the emitter interface, that have managed to cross the

valence band discontinuity of the emitter-base junction. Consequently it is fixed by the

recombination velocity of holes at the emitter contact. This parameter is tuned in the device

command file of the simulator. At high current injection, the extrinsic base resistance can

have an impact as it is only controlled by the 2D diffusion of boron from the base poly.

3.4.4.3 Transition Frequency Calibration

The calibration of this curve is performed taking into account the following regions:

Page 117: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

100

a) Low current densities: In this region fT is driven by the capacitances. Both parasitic

capacitances and junction capacitances can have important effects. To obtain more

accurate results in comparison with measurement the collector-base and emitter base

capacitances are calibrated with experimental results. A better view of this part of the

curve can be found when considering the normalized curves 1/(2fT)=f(1/IC).

b) Low current densities: In this region fT is driven by the capacitances. Both parasitic

capacitances and junction capacitances can have important effects. The value of fT in

this region is the consequence of the obtained capacitances during the electrical

simulation A better view of this part of the curve can be found when considering the

normalized curves 1/(2fT)=f(1/IC).

c) Maximum fT: This can be tuned by considering the base width and the Germanium

epitaxial profile, both introduced in the 1D command file of the process section.

d) High current densities: The fT drops at high current injection because the Kirk effect and

it is controlled by the collector resistance and it is highly correlated to the BVCEO curve.

The collector implantation being well controlled, the NBuried layer and arsenic diffusivity

in the 2D process command file are the key calibration parameters.

3.4.4.4 BVCEO Calibration

The collector-emitter breakdown voltage (BVCEO) curve is calibrated studying carefully the

base/collector doping profile and the model parameters driving the number of carriers

generated by impact ionization at high collector bias voltage. This is defined by the

avalanche generation within the hydrodynamic transport model of the simulator Sdevice

[Sdevice 12]. From hydrodynamic simulations the driving force is equals to the effective

field obtained from the carrier temperature from equations:

3.20

3.21

Page 118: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

101

which are obtained from the energy conservation equation under time-independent,

homogeneous conditions. The parameters and are fitting coefficients with default

value of 1.

3.5 Impact of process parameters

In this section, the impact of the boron doping level, carbon and germanium percentages on

B3T technology is analyzed. We have selected these three parameters because of their

strong impact on electrical characteristics [Salmon 98] [Hållstedt 04] [Hashim 99]. It is shown

how these three parameters at adequate values can improve significantly the transistor

performance, but also how wrong values can degraded it.

We remind that the incorporation of substitutional carbon in pure silicon and SiGe suppress

the boron thermal and transient enhanced diffusion (TED). A HBT is a very sensitive probe

to analyze such phenomenon in the thin base.

The analysis is performed for high doping levels in the emitter and base, which are used in

state of the art HBTs. Process parameters are tested on a typical B3T SiGeC HBT with an

emitter window of 0.25 um and with an emitter width of 130 nm, at room temperature.

3.5.1 Effect of the boron doping level

3.5.1.1 Boron Diffusion Effect on the Base Width

It should be noted that, in B3T devices, the highly-doped base avoids the risk of base punch-

through in very small thicknesses (base width WB) and reduces the base resistance. One

consequence of the boron doping level is the effective base width. As shown in Figure 3.24,

a higher doping level produces a larger base thickness, this occurs because boron profile

becomes wider and the positions of the junctions are shifted toward the emitter and the

collector region. Diffusion direction is highlighted with arrows in the figure.

Page 119: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

102

Figure 3.24 1D simulation profiles comparing the effect of boron doping level increases.

For the lowest doping level, the EB and BC junctions are closer. The 2D representations

shown in Figure 3.25 illustrate this behavior, for a doping level of 2.1019 cm-3 (~7.1018 cm-3

after diffusion) the base width is about 15 nm (Figure 3.25b), for a doping level of 5.1019 cm-3

(~1.1019 cm-3) this is about 20 nm (Figure 3.25c) and for a doping level of 8.1019 cm-3

(~2.1019 cm-3) this is about 25 nm (Figure 3.25d).

Figure 3.25 a) Simulated 1D profile for three different boron doping levels; b) Base width resulting

from a doping level of 2.1019

at/cm3; c) Base width for B doping of 5.10

19 at/cm

3; d) Base width for B

8.1019

at/cm3.

15nm

25nm20nm

EmitterBase

Collector

a) b)

c) d)

Page 120: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

103

3.5.1.2 Boron Doping Level Impact on Electrical Characteristics

Variations in the B doping level have a direct impact on electrical characteristics, due to the

doping distribution in the structure and to the doping level of the base. It affects mainly the

collector current and the transition frequency as shown in Figure 3.26 and Figure 3.27. The

increase of the boron doping level induces a decrease of the magnitude of IC and of fT for a

fixed value of VBE. This is explained by the widening of the neutral base layer that increases

the electron path through the base between the two space-charge regions (junctions). The

base transit time increases, and thus fT decreases. The decrease of the current gain with the

increase of the doping level is due to the reduction of the effective potential barrier (or band-

gap discontinuity) at the EB junction, because the pn junction is shifted in the emitter region,

for a fixed position of the Si/SiGe heterojunction. According to our results the reduction of

base resistance with high doping level is not enough to compensate the decrease of fT, and

thus fMAX is also reduced.

Moreover the increase of the B doping level produces another negative effect. For the

transport model, the electron mobility depends on the charge scattering on doping. This

dependence is described by the Caughey-Thomas relation seen in chapter 2. If the doping

level is excessively increased there is not more beneficial but harmful effect. There is an

increase of the scattering rate between carriers and impurities degrading the carrier mobility

and the device performances.

Figure 3.26 Impact of the boron doping level on B3T technology, figures of merit at VCB = 0 V. a)

Gummel plot; b) Maximum oscillation frequency for three different boron doping levels (peaks at

VCE ~ 0.85 V).

a) b)

Page 121: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

104

Figure 3.27 Impact of the boron doping level on B3T technology, figures of merit at VCB = 0 V. a)

Boron increases effect on fT; b) Boron increases effect on collector-emitter breakdown voltage

(BVCEO).

A significant increase of fT can be obtained with low base doping in SiGe HBT, with high

current gain maintained with heterojunction, and low transit time in narrow base layer.

We have observed for the boron doping values studied that, the reduction of its values had a

positive impact, even with the rise of base resistance. The benefit of the increase of fT is

higher than the increase of the base resistance for the increase of fMAX for B doping values

down to 5×1018 cm3 where fMAX starts to decrease.

3.5.2 Carbon Content

3.5.2.1 Carbon Diffusion Effect on the Base Width

The 1D doping profile of Figure 3.28a shows the reduction of the boron diffusion with the

addition of carbon. For a same B doping level (5×1019 at/cm-3), the increase of the C content

leads to a higher boron peak and to a narrower base. Figure 3.28 shows this effect for three

different contents of carbon. Thus a content of 0.01% leads to a base width of 28 nm (Figure

3.28b), while 0.05% leads to 25 nm (Figure 3.28c) and 0.1% reduced the base width to

20 nm (Figure 3.28d).

a) b)

Page 122: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

105

Figure 3.28 Impact of the carbon content on boron diffusion and base width. a) 1D representation for

three different boron doping levels b) 2D simulation for C percentage=0.1%; c) for C

percentage = 0.05%; d) for C percentage = 0.01%.

3.5.2.2 Influence on Frequency Performance and Current Gain

Impact of carbon on the electrical characteristics is directly linked to its impact on the boron

profile. Thus an increase of the carbon content leading to a sharp boron profile, impacts

electrical characteristics in a similar way than variation on the doping level.

Results shown in Figure 3.29 and Figure 3.30 for a boron doping level of 5.1019 at/cm3

illustrate the improvement of fT, fMAX and of the current gain when the carbon content

increases. For a carbon increase from 0.01% to 0.1%, fMAX increases by 24%, and the gain

increases by 32% (Figure 3.29). It should be noted the rise for fT from 253 to 274 GHz when

the C percentage rise from 0.05 to 0.1% respectively.

Figure 3.29 and Figure 3.30 show the increase of fMAX and fT with C fraction, and shows the

main influence of C in static characteristics. The increment of carbon content increases the

lattice scattering inducing a faster breakdown, carbon content values go from 0.01% (C

content --) to 0.2% (C content ++).

20 nm25 nm

28 nm

c)

a) b)

d)

C perc.=0.1%C perc.=0.05% C perc.=0.01%

a)

Page 123: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

106

Figure 3.29 Effect of for three different carbon percentages on HBT SiGeC figures of merit for a B

doping level of 5.1019

at/cm3, at VCB = 0 V, a) Maximum oscillation frequency; b) Current gain.

Figure 3.30 Impact of C percentage increases on electrical characteristics of B3T technology, a)

Transition frequency at VCB = 0 V, b) Variation in base and collector currents due to the avalanche

multiplication effect.

It should be mentioned that publications have shown the effect of carbon percentage on

HBT performances [Osten 99] [Brossard 06] [Liu 06] [Saitoh 04] [Bouhouche 09], but this

works is the first to use physical models linked with technological processes to take into

account the C percentage effect in the transport equations as depicted in the previous

chapter.

a)

C perc.=0.1%C perc.=0.05% C perc.=0.01%

b)

C perc.=0.1%C perc.=0.05% C perc.=0.01%

a) b)

Page 124: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

107

In B3T technology the percentage of carbon used has allowed to reach 350 GHz and

260 GHz of fMAX and fT respectively. The increase of the HBT performances is allowed by the

selective epitaxial growth (SEG) of the SiGe:C base, with the constraint of an adequate

growth rate to incorporate carbon into substitutional site and not in interstitial site. Compared

to SiGe epitaxy, the growth rate needs a higher temperature, but it leads to the increase of

substitutional incorporation.

On the other hand when carbon content is too high, no more beneficial impact is observed

on transistor performances. As already claimed in different articles, if the C content exceeds

typically more 2%, it can degrade transistor’s behavior [Osten 98] [Lanzerotti 96]

[Bouhouche 09]. Carbon atom placed in substitutional sites can create nano-crystalline SiC

clusters, recombination centers and generate significant leakage currents [Boucaud 94].

3.5.3 Germanium Profile Effect

Germanium profile has an important role in the improvement of the HBT behavior; Silicon-

Germanium material epitaxy brings the bandgap engineering for silicon based bipolar

technology. Sandwiched into silicon, the strained SiGe base layer gives better carrier

transport properties, providing a new degree of freedom for designing bipolar transistors,

with higher values of cut-off frequency and fMAX.

The bandgap engineering is applied in HBT by means of triangular, trapezoidal or

rectangular germanium profile. B3T technology works with a graded germanium profile

which has basically a trapezoidal shape. This type of profile creates a gradient in the

conduction band which accelerates electrons injected from the emitter to the collector,

leading to a shorter base transit time. The Ge profile is obtained by epitaxy following a stair-

step pattern with three steps from 20 to 30%. We call the first step GeE (emitter side), the

second GeB (base) and the third GeC (collector side).

3.5.3.1 Effect of Germanium Percentage at the Emitter Side (GeE)

Figure 3.31 shows the influence of different Ge percentages (GeE) on the Gummel plot, and

on the transition frequency fT. The germanium fraction at the emitter side GeE varies from 10

to 25% with 25% for GeB and 30% for GeC. The increase of GeE leads to an increase of the

current gain, of the collector current density at fixed VBE, and of the fT values.

Page 125: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

108

Figure 3.31 Impact of germanium percentage increases on electrical characteristics of B3T

technology. a) Gummel plot; b) Transition frequency (fT) at VCB = 0 V.

There is also an improvement in fMAX with the increases of GeE percentage. The highest fMAX

is obtained with GeE of 25%. The highest fT is reached for GeE percentages around 10%,

and fT is particularly degraded with GeE of 25%. At fixed VBE, the apparent improvement of

the current gain results from the increase of IC. When GeE increases, the bandgap

discontinuity increases between emitter and base. The increase of the valence band

discontinuity reduces even more the number of holes that diffuse toward the emitter. At fixed

VBE, this reduction leads to the apparent increase of IC. In the case of fT and fMAX with the

base width kept constant the Ge concentration variation generates a gradient in the

conduction band, which acts as a built-in electric field, accelerating electrons from the

emitter to collector. This allows reduction of the transit time of the carriers in the neutral base

improving the frequency performance [Hurkx 94].

3.5.3.2 Effect of Germanium Percentage in the Middle (GeB)

For GeB it varies from 20 to 30% with GeE and GeC constant at 20 and 30%, respectively.

Figure 3.32 shows the increase of fMAX with the increases of GeB, reaching its maximum

value for GeB = 30%.

Current gain is also improves with the increasing GeB. The best value is also obtained for

GeB = 30%. The effect of GeB on fT is negligible with a weak improvement for GeB = 25%.

The base wide of HBT remains constant and thus the impact on base transit time is weak.

GeE Increases

a) b)

GeE

Page 126: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

109

Figure 3.32 Effect of germanium percentage increases in the middle (GeB) on electrical

characteristics of B3T technology a) fT, b) fMAX, at VCB = 0 V.

The reduction on the germanium percentage at the middle of the base has a low impact on

the electrical characteristics. Variations on GeE and GeC are more useful to generate the

gradient in the conduction band.

3.5.3.3 Effect of Germanium Percentage at the Collector Side (GeC)

For the last study the GeC percentage is varied from 25 to 35% with GeE and GeB

constants at 20 and 25%, respectively. The Figure 3.33 and Figure 3.34 illustrate the impact

on Gummel plot and on fT and fMAX. The best results for fMAX, fT and the current gain are

obtained with GeC = 30%, above this value the electrical characteristics are degraded.

Figure 3.33 Effect of germanium percentage increases at collector side (GeC) on electrical

characteristics of B3T technology. a) SiGe:C HBT 1D profile; b) Gummel plot.

GeB Increases

GeB Increases

GeC= 25 to 35%

a)

GeC=30 to 35%

b)

Page 127: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

110

Figure 3.34 Impact of germanium percentage increases at collector side (GeC) on transition

frequency of B3T technology. a) GeC from 25 to 30%; b) GeC from 30 to 35%, at VCB = 0 V.

Simulations have been done varying GeE, GeB and GeC in many ways between 10 and

35%. The best fMAX performance of 360 GHz was reached with GeE = 23%, GeB = 28% and

GeC = 30%. In same condition, the current gain is improved reaching a value of 2100.

Unfortunately these values of germanium content lead to the reduction of fT to 210 GHz. The

best trade-off between fMAX and fT is obtained with the percentages of 20, 25 and 30% for

GeE, GeB and GeC, respectively. For those values fMAX and fT reach 350 GHz and 254 GHz,

respectively.

The variation on GeC from 25 to 30% has a beneficial impact on electrical characteristics,

always due to the conduction band gradient helping electrons to transit from the emitter to

the collector. But for GeC percentage above 30% the transistor behavior is degraded due to

the film relaxation and the apparition of misfit dislocations which create

generation/recombination centers [Ashburn 03] [Douglas 04] [Maiti 12]. For quantities above

30% of Ge in SiGe alloys the band structure of the SiGe changes abruptly and diffusivities of

atomic species becomes significant [Hasanuzzaman 09].

Results obtained show the importance of controlling doping profiles on HBTs. The

germanium profile has an important role in the improvement of the device behavior. Small

changes in Ge profile can produce a significant improvement on device operation. Thus

SiGeC base leads to a better carrier transport properties, giving a new degree of freedom for

designing bipolar transistors, with higher values of fT and fMAX.

GeC=25 to 30%

a)

GeC=30 to 35%

b)

Page 128: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

111

3.6 Electrical Results

3.6.1 Static Characteristics

Mixed-mode simulations performed on the HBT final structure are compared to experimental

measurements. The comparison is shown on main figures of merit: Gummel plot in Figure

3.35, I-V characteristic in Figure 3.36, unity current gain transition frequency in Figure 3.37;

and maximum oscillation frequency in Figure 3.38.

Figure 3.35 Statics characteristics, gummel plot for Vcb = 0 V at 300 K. TCAD simulations validated

with two different devices of B3T technology, one with an emitter window of 0.5 um, the other with

0.25 um. The emitter width of both devices is 130 nm.

Figure 3.36 Statics characteristics, collector–emitter breakdown voltage BVCEO for Vbe = 0.7 V at

300 K. TCAD simulations validated with two different devices of B3T technology.

Page 129: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

112

Accurate 1D and 2D process simulations and the use of specifics models for SiGeC

materials, enable a very good agreement between measured and simulated electrical

characteristics.

At a first instance, TCAD simulations are compared with measurements of a B3T technology

device with an emitter window of 0.25 um and a base thickness of 20 nm. In order to obtain

result for the layers close to the 1D SIMS profile, the process parameters which have been

tuned for this calibration are the boron diffusion coefficient, the silicon cap thickness, Ge

percentages at the collector and emitter side and the C percentage.

3.6.2 Dynamic Characteristics

Confidence has been brought by the simulation of a device of the same technology but with

an emitter open window of 0.5 um. Figure 3.35 to Figure 3.38 show the scalability of our

simulations. The precision achieved by the calibrated platform establishes the baseline for

further architecture exploration.

The simulate device take into account the extrinsic contribution of main parasitic elements

including the emitter/base capacitance, the collector/base oxide capacitance and the emitter,

base and collector resistances. Furthermore the emitter/base back-end and collector/base

back-end capacitances are introduced from measurements. Figure 3.37 illustrates fT versus

IC for two different devices of B3T technology, one with an emitter window of 0.5 um, the

other with 0.25 um. For first device the peak of fT is 270 GHz and for the second device it is

of 260 GHz, in second device fT is impacted because the increases of RE. For W = 0.25 µm

the fT peak is of 255 GHz for IC = 14 mA and VBE = 0.86 V.

Page 130: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

113

Figure 3.37 Dynamic characteristics; transition frequency (fT). TCAD simulations are validated with

two different devices of B3T technology, one with an emitter window of 0.5 um, the other with

0.25 um. The emitter width of both devices is 130 nm, Vcb = 0 V at 300 K.

For same devices fMAX is plotted in Figure 3.38 as a function of IC. The reduction of emitter

window bring a beneficial impact on fMAX, because of the intrinsic base resistance is reduced.

Another effect is the diminishing of both the collector current and the intrinsic emitter–base

capacitance CBE. For W = 0.25 µm the maximum value of fMAX is 352 GHz reached for

IC = 7.63 mA with Vbe = Vce = 0.83 V.

Figure 3.38 Dynamic Characteristics, fMAX. TCAD simulations are validated with two different devices

of B3T technology, one with an emitter window of 0.5 um, the other with 0.25 um. The emitter width of

both devices is 130 nm.

Page 131: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

114

Finally the base-emitter and base-collector capacitances are represented in Figure 3.39

versus the reverse voltage VEB and VCB, respectively, for a B3T technology device with an

emitter window of 0.25 um. Values obtained for CBC and CBE at VCB = 0 V and VEB = 0 V are

17.2 fF and 25.5 fF, respectively.

Figure 3.39 Base-emitter and base-collector capacitances TCAD simulations for Vcb = 0 V at 300 K,

B3T technology with an emitter window of 0.25 um and emitter width of 130 nm.

3.7 Conclusion

This chapter explains the B3T TCAD simulation project for B3T devices. This project

constitutes the baseline for more advanced TCAD simulations as B4T and B5T described in

the next chapter. B3T takes into account the impact of the strain, of germanium and carbon

content into the base, both into the process and into the electrical simulations. Static and

dynamic characteristics of a B3T HBT can be simulated and major figures of merit and first

order parameters commonly used are extracted for performance comparisons.

The different features developed for the B3T process were implemented toward the

transistor down-scaling, while maintaining the specificity of the ST self-aligned process

technology. For this simulation project we introduced new layout rules, new base doping

profiles, new SIC profile, new poly-base patterning, and new spike annealing temperature.

-1.5 -1 -0.5 0 0.51

1.5

2

2.5

3x 10

-14

Voltage (V)

Cap

acit

an

ce (F

)Measurements

TCAD

CBE

CBC

Page 132: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

115

These simulations take into account each step of the process flow leading to an accurate

prediction of the active dopant distribution, of the stress distribution and of the device

geometry.

The impact of the boron doping level, of the carbon and of the germanium percentages on

the B3T technology were analyzed. We demonstrated how these three parameters have a

strong impact on electrical characteristics of SiGeC HBTs and how adequate values are able

to improve significantly the transistor performance, and how wrong values can degraded it.

Diffusion models used for the dopant diffusion in SiGeC alloys were detailed showing the

importance of using carbon to trap self-interstitials in order to reduce the impurities diffusion.

The best trade-off between fMAX and fT is reached with 350 GHz and 254 GHz, respectively,

with a germanium percentage of 20, 25 and 30% at the emitter side, in the middle of the

base and at the collector side, respectively.

In the end we compare mixed-mode simulations with measurements showing that the final

HBT structure is validated. The simulated device takes into account the extrinsic contribution

of main parasitic elements including the emitter/base capacitance, the collector/base oxide

capacitance and the emitter, base and collector resistances.

Page 133: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 3. TCAD Simulation of Advanced SiGe and SiGeC HBTs

116

Page 134: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

117

Chapter 4

4 Optimization towards fMAX 500 GHz

This chapter presents three different architectures of advanced SiGe:C HBTs. In these

architectures we take advantage of the good results obtained from B3T TCAD simulation kit

which it is used as the baseline of models and simulations. The goal of the two first

architectures is to propose alternatives which could be used for applications with lower

performance requirements. The third architecture is to analyze promising solutions for the

increase of frequency performances for the development of most advanced devices.

At first instance a low cost HBT architecture is presented. For this architecture, the impact of

the collector-base capacitance is analyzed as well as the collector doping level. Later a

study is performed to investigate one problem detected concerning the emitter spacers

deposition during the process fabrication.

The RF performances of the three architectures are compared and the most efficient of them

(B4T transistor) is used as the baseline for developing a more advanced device. The B4T

architecture has been manufactured and it is deeply studied in this chapter. We analyze the

impact of the main fabrication steps in order to find the keys process parameters that allow

to increase fMAX without degrading other important electrical figures of merit.

At the end of this chapter the results are used to elaborate a TCAD simulation platform

taking into account the best trade-off of different key process parameters. This project allows

to demonstrate that it is possible to achieve a SiGe:C HBT with fMAX of 500 GHz.

Page 135: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

118

4.1 High Speed SiGe and SiGeC HBTs Technologies

In order to evaluate how the STMicroelectronics B4T technology presented later in this

chapter can lead to high performances, this section enumerates the different advanced HBT

technologies carried by ST competitors. It underlines the choice made by different advanced

technologies in order to achieve very fast HBTs.

4.1.1 IHP

One device fabricated at IHP (Germany) in 2003, achieved 200/185 GHz of fT / fMAX with

breakdown voltage BVCEO = 2 V. HBT already includes carbon in the SiGe base, and

isolation is without deep trenches (DTI). It uses a high-dose ion implantation for the collector

after the formation of shallow trenches (STI) [Heinemann 03]. In 2007, in a 0.13 µm

technology, IHP has presented a HBT featuring fT / fMAX values of 255 / 315 GHz. For this

device the effective emitter area is 0.17 x 0.53 µm2 and breakdown voltages were

BVCEO = 1.8 V, BVCBO = 5.6 V, and BVEBO = 1.9 V. In such device the emitter area was

completely enclosed by elevated extrinsic base regions. The self-aligned design leads to

reduce the device parasitics RB, CBC and RC enabling highest RF performance [Rücker 07].

Figure 4.1 Schematic cross section of IHP SiGeC HBT using 0.25 µm BiCMOS technology

[Heinemann 10].

At the end of 2010, IHP announced the realization of a device with peak fT / fMAX values

reaching 300 GHz / 500 GHz with BVCEO of 1.6 V. The architecture is shown in Figure 4.1.

This device is achieved with a narrow lateral device scaling, a reduced thermal budget,

some changes in the emitter and base composition, and with a low-doped collector

Page 136: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

119

formation. This device is elaborated in a 0.25 µm BiCMOS technology and it includes

shallow trench isolation and a deep-trench-free implanted collector well [Heinemann 10].

4.1.2 IMEC

Devices fabricated in this laboratory have achieved good performances from early 2000s.

Notably in 2004 in a 0.13 µm technology with a quasi-self-aligned (QSA) simple-polysilicon

architecture they reported 200 / 160 GHz of fT / fMAX with 1.75 V of BVCEO [Huylenbroeck 04].

Figure 4.2 TEM cross-sectional view of IMEC SiGeC HBT 0.13 µm technology [IMEC].

In October 2011 with a fully self-aligned architecture shown in Figure 4.2, they realized a

SiGe:C HBT with fT / fMAX of 245 GHz / 450 GHz. The emitter, base and collector region are

self-aligned. The fabrication process is characterized by an in-situ arsenic doping during the

simultaneous growth of the sub-collector pedestal and the SiGe:C base. They implemented

a thin, lowly doped collector region close to the base and with a sharp transition to the highly

doped collector [IMEC].

4.1.3 IBM

Typically HBT built at IBM uses a fully self-aligned technology (FSA) with a non-selective

epitaxy process and a phosphorus in-situ doped mono-emitter. IBM has been recognized by

its high-performance devices early from 2000. Notably in 2002 they attained 207/285 GHz of

fT / fMAX for a HBT with an emitter area of 0.12×2.5µm² [Jagannathan02]. In 2003 with a

similar device shown in Figure 4.3, they reach values for fMAX of 338 GHz owing to a

Page 137: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

120

reduction of the base resistance, but penalizing fT which dropped to 180 GHz

[Jagannathan03].

Figure 4.3 Cross Section of IBM SiGe HBT architecture (0.25um) [IBM 1]

Other notably performances of IBM transistors are 302 / 306 GHz for fT / fMAX in 2004 [Rieh

04]. In 2006, they achieve a HBT in a 0.13 µm technology reaching 300 / 330GHz for fT / fMAX

[Orner 06]. At cryogenic temperatures in 2007 they attain 463 / 618 GHz for fT / fMAX at 4.5 K

[Yuan 07].

4.1.4 Freescale

High performances of SiGeC HBTs were achieved in 2002, in a 0.18 µm technology,

featuring fT / fMAX of 85 / 125 GHz [John 02]. In 2007 with a self-aligned selective epitaxial

growth, they obtained fT / fMAX of 200 / 300 GHz [John 07].

Figure 4.4 Schematic cross section of Freescale SiGeC HBT using 0.18µm BiCMOS technology

[John 07].

Page 138: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

121

Figure 4.4 shows the structure of the 200 / 300 GHz SiGeC HBT. The device was elaborated

on a 0.18 µm technology characterized by a collector module with a low-resistivity sub-

isolation buried layer (SIBL), which is positioned under selected regions of the STI. As far as

we know Freescale have no made new improvements and continues using the same SiGeC

technology developed in 2007 for its applications [Huang 10] [Rücker 12].

4.2 New Architectures Exploration

4.2.1 High-performance in a low-cost HBT architecture

In this "low-cost" architecture, dimensions are reduced and some steps used in the standard

B3T process technology are suppressed. This device is developed in order to focus on the

reduction of the collector-base (CB) capacitance and to study the possibility of yield a low

cost transistor with high performances. The resulting structure of the TCAD simulation is

showed in Figure 4.5 and Figure 4.6.

Figure 4.5 One-active architecture. The collector base oxide thickness (CB-Ox) has a value of

150nm.

Major changes in this structure are the reduction of the dimensions and the replacement of

typical shallow trench isolations (STI) with an oxide layer between the extrinsic base and the

collector region with an adjustable thickness (CB-Ox).

CB-Ox

Page 139: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

122

Figure 4.6 Lateral dimensions of the low cost architecture. Brown areas indicate oxide regions,

orange areas the N type doping, blue areas the P type doping.

It is important to analyze the dependence of the collector-base capacitance (CBC) with the

thickness of the collector-base oxide layer. The influence of the doping level of the epitaxied

collector is also considered. A problem concerning the poly-emitter spacer was found during

the TCAD process simulation. It is studied because it could make difficult the fabrication of

this kind of device.

For the study, the simulation project is implemented with the variation of CB-Ox and with

selective epitaxy growth region (SEG) totally or partially doped. When partially doped, it is

possible to choose the size of the doped area, which it is especially useful to analyze the

influence of the doping on the transistor electrical behavior.

4.2.1.1 Collector-Base Capacitance Study

In addition to fT, the collector-base capacitance (CBC) and the base resistance (RB) are the

two influent parameters on fMAX. CBC is the sum of two capacitances in parallel: the collector-

base junction capacitance and the collector-base oxide capacitance shown in Figure 4.7.

The analysis investigates the impact of the CB-Ox capacitance in order to find the

reasonable thickness of the oxide layer leading to a low base-collector oxide capacitance

and consequently an higher fMAX.

530nm

560nm

75nm

145nm

Page 140: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

123

(a) (b) (c)

Figure 4.7 2D simulations of low cost architecture and the CB total capacitance; a) CB-Ox of 50 nm

b) CB-Ox of 100 nm c) CB-Ox of 150 nm and illustration of the collector-base total capacitance

components: CB junction capacitance (left) and the CB-Ox capacitance (right).

The junction capacitance is mainly a space-charge region capacitance. This capacitance

represents a very large part of the total capacitance (≈ 93%), when the thickness CB-Ox of

the tetraethyl orthosilicate (TEOS) oxide reach 150 nm. The junction capacitance depends

mainly on the thickness and on the doping level of the collector epitaxy, which control the

depletion thickness and the lateral extension at the collector.

Beside the simulated structure, the extracted values of the collector-emitter and collector-

base capacitances are shown in Figure 4.8 in function of the forward voltage bias.

(a) (b)

Figure 4.8 Low cost architecture. a) Process fabrication meshing. b) Emitter-base and collector-base

capacitances.

The obtained results are applicable not only for the low cost architecture but also for all B3T

based advanced devices. In comparison to architectures show later in this section, the result

of the increase of the BC oxide is benefic because the reduction of CBC leads to the

50nm150nm100nm

Junction Capacitance

CB-Ox Capacitance

Page 141: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

124

improvement of fMAX. In fact these results along with others obtained later will be used to

achieve a HBT with a 500 GHz fMAX.

a) Undoped Collector Epitaxy

In these simulations, the typical selective implanted collector (SIC) is replaced by an

undoped (≈ 1.1013

at/cm3) selective epitaxy growth (SEG) region which the thickness

goes along with the CB oxide thickness.

Figure 4.9a shows the low cost HBT architecture illustrating the location of the undoped

region and of the CB TEOS oxide. Figure 4.9b gives the CB capacitance in function of three

collector-base oxide thicknesses of 50, 100 and 150 nm.

(a) (b)

Figure 4.9 a) Low cost HBT architecture showing the placement of the non-doped region and the CB

TEOS oxide. b) CB oxide capacitance in function of the collector-base oxide thickness.

Simulation results show the decrease of the total capacitance with the increase of the oxide

thickness for the same surface. Thus for the lower value of the CB-oxide thickness (50 nm) a

capacitance of 15.5 fF/um is obtained, while for the highest value (150 nm) a capacitance of

9.4 fF/um is found. The total capacitance (CT) is the sum of the CB junction capacitance

( ) and the CB-oxide capacitance ( ).

Table 4.1 gives the division of the capacitance between the oxide part and the junction part

for each thickness of the collector-base oxide. According to this result the increase of the

CB-oxide thickness from 50 to 150 nm helps to reduce the CB capacitance by nearly 40%

for an undoped SEG device. This is explained by the increase of the depletion region

thickness, thanks to the thick CB-Ox and the epitaxied collector.

UndopedRegion

CB Oxide Thickness

4

6

8

10

12

14

16

18

0 50 100 150 200

TEOS Oxide Thickness (nm)

To

tal C

ap

acit

an

ce (

fF)

Page 142: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

125

Table 4.1 CB capacitance calculated for a non-doped silicon epitaxy region for three different CB

oxide thickness at VBC = 0 V.

Total CB-Oxide Junction

50 15.9 0.985 14.915

100 11.17 0.629 10.541

150 9.54 0.546 8.994

Extracted Capacitance CB Oxide

Thickness

(nm)

Vbc=0 (fF/um)

b) Doped Collector Epitaxy

In the previous section, the collector epitaxied region was mainly undoped (≈ 1.1013 at/cm3).

Now following results will shows how much the capacitance is increased with a thinner

space charge region and a higher doping level of the collector.

During the epitaxial growth the collector starts with a high constant doping level of about

5.1019 at/cm3. The growth is followed by the undoped collector region. This is done with a

fixed CB TEOS oxide thickness of 150 nm around the collector area. If the thickness of the

doped collector goes from 50 nm to 150 nm, the undoped thickness additionally varies from

about 150 nm to 50 nm, respectively.

Figure 4.10 shows the dependence of the CB capacitance with the increase of the thickness

of the doping region. It can be seen how the CBC is reduced when the SEG of collector

doped region is smaller, due to the increase of the thickness of the undoped collector.

Figure 4.11 shows the reduction of the collector-base capacitance with the doping level of

the collector with a fixed 50 nm thick undoped region. Three doping levels of 1017, 1018 and

1019 at/cm3, are represented. The CB TEOS oxide thickness is always at the fixed value of

150 nm. At lower doping level, the depletion region can easily extend into the doped

collector, increasing the effective depletion thickness. Best results were obtained for fT and

for fMAX with the low value of the doping level, with 195 GHz and 240 GHz, respectively.

Page 143: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

126

(a) (b)

Figure 4.10 a) Representation 2D of the doped region in the collector. b) CB capacitance as function

of doped region at VBC=0V.

(a) (b)

Figure 4.11 a) Representation 2D of the doped region and the three different doping levels of

collector epitaxy ; b) CB capacitance as function of the doping level.

4.2.2 Spacers poly formation issue

4.2.2.1 Spacers role in low cost architecture

In the current process flow, the spacer task is to protect the thin TEOS oxide cap during

etching as depicted in Figure 4.12. This spacer is amorphous silicon made. The width of the

TEOS oxide cap defines the contact region between the poly-emitter and the intrinsic base

CollectorEpitaxy Doped

Region

TEOS Oxide thickness of 150nm

7

9

11

13

15

17

0 50 100 150 200

Doped Region (nm)

To

tal

Ca

pa

cit

an

ce

(fF

/um

)

1e17 1e191e18

Doped Region of 150nm

6

8

10

12

14

16

18

20

1.E+16 1.E+17 1.E+18 1.E+19 1.E+20

Doping Level (at/cm3)

Cap

acit

an

ce (

fF/u

m)

Page 144: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

127

i.e. the emitter junction width (WE). At the end of the HBT process, the amorphous poly-

spacer becomes a part of the active emitter, as illustrated with the Figure 4.12 from left to

right.

(a) (b) (c)

Figure 4.12 TCAD representation of the spacer role in the low cost structure. a) Thin TEOS oxide

before etching; b) Amorphous-poly spacer and thin TEOS oxide after etching; c) Poly-silicon

deposition for emitter formation.

4.2.2.2 Spacers issue

During simulations performed for the low cost technology, a problem was detected: the

removal by etching of the amorphous material used for the emitter spacer is not enough to

open the emitter junction width.

The current simulated fabrication process of this architecture includes the following steps

during the formation of emitter spacers:

a) Emitter Base Oxide (EB-Ox) thickness: 80 nm

b) Emitter window opening (W): 150 nm

c) Amorphous Poly Deposition: 80 nm

d) Poly anisotropic etching.

According to the standard fabrication process for the emitter spacers formation, illustrated in

Figure 4.13, the problem appears in two ways:

1) The lateral shrinking does not match the typical thickness of the amorphous-poly layer.

The anisotropic standard etching process is not enough to remove the amorphous-poly layer

TEOS Oxidecap

Spacer

Poly Emitter

Page 145: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

128

due to the narrow emitter window. Thus the free access to the TEOS oxide cap on top is

blocked.

2) The amorphous-poly not remains thick enough after its etching, therefore the TEOS thin

oxide will be unprotected and cannot control the emitter size. If the TEOS thin oxide is over-

etched a short circuit can be produced between the emitter and the extrinsic base contacts.

(a) (b) (c)

Figure 4.13 2D representations of the spacer issue during the elaboration of the low cost

architecture. a) Emitter open window, b) Amorphous poly deposition c) Spacer definition by

amorphous poly etching.

To solve this problem, the simulation allows to compute the thickness of the amorphous-poly

for adequate emitter spacers. The latter should allow a good electrical link between intrinsic

and extrinsic parts of the base, should avoid the blockage of the access to the intrinsic base

and avoid the over-etch of the TEOS oxide cap, which could leads to the fabrication of

unserviceable devices.

4.2.2.3 Spacers Etching Shape

We remind that two parameters define the emitter spacers process step: the amorphous-

poly thickness with a specific shape when it is deposited in the emitter windows, and the

amorphous-poly etching leading to the anisotropic reduction of the thickness. More precisely

the slope of the amorphous-poly layer molding the emitter window edge determinates the

spacer shape. Figure 4.14 shows this slope in the middle of the emitter window and how this

is kept during the anisotropic etching. A low slope generates a flat spacer while a high slope

generates a vertical and round spacer as depicted in Figure 4.15.

EB-Oxide

W/2

Amorphous Poly

Non-doped Silicon

Poly-Base

Access to oxide blocked by spacer

Page 146: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

129

(a) (b)

Figure 4.14 Spacer etching process. a) Slope of the amorphous-poly deposition which determinates

the spacer shape. b) Spacer shape obtained after an anisotropic etching for a TEOS oxide thickness

of 80nm and an amorphous-poly thickness of 80nm, the poly slope at the middle of the emitter

window is kept during the anisotropic etching.

(a) (b)

Figure 4.15 Spacer etching process. a) Spacer shape for an amorphous-poly of 40nm and an

emitter-base oxide of 80nm, b) Spacer shape obtained after an anisotropic etching for a TEOS oxide

thickness of 80nm and an amorphous-poly thickness of 80nm.

A correct spacer is obtained if the amorphous-poly thickness, after its etching, remains thick

enough to protect the TEOS oxide cap, and thin enough to allow a good current flow from

the base; this is achieved with a round vertical spacer shape as illustrated in Figure 4.16.

Poly Slope

80nm

80nm

High Slope

80nm

40nm

Low Slope

80nm

80nm

Page 147: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

130

(a) (b)

Figure 4.16 Spacer etching process. a) Ideal spacer shape obtained with a high amorphous-poly

slope. Over-etching consumes only the upper part of spacer. b) Because a low slope the emitter

contact distance will be dependent only on the etching process. Over-etching consumes the

amorphous-poly in all directions.

If the spacer side is not vertical enough, the emitter junction width will be dependent only on

the etching process. For a low spacer slope, if 5% over-etching occurs, the spacers of

transistors on the silicon wafer will be consumed starting with them located close to the

wafer border, and the emitter contact will be larger. For a vertical spacer, if 5% over-etching

occurs, the emitter width will remain constant.

4.2.2.4 Geometry Dependence

The geometrical dependency of the amorphous-poly in the formation of the emitter spacers

is studied. Taking into account this dependency we give a solution in order to gain control

over the amorphous-poly slope to obtain precise emitter spacers.

Figure 4.17 shows different dimensions involved in the spacer formation and the triangle

formed by them. This triangle allows us to define the slope during the deposition process; to

obtain the triangle dimensions the following dimensions are defined:

Z= Amorphous-poly thickness over emitter-base oxide thickness

X= Amorphous-poly thickness over emitter window

Y= Emitter-base oxide thickness

T= TEOS oxide thickness

W’= Emitter window width – 2T

a = Shorter side of triangle

High Slope

Overetch Overetch

Low Slope

Page 148: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

131

(a) (b)

Figure 4.17 Spacers geometry dependence. a) Distances involved in the spacer formation. b)

Triangle formed during deposition which allows to control the amorphous-poly slope.

When the different dimensions are analyzed by TCAD simulations it is found that if the

emitter-base oxide thickness (Y) is less than W’/2, and if the amorphous-poly thickness (Z) is

bigger than W’/2, then X = Y+a. This causes the amorphous-poly to block access to TEOS

oxide impeding the subsequent etching of this.

In order to obtain vertical spacers, the amorphous-poly deposition thickness must be smaller

than the lower value between Y and W’/2, which leads to obtain an X value equal to or less

than Z.

4.2.1 Buried Collector Architecture

The second simulated structure is shown in Figure 4.18. This architecture is based on the

B3T technology, most of the process steps were used obtaining a very similar B3T structure

with the particularity of a strong increase of the collector-base oxide thickness (CB-Ox).

Figure 4.18 Buried Collector architecture obtained after the 2D simulation. Green areas indicate the

not doped regions. It is possible to identify the extrinsic emitter and Sinker (dark orange), and the

extrinsic base (blue).

YW’/2

Z

X

YW’/2

Z

X

YW’/2

Z

XaZ

W’/2

Z

Y

T

CB-Ox

Page 149: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

132

Parallel to the CB-Ox layer, a selective epitaxy growth (SEG) is carried out for the collector

module. Because of the increase of CB-Ox and of the collector SEG, the highly doped part

of the collector (Buried Collector) is notably separated from the base generating a slightly

longer path for carriers. The typical nitride layer used between the poly-emitter and the

extrinsic base is replaced with a thick oxide layer which allows a better isolation. The result

of the different changes generates a hybrid-architecture between B3T and the low cost

structure.

4.2.2 Performances comparison

Figure 4.19 compares fMAX and fT values for the three architectures studied. The three

structures have the same emitter window (200 nm) and the same emitter junction width

(110 nm).

Figure 4.19 Comparison of dynamic performances for the three architectures. a) Low cost B3T. b)

Buried collector B3T and c) B4T, at VCB = 0 V.

10-4

10-3

10-2

10-1

0

50

100

150

200

250

IC

(A)

Fre

qu

en

cy

(G

Hz

)

fMAX

fT

10-4

10-3

10-2

10-1

0

50

100

150

200

250

300

IC

(A)

Fre

qu

en

cy

(G

Hz

)

fMAX

fT

10-4

10-3

10-2

10-1

0

100

200

300

400

500

IC

(A)

Fre

qu

en

cy

(G

Hz

)

fMAX

fT

(a)

(b)

(c)

Page 150: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

133

For the low cost B3T architecture fMAX is about 220 GHz while fT peak value is 190 GHz. For

the buried collector B3T device, fMAX is 280 GHz and fT is 210 GHz. Best performances were

obtained for the B4T architecture described below, with fMAX and fT of 400 GHz and

260 GHz, respectively. The low cost and buried collector architectures should reaches better

dynamic performances with the optimization of the doping profiles.

4.3 B4T Architecture

Compared to low cost architectures, B4T leads to better performances and it is chosen to

develop a device able to give maximum oscillation frequencies beyond 400 GHz. This

simulation project uses the same physical model developed for the B3T technology and is

based on the full description of all fabrication process steps of the B4T technology

developed at STMicroelectronics [Chantre 10].

This is a fully self-aligned HBT with a selective epitaxial growth of the boron doped SiGe:C

base layer. The collector is formed by a n+ buried layer, an epitaxial low-doped layer on it

and a selectively implanted collector (SIC). The emitter is arsenic-doped and covered by the

poly-emitter. A cross sectional cut of this architecture can be seen in Figure 4.20. The color

scale of the doping level shows in red the emitter region with a large part on the top in poly-

silicon. The base appears in blue, and the intrinsic layer in only extended by 0.11 µm in the

view. Under the base, the collector shows the shape of the doping due to the SIC.

Figure 4.20 B4T architecture generated at the end of electrical simulation. Because of symmetry,

only one half of the structure is simulated.

Page 151: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

134

In the following section, the architecture is extensively discussed. We analyze different key

process parameters allowing the enhancement of fMAX without penalizing the other electrical

characteristics.

4.4 ST B4T devices and Simulation

B4T TCAD platform is based on the accurate results provided by B3T technology

simulations. This technology is the outcome of a detailed analysis of main device trade-offs

and process capabilities. The collector doping was modified, as well as the base profile, the

process thermal budget and device layout rules. The B4T structure can be seen in the TEM

picture of Figure 4.21. In this case, the CMOS integration limitations were avoided

implementing an only HBT process fabrication [Chantre 10].

Figure 4.21 Comparison of dimensions between BiCMOS9MW and B4T SiGeC HBTs.

In the simulation kit, all process flow modifications are included. Not only the width of the

emitter window has been reduced, but also the width of internal spacers of the polysilicon

emitter. As typical CMOS spacers were not included, very short distances are possible

between cobalt silicide on the polysilicon base and the internal device. This minimizes

extrinsic contributions to the base resistance.

Table 4.2 shows the dynamic performances among the different BiCMOS technologies at

STMicroelectronics. One of the main difference of B4T in relation to B3T technology is the

lateral scaling performed on B4T, it includes: a reduction of the distance from sinker to

From BiCMOS9MW to B4T Technology

Page 152: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

135

emitter, enclosure of poly-emitter by poly-base, emitter window width from 0.25 µm to

0.23 µm, and emitter window enclosure by active region from 0.17 µm to 0.09 µm. A new

scaling device from B4T was performed reducing the emitter window size from 110 nm to

90 nm (B4T+), which leads to improve significantly fMAX keeping a similar value of fT (Figure

4.25).

Table 4.2 Comparison of emitter window size and dynamic performances (fT and fMAX) among

BiCMOS9MW, B3T, B4T and B4T+.

4.4.1 Electrical Results

To bring confidence in our TCAD based device architectures exploration the reference

TCAD platform is firstly validated with recent silicon material from STMicroelectronics. Figure

4.22 and Figure 4.23 compare the simulated electrical characteristics to the measured

results through the main figures of merit: Gummel plot, maximum oscillation frequency (fMAX)

and unity current gain transition frequency (fT).

Figure 4.22 shows the Gummel plot for a transistor with an emitter width of 110 nm. The

maximum current gain is about 2000 at VBE close to 0.72 V. The collector–emitter

breakdown voltage BVCEO is 1.5 V at VBE = 0.7 V and it is shown in Figure 4.23. In both

statics characteristics an excellent agreement was achieved between measurements and

simulations.

Most advanced BiCMOS technology in production at ST

R&D technology

B3T shrinking

B4T shrinking

WE (nm) fT (GHz) fMAX (GHz)

B9MW 130 230 290

B3T 130 260 350

B4T 110 260 400

B4T+ 90 260 425

Page 153: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

136

Figure 4.22 Statics Characteristics, gummel plot and current gain. Overlays between TCAD

simulations and measurements for a B4T transistor, VCB = 0 V at 300 K.

Figure 4.23 Statics Characteristics, collector–emitter breakdown voltage BVCEO for a B4T transistor,

VBE = 0.7 V at 300 K.

The comparison between the simulated and the measured value of fMAX and fT in function of

the collector current is shown in Figure 4.24. These AC characteristics show a clear

improvement with respect to B3T with fMAX = 400 GHz and fT = 260 GHz.

The impact of the emitter width reduction is illustrated in Figure 4.25. Two emitter junction

widths are compare, with WE = 110 nm for a B4T device and WE = 90 nm for a B4T+ device.

For the second device, the collector current is reduced as well as the base current, while

Page 154: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

137

keeping the current gain which is 2100 for WE = 110 nm and 2120 for WE = 90nm. The

collector–emitter breakdown voltage BVCEO is 1.55 V and 1.5 V for first and second devices,

respectively. Figure 4.25b shows the dynamic performances of both devices. It can be seen

an enhancement of both fT and fMAX with fT / fMAX = 260 / 400 GHz for WE = 110 nm and

255 / 425 GHz for WE = 90 nm. For fT peak, IC = 13 mA and VBE = 0.89 V, for fMAX peak,

IC = 10 mA and VBE = 0.87 V.

Figure 4.24 Dynamic characteristics of fMAX and fT. Overlays between TCAD simulations and

measurements for a B4T transistor, VCB = 0.5 V at 300 K.

(a) (b)

Figure 4.25 Comparison of static and dynamic characteristics between B4T and B4T+ devices.

Gummel plot, b) fMAX and fT characteristics. VCB = 0 V and 0.5 V respectively.

fT

fMAX

Page 155: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

138

The excellent agreement between measurements and simulations confirms that advanced

models for specifics SiGeC alloys, in combination with an all step-process simulation, are

accurate for predicting the electrical performance of HBT SiGe:C devices.

The best performance of B4T+ device is mainly explained by the emitter wide reduction and

the width of internal spacers and of the polysilicon emitter. This enables a very short

distance between cobalt silicide on the polysilicon base and the internal device. Thus

extrinsic contributions to the base resistance are diminished and this is reduced as showed

in Figure 4.26. On the other hand the emitter resistance RE is increased but without a

significant impact on the transistor performance. The lateral shrinking of the collector area

allow to reduces the base-collector capacitance while the reduction of the emitter inside

spacer and poly-emitter width allow to decreases the extrinsic base resistance.

Figure 4.26 Base resistance as a function of collector current for B4T (WE=110nm) and B4T+

(WE=90nm) devices.

4.5 Impact of the process parameters and optimization

towards 0.5 THz fMAX

Based on the accuracy of the results obtained in B3T and B4T calibrated platforms, this

section study the different key process parameters which could lead to obtain an fMAX value

of 0.5 THz for the SiGeC HBT for millimeter-wave applications.

10- 4

10- 3

10- 2

10- 1

0

10

20

30

40

50

60

70

IC (A)

Rb

(

)

WE

=110nm

WE

=90nm

Page 156: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

139

Table 4.3 and Table 4.4 show critical 1D and 2D process parameters used during the

process simulation. We should identify the parameters which play the most important role in

the enhancement of fMAX for B4T technology, and determinate if exist undesirables effects

due to the reduction of dimensions. The use of 3D surface plots permits a better observation

of the impact of the different parameters on electrical characteristics.

We carried out those experiments on a B4T+ device with WE = 90 nm. All design masks are

updated, the spike annealing temperature and SIC dose are established at 1080°C and

4.1013 at/cm2, respectively. The emitter is As-doped and the dose is kept constant at a value

lower than 8.1013 at/cm2. Each test was carried out keeping the other process parameters

constants.

Table 4.3 List of the 1D key process parameters

1D PARAMETERS DESCRIPTION

Silicon Cap thickness Define s the emitter size

Dose of boron

implantation Defines the B peak

Ge percentage collector

side Defines the Ge profile

Ge percentage in the

middle Define sthe Ge profile

Ge percentage emitter

side Defines the Ge profile

C percentage Reduces the Boron diffusion

Emitter doping Defines the emitter profile

Page 157: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

140

Table 4.4 List of the 2D key process parameters

4.5.1.1 Boron doping level and Carbon Content

In order to analyze the behavior of the boron doping level on B4T technology several

simulations are performed varying this parameter from 1017 up to 1020 at/cm3.

Simultaneously the influence of the carbon content is analyzed due to its huge impact on the

boron profile. In state of the art devices, boron is incorporated jointly with carbon to obtain

the steepest doping profile and the best performances. In this study the carbon content is

varied from 0 to 2%.

As expected from the analysis made previously in chapter 3 through our simulations the

boron-carbon doping level appears as one of the most influential parameters for the

performance optimization of SiGeC HBT. Figure 4.27 shows the weak dependence of

carbon and boron content on fT: Except for too low C content where the base thickness (WB)

becomes large with high doping level, the carbon helps to reduce the doping level

2D PARAMETERS DESCRIPTION

Emitter Window

Opening

Distance defining the stack

etching (Nitride, Oxide,Poly-

base)

Base Collector Oxide

Thickness

Defines the pedestal oxide layer

thickness

Emitter Width (WE) Allows the definition of the

Emitter size

SIC option Possibility to choose a device

with or without SIC

SIC implantation

energy Defines the SIC depth

SIC implantation

dose Defines the Collector profile

External to internal

base connection

Distance defining the external to

internal base connection

Poly-Spacer

OverEtch

Helps to obtain similar L spacers

to TEM

Spike

Defines the annealing

Temperature

L-Spacers OverEtch Defines the mono-emitter width

Page 158: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

141

dependence of WB and thus of fT. This low negative impact provides a space to increase fMAX

up to 480 GHz as shown in Figure 4.28 with the increase of the base doping level.

Figure 4.27 Carbon percentage and boron doping concentration effect on fT. SiGeC HBT with an

emitter width of 90nm from B4T technology.

Figure 4.28 Carbon percentage and boron doping concentration effect on fMAX. SiGeC HBT with an

emitter width of 90nm from B4T technology.

With results shown in Figure 4.27 and in Figure 4.28, the best carbon content is about 0.5%.

This content is important for high doping level of B, too low carbon content does not block

enough the boron diffusion. In this case, the neutral region of the base is thicker, leading to a

lower fT (higher base transit time) and in consequence a lower fMAX. The neutral region is

between the space charge regions of the two junctions.

0

2

4

6

8

10

x 1019

0

0.5

1

1.5

2

0

100

200

300

400

Boron (at/cm3)

Carbon (%)

f T (

GH

z)

02

46

810

x 1019

0

0.5

1

1.5

20

100

200

300

400

500

Boron (at/cm3)

Carbon (%)

f MA

X (

GH

z)

Page 159: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

142

High C contents do not help to increase fT or fMAX. The fT decrease with increasing doping

level is lesser at high C content than low C content. For a fT less doping dependent, the C

content should be about 1% with values between 300 GHz and 360 GHz. The fMAX increase

is not linearly dependent on the doping level. Excepted to get highest values, doping levels

of 4-5.1019 cm-3 are enough to reach high fMAX.

The important impact of the carbon content on fMAX can be also seen on the reduction of the

base resistance (RB) when the doping level increase, as it can be seen in Figure 4.29. The

increase of fMAX is due to the decrease of the base resistance. Even if RB is low for low

carbon content, fMAX (and fT) suffers from the thicker neutral base layer. It should be noted

that the high carbon content leads to the increase of the base resistance due to the

degradation of the longitudinal mobility of holes.

Figure 4.29 Carbon percentage and boron doping concentration effect on RB. SiGeC HBT with an

emitter width of 90 nm from B4T technology.

In the case of the current gain, Figure 4.30 shows how it is mostly impacted by the variation

of the B doping level with high current gain obtained with low doping level. At low doping

level, the diffusion is low with or without C. The current gain are not significantly degraded

for C contents close to 0.1%, while for C content higher than 1% it was strongly impact.

02

46

810

x 1019

0

0.5

1

1.5

210

15

20

25

30

35

40

Boron (at/cm3)

Carbon (%)

Rb (

)

Page 160: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

143

Figure 4.30 Carbon percentage and boron doping concentration effect on current gain. SiGeC HBT

with an emitter width of 90nm from B4T technology.

The relative position of the Si/SiGe heterojunction and of the pn junction into the SiGe base

acts on the effective potential barrier mainly generated by and its efficiency to reduce

the holes’ diffusion toward the emitter as illustrated in Figure 4.31. For wide-gap emitter

HBT, H. Kroemer has described first as a hole-repelling effect [Kroemer 82].

Figure 4.31 Energy band diagram of a graded-base SiGe HBT. The introduction of Ge and C into the

base region generates a hole repelling effect owing to energy gap between the emitter and the base.

At high doping level the boron diffusion is higher, reducing the distance between the

heterojunction and the pn junction, even pushing the latter into the Si emitter. It leads to the

decrease of the current gain, and only the optimized level of 0.4-0.5% C content gives a

good trade-off for the B diffusion.

02

46

810

x 1019

0

0.5

1

1.5

2

0

500

1000

1500

2000

2500

Boron (at/cm3)

Carbon (%)

Ga

in

Page 161: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

144

Typical carbon content for B3T technology is lower than 0.1%. We varied this quantity and

noted an improvement of electrical characteristics for higher values than 0.1%. Unlike of the

B doping level, C content not degraded significantly the BVCEO characteristic. This permits to

use high B doping levels, with reduced RB value in thin neutral base layer to obtain high fMAX

values.

The CB capacitance is reduced with high levels of boron doping as shown in Figure 4.32a.

This is consistent with the increase of fMAX for the same B doping levels however fMAX values

are lower than those expected with high B doping level.

(a) (b)

Figure 4.32 Carbon percentage and boron doping concentration effect on base-collector and base-

emitter capacitances. SiGeC HBT with an emitter width of 90 nm from B4T technology.

We can conclude that carbon content can be lower than 1%, enabling small neutral base

thickness even at high boron doping level, and the best performance for fT and for fMAX is

achieved with a C content of about 0.5%.

4.5.1.2 Germanium Impact

The analysis of the germanium impact on B4T devices is done with different Ge percentages

in the 1D profile layer stack. As presented in the previous chapter, the germanium profile is

divided into three regions with constant germanium fraction. The first region close to the

emitter side is with a Ge fraction GeE, the second region in the middle of the base is with a

Ge fraction GeB higher than GeE, and the third region close to the collector is with a higher

fraction GeC.

02

46

810

x 1019

0

0.5

1

1.5

28

10

12

14

16

Boron (at/cm3)

Carbon (%)

Cb

c (

fF)

02

46

810

x 1019

0

0.5

1

1.5

210

12

14

16

18

20

22

Boron (at/cm3)

Carbon (%)

Cb

e (

fF)

Page 162: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

145

(a) (b)

(c) (d)

(e) (f)

Figure 4.33 Germanium impact on electrical characteristics in a SiGeC HBT with an emitter width of

90nm from B4T technology. GeE and GeC percentages effect on (a) fT, (b) fMAX and (c) current gain.

GeE and GeB percentages effect on (d) current gain, (e) fT, and (f) fMAX (maximum values extracted

for VCB = 0 V).

2527.5

3032.5

35

05

10

1520

25180

200

220

240

260

280

GeC (%)GeE (%)

f T (

GH

z)

2527.5

3032.5

35

05

10

1520

25250

300

350

400

450

500

GeC (%)GeE (%)

f MA

X (

GH

z)

2527.5

3032.5

35

0

10

20

30800

1000

1200

1400

1600

1800

2000

GeC (%)GeE (%)

Ga

in

2022

2426

2830

05

10

1520

250

500

1000

1500

2000

2500

3000

GeB (%)GeE (%)

Ga

in

2022

2426

2830

05

10

1520

25160

180

200

220

240

260

GeB (%)GeE (%)

f T (

GH

z)

2022

2426

2830

05

10

1520

25250

300

350

400

450

GeB (%)GeE (%)

f MA

X (

GH

z)

Page 163: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

146

The effect of the Ge fraction on the electrical characteristics (fT, fMAX and the current gain) is

shown in Figure 4.33. Best results are obtained with GeE and GeC percentages of 15% and

35%, respectively. In the case of GeE and GeB the best trade-off is achieved for GeE

around 15% and GeB around 29%. Results show that 15% for GeE associated with the

highest GeC value are able to give enough current gain, enough Ge grading through the

base for a fast electron transit time and enough Ge fraction for a high longitudinal mobility of

holes. GeB can be about 25% between GeE and GeC.

4.5.1.3 Influence of process parameters I: Emitter Window, Base Connection, SIC

Energy and Dose.

The lateral shrinking is a crucial aspect to increase fMAX and keeping good values for other

electrical characteristics. In order to continue along the same path we have reduced the

emitter window, illustrated in Figure 4.34, and its effect on B4T transistor is analyzed. This

shrinking is performed on W (the emitter window width), and due to the ST self-alignment

process, it not impact the base connection length shown in Figure 4.34.

Figure 4.34 2D simulations of B4T architecture showing the emitter window (W) and base connection

distance.

The influence of W and of the base connection length on fT, fMAX, the current gain, the

capacitance and on the base resistance is shown in Figure 4.35. The W reduction gives a

15% improvement of fMAX, explained by the decrease of the base and the collector width

which reduces the intrinsic capacitances.

Page 164: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

147

(a) (b)

(c) (d)

(e) (f)

Figure 4.35 Emitter Window and Base Connection effect on electrical characteristic in a SiGeC HBT

with an emitter width of 90nm from B4T technology. Impact on (a) fT, (b) fMAX (c) current gain, (d)

emitter-base capacitance, (e) collector-base capacitance, and, (f) base resistance.

0.17

0.175

0.18

0.185

0.19

0

20

40

60

80230

235

240

245

250

255

260

W (um)Base Connection (nm)

f T (

GH

z)

0.17

0.175

0.18

0.185

0.19

0

20

40

60

80350

400

450

500

W (um)Base Connection (nm)

f MA

X (

GH

z)

0.17

0.175

0.18

0.185

0.19

0

20

40

60

801200

1400

1600

1800

2000

2200

W (um)Base Connection (nm)

Cu

rre

nt

Ga

in

0.17

0.175

0.18

0.185

0.19

0

20

40

60

8010

12

14

16

18

20

W (um)Base Connection (nm)

Cb

e (

fF)

0.17

0.175

0.18

0.185

0.19

0

20

40

60

8013.5

14

14.5

15

15.5

16

W (um)Base Connection (nm)

Cb

c (

fF)

0.17

0.175

0.18

0.185

0.19

0

20

40

60

8015

20

25

30

35

W (um)Base Connection (nm)

Rb

(

)

Page 165: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

148

Capacitances are decreased when the base connection length is smaller, enabling high fT

values, but if this length is lower than 20 nm, large drawback is observed on the total base

resistance and thus on fMAX. The good electrical link between the intrinsic and the extrinsic

layer of the base depends on the not too small base connection. But an increase of the

connection length much larger than 20 nm does not give enough benefits on RB to

compensate the capacitance increase. Then, maximum values of fMAX only require a

connection length of about 20 nm. It should be note that the decrease of the emitter width

gives a benefit for fT quite similar than that obtained in the B3T technology. It is also find an

improvement of the current gain which is increased by 20% compared to the B3T

technology.

The implantation energy and the dose of the SIC is simultaneously studied within results of

Figure 4.36. Best fMAX results are found for the higher implantation energy values and for the

intermediate values of the SIC dose. In the case of fT, the best results are obtained for a SIC

energy around 250 keV and intermediate values of SIC dose. Enhancement of fMAX is due to

the reduction of collector capacitance. The current gain is improved with the reduction of the

SIC implant energy which leads to an increases in collector current.

Page 166: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

149

(a) (b)

(c) (d)

(e)

Figure 4.36 SIC effect on electrical characteristic in a SiGeC HBT with an emitter width of 90nm from

B4T technology. Effect on (a) fT, (b) fMAX (c) current gain, (d) emitter-base capacitance, and, (e)

collector-base capacitance.

200

220

240

260

280

300

0

2

4

6

8

10

x 1013

350

360

370

380

390

400

SIC Energy (keV)SIC Dose (at/cm2)

f T (

GH

z)

200

220

240

260

280

300

0

2

4

6

8

10

x 1013

380

390

400

410

420

430

SIC Energy (keV)SIC Dose (at/cm2)

f MA

X (

GH

z)

200220

240260

280300

0

2

4

6

8

10

x 1013

1200

1400

1600

1800

2000

2200

SIC Energy (keV)SIC Dose (at/cm2)

Ga

in

200220

240260

280300

0

24

68

10

x 1013

10

12

14

16

18

20

SIC Energy (keV)SIC Dose (at/cm

2)

Cb

e (

Ff)

200

220

240

260

280

300

0

2

4

6

8

10

x 1013

6

8

10

12

14

16

SIC Energy (keV)SIC Dose (at/cm2)

Cb

c (

Ff)

Page 167: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

150

4.5.1.4 Influence of process parameters II: SPIKE, Collector-Base Oxide and

Amorphous Poly Etching.

The parameters studied in this section are the collector-base oxide (CB-Ox), the amorphous

poly etch which is shown in Figure 4.37 and the fast activation annealing. The amorphous

poly etch was depicted in section 4.2.2 and plays a fundamental role in the definition of the

emitter wide (WE).

Figure 4.37 Amorphous-poly to be etched and collector-base Oxide of a B4T transistor.

At the end of the device fabrication process the last activation annealing is performed. This

allows the activation of a large part of doping species in all regions of the transistor. This is

done with a very fast annealing at high temperature where the shape of the temperature with

the time is triangular and is commonly known as “spike".

As fast as the spike can be, these annealing conditions still induce the diffusion of species,

less than it could be with longer annealing. In some Figure 4.38, it can be seen the moderate

impact of the spike temperature on performances, but low temperature is in favor of high

fMAX.

Figure 4.38 shows also the impact of the poly etch and the collector-base oxide thicknesses.

The best trade-off is found with a poly etch of about 0.1 um and a CB-Ox thickness of about

25 nm. Also the current gain is considerably improved with the reduction of the poly etch,

and a maximum is observed for CB-Ox thickness of 25 nm.

Amorphous-Poly

CB-Ox

Page 168: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

151

(a) (b)

(c) (d)

(e) (f)

Figure 4.38 Parameters effect on B4T device. Poly etch and BC-Ox on a) fMAX, b) fT c) Current Gain.

Spike annealing and selectively implanted collector (SIC) on (d) fT, (e) fMAX, and (f) current gain.

0

20

40

60

0.10.2

0.30.4

0.50.6340

360

380

400

420

440

BC-OX (nm)Poly Etch (um)

f MA

X (

GH

z)

0

20

40

60

0.1

0.2

0.3

0.4

0.5

0.6

220

230

240

250

260

270

BC-Ox (nm)Poly Etch (um)

f T (

GH

z)

0

20

40

60

0.10.2

0.30.4

0.50.6

500

1000

1500

2000

2500

3000

3500

BC-Ox (nm)Poly Etch (um)

Ga

in

02

46

810

x 1013

1000

1050

1100

1150100

200

300

400

500

600

SIC Dose (at/cm2)Spike (°C)

f T (

GH

z)

02

46

810x 10

13 1000

1020

1040

1060

1080

1100

1120

150

200

250

300

350

400

450

500

Spike (°C)

SIC Dose (at/cm2)

f MA

X (

GH

z)

0

24

68

10

x 1013

1000

1020

1040

1060

1080

1100

1120

1000

1500

2000

2500

Spike (°C)

SIC Dose (at/cm2)

Ga

in

Page 169: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

152

4.5.2 Simulated SiGeC HBT device working at 500 GHz: B5T

The main objective of the development of a physics-based realistic simulation platform for

the B5T device is to predict improvement of the performances by pushing to limits

processing steps, and find an optimized technology with less design of experiments. Such

anticipated technology should have an excellent frequency response, without degrading the

other electrical characteristics of the SiGeC HBT. The B5T technology is based in the B3T

and B4T device platforms.

The first step was to build an advanced HBT architecture which allows the increase in

frequency operation, by optimizing the geometry, distances, thicknesses, and by reducing

parasitic elements (section 4.2). This architecture should be consistent with the current

fabrication process and do not present drawbacks which could jeopardize its fabrication

(section 4.2.2 ).

The second step was to obtain better doping profiles in the transistor regions. It should

improve the carrier transport and at the same time it should contribute to reduce resistance

and capacitance parasitics.

The third step was to use specific models developed for SiGeC alloys. As it was seen in

chapter 2, a physics-based TCAD simulation tool requires to take into account the specific

properties and the combined effects of the strained ternary alloy. The use of these models

leads to a realistic and predictive simulation tool for SiGeC HBTs.

Finally we study and optimize the main process parameters already observed in previous

technologies (section 3.6). From results obtained in these studies we develop the B5T-

TCAD simulation project which takes into account the best trade-off of different parameters

tested. This has leaded us to achieve a SiGeC HBT with fMAX exceeding 500 GHz,

accomplishing thus the main objective of ours studies and demonstrating the feasibility of

the project.

First parameters to take into account are the boron doping level and the carbon content

(section 4.5.1.1). For the boron the doping concentration is 8.5×1019 at/cm3 and the carbon

percentage this is fixed at 0.5%, according to results analyzed in the previous section that

leads to best values for both fT and fMAX.

Page 170: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

153

In the case of the germanium content, the best trade-off is a composition of the base layer

with 3-steps of 15%, 26% and 30% for GeE, GeB and GeC, respectively. Others values

obtained are 170 nm for the emitter window, 25 nm for the base connection length, a

1080°C spike temperature and an etching of 200 nm for the amorphous-poly. With this

configuration a fT value of 295 GHz and a fMAX value of 554 GHz is achieved as it can be

seen in Figure 4.39. The I-V characteristic shown in Figure 4.40 leads to a BVCEO of about

1.57 V. The maximum current gain is 1800 as plotted in Figure 4.41. For the fT peak, the

bias is IC = 13 mA and VBE = VCE = 0.89 V, and for the fMAX peak, IC = 11 mA and

VCE = 0.88 V.

Figure 4.39 Simulated dynamic characteristics: fT and fMAX vs. IC for B5T technology for VCB = 0.5 V.

Figure 4.40 Simulated statics characteristics: breakdown voltage (BVCEO) for B5T technology for

VCB = 0 V at 300 K.

10-4

10-3

10-2

10-1

0

100

200

300

400

500

600

IC(A)

f MA

X,f

T (

GH

z)

fMAX

fT

0.8 1 1.2 1.4 1.6

10- 8

10- 6

10- 4

10- 2

VCE

(V)

Cu

rre

nt

(A)

IC

IB

BVCEO

Page 171: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

154

Figure 4.41 Simulated statics characteristics: Gummel plot and current gain for B5T technology for

VCB = 0 V at 300 K.

The main key process parameters and frequency values of the devices studied are

compared in Table 4.5 equivalent results can be find in [Lacave 11]. The fT and fMAX

performances for B5T devices are compared in Figure 4.42 with B4T and B3T technologies.

While the fT enhancement is moderate among the three transistor technologies with a

maximum value of ~ 260 GHz for B3T and B4T+ and ~ 280 GHz for B5T, fMAX is improved by

17% from B3T to B4T+ and by 18% from B4T+ to B5T.

Table 4.5 Comparison of process parameters and frequency values for the technologies studied

0.5 0.6 0.7 0.8 0.9 110

-10

10-8

10-6

10-4

10-2

I C,I

B(A

)

Ic

Ib

0

200

400

600

800

1000

1200

1400

1600

1800

VBE (V)

Gain

Cu

rre

nt

Ga

in

B9MW B3T B4T+ B5T Units

N+ buried layer doping 4x1017 4x1017 8x1017 8x1017 at/cm-2

Emitter window 270 250 230 170 nm

Emitter width (WE) 140 120 90 75 nm

L-shape oxide spacer width 60 55 60 48 nm

External-internal base connection 30 17 17 25 nm

Base-collector oxide width 50 38 38 30 nm

Poly-base doping 7x1017 7x1017 7x1017 7x1017 at/cm-2

SIC dose 4x1017/160 6x1013/230 6x1013/155 5x1013/155 cm-2/keV

Si Cap 17 18 15 14 nm

Carbon 0.8 0.8 0.8 0.5 %

Boron doping level 5x1019 5x1019 5x1019 8.5x1019 at/cm-3

Amorphous poly deposition 100 80 60 50 nm

Poly-emitter deposition 100 100 100 100 nm

Spike 1113 1080 1080 1080 °C

fT 228 260 275 295 GHz

fMAX 292 350 425 554 GHz

Page 172: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

155

Figure 4.42 TCAD simulations: comparison of fT and fMAX vs. IC for B3T, B4T and B5T technologies

for VCB = 0.5 V at 300 K (VCE ~ 1.35 V).

The high operating speed of B5T device can open up new areas of application; can be used

for circuit reliability reducing the impact of temperature and voltage variations at lower

frequencies, or can be used for low power applications with reduced collector current.

4.6 Conclusion

This chapter described the path to follow for the optimization of fMAX. In order to obtain this

target we proposed different architectures of advanced SiGe:C HBTs to increase the

frequency performances.

Among the results obtained for the low cost architecture we found that the increase of the

CB-oxide thickness from 50 to 150 nm helps to reduce the CB capacitance by almost 40%

for an undoped SEG device. It was shown the dependence of CB capacitance with the

increase of the doping level and how CBC is reduced when the SEG of the collector doped

region is smaller, due to the increase of the CB depletion region.

In this chapter we solved a process problem detected for the emitter junction. We showed

that if the spacer side is not vertical enough, the emitter junction width will be dependent

10- 4

10- 3

10- 2

10- 1

0

100

200

300

400

500

600

IC(A)

f MA

X,

f T (

GH

z)

B5T

B4T

B3T

fMAX

fT

Page 173: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

Chapter 4. Optimization Towards fMAX 500 GHz

156

only on the etching process. Based on TCAD studies we showed how to obtain vertical

spacers. The amorphous-poly deposition thickness must respect a specific law and we

explained how to calculate this thickness.

We can conclude that carbon content lower than 1% keeps thin enough the neutral base

layer even at high boron doping level, and best performances for fT and fMAX are achieved for

C percentage of about 0.5%. Results show that 15% of Ge content at the emitter side

associated with the highest Ge content at the collector side of the base is a good trade-off

for high current gain, enough Ge grading through the base for fast electron transit time and

appropriate Ge fraction for high hole mobility. The best fMAX result is obtained for the higher

implantation energies and for the intermediate values of the SIC dose. In the case of fT, the

best result is obtained for a SIC energy around 250 keV. The best trade-off between fT and

fMAX was found with a poly etch of about 0.1 um and a CB-Ox thickness of about 25 nm.

Page 174: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

157

Conclusion

In this dissertation, the theory, technology development and technology modeling of state-of-

the-art SiGe and SiGeC heterojunction bipolar transistors have been investigated. The

results of this work should help to obtain more physical and realistic simulations, better

understanding o charge transport, and facilitate the development and optimization of SiGe

and SiGeC HBT devices.

In the first chapter of the thesis, the SiGe SiGeC heterojunction bipolar technologies and

their operating principles were introduced. First chapter dealt also with the high frequency

AC transistor operation, we presented the extraction techniques on which we based to

developed TCL-based scripts to extract fMAX and fT from the small-signal simulations.

Second chapter dealt with the transport modeling in SiGe and SiGeC HBTs and its electrical

simulation. This work involved setting up physical models adapted to SiGeC strained alloys,

notably, based on previous work, we presented new MC-based analytical models for

effective DOS in electrons and holes. We elaborated these models for SiGeC strained and

relaxed layers simulation purposes. It was seen how the existing effective DOS models not

take into account critical dependencies as the strain-related effects and ignore the carbon

content.

Second chapter was an important work of synthesis leading to the selection, implementation

and development of models which could not exist until now. We demonstrated the impact of

carrier statistics and the bandgap narrowing models and how this can produces important

issues and false results during its implementation. At the end of the chapter the effect of the

SiGeC transport model was made evident with the comparison of two distinct set of models

for transport parameters: the SiGe default models of the physic based device simulator, and

the SiGeC specific models implemented by us in the same simulator.

The chapter three explained the B3T TCAD simulation project for B3T technology devices.

The final result of this project is the B3T simulation Kit elaborated to simulate the static and

dynamic characteristics of this type of devices and to extract major figures of merit and first

order parameters. The simulation Kit takes into account the impact of the strain, of

Page 175: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

158

germanium and carbon content into the base, not only in the process simulation but also in

the electrical simulation. Each step of the process flow is also simulated leading to an

accurate prediction of the active dopant distribution, of the stress distribution and of the

device geometry.

In chapter three the boron doping level, of the carbon and the germanium percentages on

B3T technology were analyzed. We demonstrated how these three parameters have a

strong impact on electrical characteristics of SiGeC HBTs and how adequate values can

improve significantly the transistor performance, and wrong values can degraded it. The

diffusion models used for the dopant diffusion in SiGeC alloys were explained showing the

importance of use of carbon to trap self-interstitials in order to reduce the impurities

diffusion. At the end of chapter the mixed-mode simulations on the HBT final structure are

validated comparing with experimental measurements.

The chapter four of the thesis showed the path to follow to the fMAX enhancement. Here we

proposed different architectures of advanced SiGe:C HBTs with this objective. A high-

performance low cost architecture was elaborate to focus on the reduction of the collector-

base (CB) capacitance and to study the possibility of yield a low cost transistor with high

performances. We found that the increase of the CB-oxide thickness from 50 to 150 nm

helps to reduce the CB capacitance by almost 40% for an undoped SEG device in this

architecture. In this chapter we demonstrate that for advanced HBT SiGeC architectures if

the spacer side is not vertical enough, the emitter junction width will be dependent only on

the etching process. Latter leads to block the access to the TEOS oxide cap on top or to a

short circuit can between the emitter and the extrinsic base contacts. Based on TCAD

studies we proposed a solution to this problem.

In chapter four we analyze the carbon effect on the transistor performance concluding that

carbon content lower than 1% keeps thin enough the neutral base layer even at high boron

doping level, and best performances for fT and fMAX are achieved for C percentage of about

0.5%. We found the best trade-off of different parameter studied in order to enhance the

transistor frequency behavior.

Page 176: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

159

Bibliography

[Ashburn 03] Ashburn P., “SiGe Heterojunction Bipolar Transistors”, John Wiley and Sons, 2003

[Avenier 09] Avenier, G.; Chevalier, P. ; Troillard, G. ; Vandelle, B. ; Brossard, F. ; Depoyan, L. ;

Buczko, M. ; Boret, S. ; Montusclat, S. ; Margain, A. ; Pruvost, S. ; Nicolson, S.T. ; Yau, K.H.K. ;

Gloria, D. ; Dutartre, D. ; Voinigescu, S.P. ; Chantre, A. "0.13µm SiGe BiCMOS technology for mm-

wave applications", Bipolar/BiCMOS Circuits and Technology Meeting, pp 89 -92, Oct 2008.

[Ansley 98] W. E. Ansley, J. D. Cressler and D. M. Richey, “Base-Profile Optimization for Minimum

Noise Figure in Advanced UHV/CVD SiGe HBT's”, in IEEE Transactions on Microwave Theory and

Techniques, Vol. 46, N°5, pp. 653-660, May 1998.

[Arndt 98] J. Arndt, H. Dietrich, A. Schüppen and U. Erben, “SiGe - Key Technology for Economic

Solutions in High Frequency”, in EuMW GAAS'98 Conference Proceedings, pp. 199-204, Amsterdam

1998.

[Baccarani 77] Baccarani, G., C. Jacoboni, and A.M. Mazzone. "Current transport in narrow-base

transistors". Solid State Electron.; 20:5-10, 1977

[Balkansky & Wallis 00] M. Balkansi and R. F. Wallis "Semiconductor Physics and Applications",

Oxford university press 2000.

[Barbalat 07] T. Schwartzmann, P. Chevalier, B. Vandelle, L. Rubaldo, A. Lachater, F. Saguin, N.

Zerounian, F. Aniel, and A. Chantre, "The effect of carbon on neutral base recombination in high-

speed SiGeC heterojunction bipolar transistors," Semiconductor Science Technology, vol. 22, pp.

S99–S102, 2007.

[Bardeen 49] Bardeen, J. and W.H. Brattain. Physical principles involved in transistor action. Physical

principles involved in transistor action. Phys. Rev., 75(8):1208-1225,1949.

[Baudry01] Baudry, H., Martinet, B., Fellous, C., Kermarrec, O., Campidelli, Y.,Laurens, M., Marty, M.,

Mourier, J., Troillard, G., Monroy, A.,Dutartre, D., Bensahel, D., Vincent, G., Chantre, A., “ High

performance 0.25µm SiGe and SiGe:C HBTs using non selective epitaxy », Proceedings of BCTM

2001, pp.52-55

[Böck] J. Böck, H. Schäfer, H. Knapp, D. Zöschg, K. Aufinger, M. Wurzer, S. Boguth, M. Rest, R.

Schreiter, R. Stengl, T. Meister, Sub 5 ps SiGe Bipolar Technology, in: IEDM Tech. Dig., 2002, pp.

763–766.

[Bodnar-95]: Bodnar Sylvie, Regolini J. L. Growth of ternary alloy SiGeC by rapid thermal chemical

vapor deposition. J. Vac. Sci. Technol. A, 1995, Vol. 13, No. 5, pp. 2336-2340.

[Bean 92] J. C. Bean, Silicon-Based Semiconductor Heterostructures, "Column IV Bandgap En-

gineering," Proc. IEEE 80 (Apr. 1992), no. 4, 571-587.

[Beaufoy 57] R. Beaufoy and J. J. Sparkes "The junction transistor as a charge controlled device",

ATE J., vol. 13, p.310 , 1957

[Bennett-Wilson 84 ] H. S. Bennett and C. L. Wilson, “Statistical comparisons of data on band-gap

narrowing in heavily doped silicon: Electrical and opticalmea- surements,” J. Appl. Phys., vol. 55, pp.

3582–3587, 1984.

[Benvenuti 91] A. Benvenuti et al., “Evaluation of the Influence of Convective Energy in HBTs Using a

Fully Hydrodynamic Model,” in IEDM Technical Digest, Washington, DC, USA, pp. 499–502,

December 1991.

Page 177: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

160

[Boucaud 94] P. Boucaud, C. Francis, F. H. Julien, J.-M. Lourtioz, D. Bouchier, S. Bodnar, B. Lambert

and J. L. Regolini, “Band-edge and deep level photoluminescence of pseudomorphic Si1-x-yGexCy

alloys,” Applied Physics Letters 64(7), pp. 875–877, February 1994.

[Bouhouche 09 ] M. Bouhouche, S. Latreche, C. Gontrand, "Effect of Implantation Defects and

Carbon Incorporation on Si/SiGe Bipolar Characteristics,” Computer and Electrical Engineering, pp

201-204, 2009.

[Bløtekjær 70] K. Bløtekjær, “Transport Equations for Electrons in Two-Valley Semiconductors,” IEEE

Transactions on Electron Devices, vol. ED-17, no. 1, pp. 38–47, 1970.

[Brossard 06 ] Brossard, F.; Vandelle, B.; Chevalier, P.; Dutartre, D.; , "Improved Carbon

Incorporation in Selective Epitaxial Growth of SiGe:C for HBT Applications," SiGe Technology and

Device Meeting, 2006. ISTDM 2006. Third International , vol., no., pp.1-2

[Brunner 97] Brunner K., Winter W., Eberl K., et al. “Fabrication and band alignment of

pseudomorphic SiC, SiGeC and coupled SiC/SiGeC quantum well structures on Si substrates”. J.

Cryst. Growth, 1997, Vol. 175-176, pp. 451-458.

[Bufler 97.1] F. M. Bufler, P. Graf, B. Meinerzhagen, B. Adeline, M. M. Rieger, H. Kibbel, and G.

Fischer, "Analysis of electron transport properties in unstrained and strained Si1-xGex alloys," IEEE J.

Technol. Com. Aided Design, no. 1, 1997. Abstract.

[Bufler 97.2] F. M. Bufler, P. Graf, and B. Meinerzhagen, Low- and High-Field Electron-Transport

Parameters for Unstrained and Strained Sii-, Ge,, IEEE Electron Dev. Lett. 18 (June 1997), no. 6,

264-266.

[Bufler 98] Bufler, F. M.; Graf, P.; Meinerzhagen, B.; Fischer, G.; Kibbel, H.; , "Hole transport

investigation in unstrained and strained SiGe," Journal of Vacuum Science & Technology B:

Microelectronics and Nanometer Structures , vol.16, no.3, pp.1667-1669, May 1998

[Cacciato 96] Cacciato, J. G. E. Klappe, N. E. B. Cowern, W. Vandervost, L. P. Birö, J. S. Custer and

F. W. Saris, Dislocation formation and B transient diffusion in C co-implanted Si. J. Appl. Phys., 1996,

Vol. 79, No. 5, pp. 2314-2325.

[Caughey-Thomas 67 ] D. M. Caughey and R. E. Thomas, Carrier Mobilities in Silicon Empirically

Related to Doping and Field, Proc. IEEE 55 (Dec. 1967), no. 12, 2192-2193.

[Chang 96] Chang C. L., St. Amour A., Sturm J. C. Effect of carbon on the valence band offset of

SiGeC/Si heterojunctions. IEDM Symp. Proc., 1996, pp. 257-260.

[Chantre 10] Chantre, A.; Chevalier, P. ; Lacave, T. ; Avenier, G. ; Buczko, M. ; Campidelli, Y. ;

Depoyan, L. ; Berthier, L. ; Gacquie`re, C. , "Pushing conventional SiGe HBT technology towards

"Dotfive" terahertz",2010 European Microwave Integrated Circuits Conference (EuMIC), pp 21-

24,Sept 2010.

[Chen 95] An-Ban Chen; Arden Sher,"Semiconductor Alloys: Physics and Materials Engineering",

Plenum Press, 233 Spring Street, New York 1995.

[Chen 01] Chen, Xiangdong; Liu, Kou-Chen ; Qiqing Ouyang ; Jayanarayanan, Sankaran Kartik ;

Banerjee, S.K. "Hole and electron mobility enhancement in strained SiGe vertical MOSFETs," IEEE

Transactions on Electron Devices, vol.48, no.9, pp.1975,1980, Sep 2001.

[Cheng 93] J.-P. Cheng, V. P. Kesan, D. A. Grutzmacher, T. O. Sedgwick, and J. A. Ott, "Cyclotron

resonance studies of two-dimensional holes in strained Si1-x Gex/Si quantum wells," Appl. Phys. Lett.

62, 1522 (1993)

[Chevalier 05] Chevalier, P. ;Fellous, C. ; Rubaldo, L. ; Pourchon, F. ; Pruvost, S. ; Beerkens, R. ;

Saguin, F. ; Zerounian, N. ; Barbalat, B. ; Lepilliet, S. ; Dutartre, D. ; Celi, D. ; Telliez, I. ; Gloria, D. ;

Aniel, F. ; Danneville, F. ; Chantre, A. ,"230-GHz self-aligned SiGeC HBT for optical and millimeter-

wave applications", IEEE Journal of Solid-State Circuits, pp 2025 - 2034, Oct 2005.

Page 178: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

161

[Chevalier 08] P. Chevalier, “High-speed SiGe BiCMOS technologies for Ethernet communications

from 10Gb/s to 100Gb/s,” Monterey, CA, 2008, IEEE Bipolar-Circuits Technology Meeting Short

Course Notes.

[Chevalier 09] P. Chevalier, F. Pourchon, T. Lacave, G. Avenier, Y. Campidelli, L. Depoyan, G.

Troillard, M. Buczko, D. Gloria, D. Celi, C. Gaquiere, A. Chantre,"A Conventional Double-Polysilicon

FSA-SEG Si/SiGe:C HBT Reaching 400 GHz fMAX" IEEE Bipolar/BiCMOS Circuits and Technology

Meeting, pp 1-4, 2009

[Chung 92] S. K. Chun and K. L. Wang, “Effective mass and mobility of holes in strained Si Ge layers

on (001) Si Ge substrate,” IEEE Trans. Electron Devices, vol. 39, pp. 2153–2164, Sept. 1992

[Cowern 96] N. E. B. Cowern, A. Cacciato, J. S. Custer, F. W. Saris and W. Vandervorst, "Role of C

and B clusters in transient diffusion of B in silicon", Appl. Phys. Lett., 68(8), p. 1150 (1996).

[Cowern 02] Cowern N., Colombeau B., Roozeboom F., et al. Diffusion suppres-sion in silicon by

substitutional C doping. ESSDERC Symp. Proc., 2002, pp. 203-206

[Cressler 93.1] J. D. Cressler, J. H. Comfort, E. Crabbé, G. L. Patton, J. M. C. Stork, J. Y. -C. Sun and

B. S. Meyerson, “On the Profile Design and Optimization of Epitaxial Si- and SiGe-Base Bipolar

Technology for 77K Applications - Part I: Transistor DC Design Considerations”, in IEEE Transactions

on Electron Devices, Vol. 40, N°3, pp. 525-541, March 1993.

[Cressler 93.2] J. D. Cressler, E. Crabbé, J. H. Comfort, J. M. C. Stork and J. Y. -C. Sun, “On the

Profile Design and Optimization of Epitaxial Si- and SiGe-Base Bipolar Technology for 77K

Applications - Part II: Circuit Performances Issues”, in IEEE Transactions on Electron Devices, Vol.

40, N°3, pp. 542-556, March 1993.

[Cressler 98] Cressler, J.D., "SiGe HBT technology: a new contender for Si-based RF and microwave

circuit applications," Microwave Theory and Techniques, IEEE Transactions on , vol.46, no.5,

pp.572,589, May 1998

[Cressler 03] By John D. Cressler, Guofu Niu, "Silicon-germanium heterojunction bipolar transistors",

Artech House, 2003

[Cressler 10] Cressler, J.D.; "Silicon-Germanium as an Enabling Technology for Extreme

Environment Electronics"; IEEE Transactions on Device and Materials Reliability,pp. 437-438, 2010

[Cristiano 10] F. Cristiano, A. Claverie, C. Bonafos, M. Omri, A. Nejim, P. L. F. Hemment, S.

Lombardo, S. U. Campisano, D. Alquier and A. Martinez, "Interstitial trapping efficiency of C+

implanted into preamorphised silicon : Control of EOR defects", Nucl. Instr. Meth. B, vol. 127-128(1),

p. 22 (1997).

[del Alamo 85] J. del Alamo, S. Swirhun, and R. M. Swanson, “Simultaneous measure- ment of hole

lifetime, hole mobility and bandgap narrowing in heavily doped n-type silicon,” in IEDM Tech. Dig.,

1985, pp. 290–293.

[Demirel 11] Demirel, N.,Severino, R.R. ; Ameziane, C. ; Taris, T. ; Begueret, J.-B. ; Kerherve, E. ;

Mariano, A. ; Pache, D. ; Belot, D., "Millimeter-wave chip set for 77–81 GHz automotive radar

application ",IEEE 9th International New Circuits and Systems Conference (NEWCAS), pp. 253 - 256,

26-29 June 2011

[Dietrich-94] DIETRICH B., OSTEN H. Jorg, RUCKER H., et al. Lattice distortion in a strain-

compensated SiGeC layer on Silicon. Phys. Rev. B., 1994, Vol. 49, No. 24, pp. 17185-17190.

[Dotfive] www.dotfive.eu

[Douglas 04] Douglas J Paul, “Si/SiGe heterostructures: from material and physics to devices and

circuits”, Cavendish Laboratory, University of Cambridge, Madingley Road, Cambridge, CB3 0HE,

UK, Semicond. Sci. Technol. 19 (2004) R75–R108.

Page 179: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

162

[Dumke 83] W. P. Dumke. Appl. Phys. Lett. 42, 196, 1983.

[Dumke 83.2] W. P. Dumke, J. Appl. Phys. 54, 3200 1983.

[Duschl 00] R. Duschl, O. G. Schmidt, K. Eberl, “SiGe and SiGe:Carbon”, Eds. Kasper, K. Lyotovich

Chapter 4.6 "Electronic properties of SiGeCc alloys", Inspec IEE data review series No. 24 (2000) p.

158.

[Eastman 60] Eastman Clifford P., “Electron mobility in germanium at high temperatures”, PhD thesis,

1960.

[Engelhardt 94] C.M. Engelhardt, D. Tobben, M. Aschauer, F. Schaffler, G. Abstreiter, E. Gornik, High

mobility 2-D hole gases in strained Ge channels on Si substrates studied by magnetotransport and

cyclotron resonance, Solid-State Electronics, Volume 37, Issues 4-6, April-June 1994, Pages 949-95.

[Fischetti-Laux 88] M. V. Fischetti and S. E. Laux Phys. Rev. B, vol. 38, p. 9721, 1988.

[Fischetti 89] Fischetti M.V., S. E. Laux, and E. Lee. Monte Carlo simulations of hot carrier transport in

real semiconductors devices", Solid-State Electron., 32:1723-1729, 1989.

[Fischetti-Laux 96] Fischetti, M. V.; Laux, S. E.; , "Band structure, deformation potentials, and carrier

mobility in strained Si, Ge, and SiGe alloys," Journal of Applied Physics , vol.80, no.4, pp.2234-2252,

Aug 1996

[Fu 93] Y. Fu, S. C. Jain, M. Willander, and J. J. Loferski, Valence band structures of heavily doped

strained Ge[sub x]Si[sub 1 - x] layers J. Appl. Phys. 74, 402 (1993)

[Fu 99] Y. Fu, "Physical Models of Semiconductor Quantum Devices", Kluwer Academic Publishers,

1999

[Gang Liu 10] Gang Liu; Ulusoy, A.C. ; Trasser, A. ; Schumacher, H. ;"60–80 GHz frequency doubler

operating close to fmax", Microwave Conference Proceedings (APMC),Asia-Pacific, pp. 770-773,

2010

[Guedj-98]: Guedj C., Dashiell M. W., Kulik L., et al. Precipitation of Beta-SiC in Si(1-y)Cy alloys. J.

Appl. Phys., 1998, Vol. 84, No. 8, pp. 4631-4633.

[Goesele 00] Ulrich Goesele, Pierre Laveant, Rene Scholz, Norbert Engler and Peter Werner (2000).

Diffusion Engineering by Carbon in Silicon. MRS Proceedings, 610, B7.11 doi:10.1557/PROC-610-

B7.11

[Gonzalez 97] J. M. López-González, "The importance of bandgap narrowing distribu- tion between

the conduction and valence bands in abrupt HBTs," IEEE Trans. Electron Devices, vol. 44, pp. 1046–

1051, 1997.

[Gopal 08] Mohan Krishnan Gopal, “Analytical Design and Numerical Verification of P-Channel

Strained Silicon-Germanium Hetero Mosfet”, PhD thesis, University of Arizona.

[Gossmann 96] GOSSMANN H-J., STOLK P. A., EAGLESHAM D. J., et al. Diffusion of Si self-

interstitials in the presence of carbon-related interstitial traps. Electrochemical Soc. Proc., 1996, Vol.

96, No. 1, pp. 426-427.

[Gough 94] P.A. Gough, "Fundamental Equations",Bipolar and Bipolar-MOS integration, P.A.H. Hart

editor, Elsevier Science B.V. 1994.

[Grundmann 06] Marius Grundmann, "The physics of semiconductors: an introduction including

devices and nanophysics," Springer 2006.

[Hållstedt 04] J Hållstedt, E Suvar, C Menon, P.-E Hellström, M Östling, H.H Radamson, "Methods to

reduce the loading effect in selective and non-selective epitaxial growth of sigec layers", Materials

Science and Engineering: B, Volume 109, Issues 1–3, 15 June 2004, Pages 122-126, ISSN 0921-

5107, 10.1016/j.mseb.2003.10.062.

Page 180: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

163

[Hamilton 64] D. J. Hamilton, F. A. Lindhohn, and J. A. Narud, "Comparison of large signal models for

junction transistors" Proc. IEEE, vol. 52, no. 3, pp. 239-248, March 1964.

[Hasanuzzaman 09] Hasanuzzaman, Mohammad; Haddara, Yaser M.; Knights, Andrew P. "Modeling

germanium diffusion in Si1-xGex/Si superlattice structures" Journal of Applied Physics; Vol. 105, no.

4, pp. 043504 - 043504-5, 2009.

[Hashim 99] Md.R Hashim, R.F Lever, P Ashburn, "2D simulation of the effects of transient enhanced

boron out-diffusion from base of SiGe HBT due to an extrinsic base implant", Solid-State Electronics,

Vol. 43, no. 1, January 1999, Pages 131-140, ISSN 0038-1101, 10.1016/S0038-1101(98)00195-6.

[Hashimoto 03] T. Hashimoto, Y. Nonaka, T. Tominari, H. Fujiwara, K. Tokunaga, M. Arai, S. Wada,

T. Udo, M. Seto, M. Miura, H. Shimamoto, K. Washio, and H. Tomioka, “Direction to improve SiGe

BiCMOS technology featuring 200-GHz SiGe HBT and 80-nm gate CMOS,” IEEE International

Electron Device Meeting, pp. 129–132, 2003.

[Hebling 09] János Hebling, Matthias C. Hoffmann,Harold Y. Hwang, Ka-Lo Yeh, Keith A. Nelson.,

“Nonlinear optical effects in germanium in the THz range: THz-pump - THz-probe measurement of

carrier dynamics”, Springer Berlin Heidelberg, 2009.

[Heinemann 02] Heinemann, B., Rucker, H., Barth, R., Bauer, J., Bolze, D., Bugiel, E., Drews, J.,

Ehwald, K.-E., Grabolla, T., Haak, U., Hoppner, W., Knoll, D., Kruger, D., Kuck, B., Kurps, R.,

Marschmeyer, M., Richter, H.H., Schley, P., Schmidt, D., Scholz, R., Tillack, B., Winkler, W., Wolnsky,

D., Wulf, H.-E., Yamamoto, Y., Zaumseil, P., « Novel collector design for high-speed SiGe:C HBTs »,

Technical Digest of IEDM 2002, pp. 775 – 778.

[Heinemann 03] Heinemann, B., Barth, R., Bolze, D., Drews, J., Formanek, P., Fursenko, O., Glante,

M., Glowatzki, K., Gregor, A., Haak, U., Hoppner, W., Knoll, D., Kurps, R., Marschmeyer, S.,Orlowski,

S., Rucker, H., Schley, P., Schmidt, D., Scholz, R., Winkler, W., Yamamoto, Y., « A complementary

BiCMOS technology with high speed npn and pnp SiGe:C HBTs», Technical Digest of IEDM 2003,

[Heinemann 10] Heinemann, B.; Barth, R. ; Bolze, D. ; Drews, J. ; Fischer, G.G. ; Fox, A. ; Fursenko,

O. ; Grabolla, T. ; Haak, U. ; Knoll, D. ; Kurps, R. ; Lisker, M. ; Marschmeyer, S. ; Ru¨cker, H. ;

Schmidt, D. ; Schmidt, J. ; Schubert, M.A. ; Tillack, B. ; Wipf, C. ; Wolansky, D. ; Yamamoto, Y. ;

"SiGe HBT technology with fT/fmax of 300GHz/500GHz and 2.0 ps CML gate delay"; IEEE

International Electron Devices Meeting (IEDM); pp. 30.5.1 - 30.5.4; 6-8 Dec. 2010.

[Hinckley 89] J. M. Hinckley, V. Sankaran, and J. Singh, “Charged carrier transport in Si Ge

pseudomorphic alloys matched to Si—strain-related transport improvements,” Appl. Phys. Lett., vol.

55, pp. 2008–2010, 1989.

[Hong 09] S.M. Hong; C. Jungemann, "Electron transport in extremely scaled SiGe HBTs", IEEE

BiCMOS Circuits and Technology Meeting, pp 67-74, Oct 2009.

[Huang 10] M. Huang; J. Jay, “Silicon solution for automotive radar”, Freescale

comunication,http://ecs.utdallas.edu/TxACE/files/mhuang%202010%20nov%2015%20txace%20anal

og%20sym%20to%20SRC%20.pdf.

[Hueting 96] R. J. E. Hueting, J. W. Slotboom, A. Pruijmboom, W. B. de Boer, C. E. Timmering, and

N. E. B. Cowern, “On the optimization of SiGe- base bipolar transistors,” IEEE Trans. on Elect.

Devices, vol. 43, no. 9, pp. 1518-1524, 1996

[Hull 99] Hull Robert, Bean John C. “Germanium-silicon: physics and materials”. San Diego :

Academic Press, 1999, 444 p. (Semiconductors and Semimetales Vol. 56.) ISBN 0-12-752164-X.

[Huylenbroeck 04] Van Huylenbroeck, S., Sibaja-Hernandez, A., Piontek, A., Choi, L.J., Xu, M.W.,

Ouassif, N., Vleugels, F., Van Wichelen, K., Witters, L., Kunnen, E., Leray, P., Devriendt, K., Shi, X.,

Loo, R., Decoutere, S., « Lateral and vertical scaling of a QSA HBT for a 0.13 µm 200GHz SiGe:C

BiCMOS technology », Proceedings of BCTM 2004, pp. 229 - 232

Page 181: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

164

[Hurkx 94] G. A. M. Hurkx, "Bipolar Transistor Physics", Bipolar and Bipolar-MOS integration, P.A.H.

Hart editor, Elsevier Science B.V. 1994.

[IBM 1] http://asia.stanford.edu/events/spring01/slides/harameSlides.pdf

[IBM 2] http://www-03.ibm.com/technology/foundry/roadmap.html

[IMEC] http://www2.imec.be/be_en/press/imec-news/imecdotfive.html

[Iyer 87] Iyer, S.S., Patton, G.L., Delage, S.S., Tiwari, S., Stork, J.M.C., “Silicon-germanium base

heterojunction bipolar transistors by molecular beam epitaxy “, Technical Digest of IEDM 1987, pp.

874 - 876

[Jagannathan 02] Jagannathan, B., Khater, M., Pagette, F., Rieh, J.-S., Angell, D., Chen, H., Florkey,

J., Golan, F., Greenberg, D.R., Groves, R., Jeng, S.J., Johnson, J., Mengistu, E., Schonenberg, K.T.,

Schnabel, C.M., Smith, P., Stricker, A., Ahlgren, D., Freeman, G., Stein, K., Subbanna, S., « Self-

aligned SiGe NPN transistors with 285 GHz fMAX and 207 GHz fT in a manufacturable technology »,

Electron Device Letters, IEEE, Volume 23, Issue 5, May 2002 pp. 258 -260

[Jagannathan 03] Jagannathan, B., Meghelli, M., Chan, K., Rieh J.-S, Schonenberg, K., Ahlgren, D.,

Subbanna, S., Freeman, G., « 3.9 ps SiGe HBT ECL ring oscillator and transistor design for minimum

gate delay», IEEE Electron Device Letters, Volume 24, May 2003, pp. 324 - 326

[Jain-Roulston 91] S. C. Jain and D. J. Roulston, “A simple expression for band gap narrowing (BGN)

in heavily doped Si, Ge, GaAs and Ge Si strained layers,” Solid-State Electron., vol. 34, pp. 453–465,

1991.

[John02] John, J.P., Chai, F., Morgan, D., Keller, T., Kirchgessner, J., Reuter, R., Rueda, H., Teplik,

J., White, J., Wipf, S., Zupac, D., « Optimization of a SiGe:C HBT in a BiCMOS technology for low

power wireless applications », Proceedings of BCTM 2002, pp. 193 – 196.

[John 07] John, J.P., Kirchgessner, J., Morgan, D., Hildreth, J., Dawdy, M., Reuter, R., Hao Li, «

Novel Collector Structure Enabling Low-Cost Millimeter-Wave SiGe:C BiCMOS Technology », Radio

Frequency Integrated Circuits (RFIC) Symposium, 2007, pp.559 - 562

[Jones-97]: JONES K. S., MOLLER K., CHEN J. Effect of implant temperature on TED of boron in

regrown silicon after amorphization by Si or Ge implantation. J. Appl. Phys., 1997, Vol. 81, No. 9, pp.

6051-6055.

[Jorke 00] H. Jorke, "SiGe and SiGe:Carbon", Eds. Kasper, K. Lyotovich, Chapter 5.3 "Injection

across a Si/SiGe heterojunction", Inspec IEE data review series No. 24 (2000) p. 210.

[Joseph 11] Joseph, A.; Gammel, P. ; Rabbeni, P. ; Wolf, R. ; Dunn, J.,"Silicon solutions for RF front-

end applications", IEEE 11th Topical Meeting on Topical Meeting Silicon Monolithic Integrated

Circuits in RF Systems (SiRF), pp.109-112, 2011.

[Jüngel 01] Jüngel, Ansgar,"Quasi-Hydrodynamic Semiconductor Equations", Progress in nonlinear

differential equations and their applications; v. 41, Birkhäuser Verlag, P.O. Box 133, CH-4010

Basel,Switzerland.

[Jüngel 09] Jüngel, A.," Transport Equations for Semiconductors", Lect. Notes Phys. 773, Springer-

Verlag Berlin Heidelberg 2009.

[Kasper 93] E. Kasper, A. Gruhle and H. Kibbel, “High Speed SiGe-HBT with Very Low Base Sheet

Resistivity”, in IEDM 1993, pp.79-81.

[Kenneth 11] YAU Kenneth H. K. ; CHEVALIER Pascal ; CHANTRE Alain ; VOINIGESCU Sorin P.,

"Characterization of the Noise Parameters of SiGe HBTs in the 70–170-GHz Range", IEEE

transactions on microwave theory and techniques, vol. 59, no8, pp. 1983-2000, 2011.

[Kroemer 57] Kroemer, H., “Theory of wide-gap emitter for transistors”; Proceeding of IRE, Vol. 45,

1957, pp. 1535 – 1537.

Page 182: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

165

[Kuo 93]: Kuo P., Hoyt J. L., Gibbons J. F., Turner J. E., Jacowitz R. D., Kamins T. I., Comparison of

boron diffusion in Si and strained SiGe epitaxial layers. Appl. Phys. Lett., 1993, Vol. 62, No. 6, pp.

612-614.

[Kurosawa 66] Kurosawa, T. In Proc. 8th Int. Conf. on the Physics of Semiconductors, pp. 424-426,

Kyoto, 1966.

[Lacave 11] Thomas Lacave, "Transistor bipolaire Si/SiGe :C en nœud CMOS avancé pour

applications sub-millimétriques", Université des Sciences et Technologies de Lille, PhD thesis, 2011.

[Landolt-Bernstein 82 ] Landolt-Bernstein, Numerical data and functional relationships in science and

technology (K.-H. Hellwege, ed.), Group III : Crystal and Solid State Physics, Volume 17 :

Semiconductors, Subvolume a : Physics of Group IV Elements and III-V Compounds, Springer-Verlag

Berlin, 1982.

[Lanzerotti 96] L. D. Lanzerotti, J. C. Sturm, E. Stach, R. Hull, T. Buyuklimanli, and C. Magee,

“Suppression of boron outdiffusion in SiGe HBT’s by carbon incorporation,” IEEE International

Electron Device Meeting, pp. 249–252, 1996.

[Larsen 98] NYLANDSTED LARSEN Arne . Impurity diffusion in SiGe alloys: strain and com-position

effects. Mat. Res. Soc. Symp. Proc., 1998, Vol. 532, pp. 187-198.

[Laurens 03] Laurens, M., Martinet, B., Kermarrec, O., Campidelli, Y., Deleglise, F., Dutarte, D.,

Troillard, G., Gloria, D., Bonnouvrier, J., Beerkens, R., Rousset, V., Leverd, F., Chantre, A., Monroy,

A., « A 150GHz fT/fmax 0.13µm SiGe:C BiCMOS technology », Proceedings of BCTM 2003, pp. 199

– 202.

[Lehmann 11] Lehmann, S.; Weiss, M. ; Zimmermann, Y. ; Pawlak, A. ; Aufinger, K. ; Schroter,

M.,"Scalable compact modeling for SiGe HBTs suitable for microwave radar applications", IEEE 11th

Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF), pp. 113-116, 2011

[Leitz 02] Leitz CW, Currie MT, Lee ML, Cheng ZY, Antoniadis DA, Fitzgerald EA. Hole mobility

enhancements and alloy scattering- limited mobility in tensile strained Si/SiGe surface channel metal–

oxide–semiconductor Feld-effect transistors. J Appl Phys 2002;92: 3745–51.

[Lei Wang 11] Lei Wang, Yong-Zhong Xiong ; Bo Zhang ; San-Ming Hu ; Teck-Guan Lim , "Millimeter-

Wave Frequency Doubler With Transistor Grounded-Shielding Structure in 0.13um SiGe BiCMOS

Technology", IEEE Transactions on Microwave Theory and Techniques, pp. 1304-1310, 2011.

[Li 2006] James C. Li, Marko Sokolich, Tahir Hussain, Peter M. Asbeck, Physical modeling of

degenerately doped compound semiconductors for high-performance HBT design, Solid-State

Electronics, Volume 50, Issues 7–8, July–August 2006, Pages 1440-1449, ISSN 0038-1101,

10.1016/j.sse.2006.04.047.

[Liu 06] Liu, J.P.; Verma, P.R.; Chu, S.F.; Zhang, S.Q.; Loh, W.B.; Leong, K.C.; Siew, H.L.; Sohn,

D.K.; Hsia, L.C.; Decoutere, S.; Mingwei Xu; Van Wichelen, K.; Loo, R.; , "Impact of Interstitial Carbon

on Base Current Ideality in SiGe:C Heterojunction Bipolar Transistors," VLSI Design, Automation and

Test, 2006 International Symposium on , vol., no., pp.1-2, 26-28 April 2006.

[Liu 98]: Liu C. W., Tseng Y. D., Chern M. Y., et al. Thermal stability of Si/SiGeC/Si quantum wells

grown by RTCVD. J. Appl. Phys., 1998, Vol. 85, No. 4, pp. 2124-2128.

[Logan-64]: LOGAN R. A., ROWELL J. M., TRUMBORE F. A. Phonon spectra of Ge-Si alloys. Phys.

Rev., 1964, Vol. 136, No. 6 A, pp. 1751-1755.

[Luo 07] Lan Luo; Guofu Niu; Cressler, J.D.; Guofu Niu Cressler, “Modeling of Bandgap Narrowing for

Consistent Simulation of SiGe HBTs Across a Wide Temperature Range ” IEEE BCTM , pp. 123-126,

2007.

[Luo 11] Lan Luo; Ziyan Xu ; Guofu Niu ; Chakraborty, P.S. ; Peng Cheng ; Thomas, D. ; Moen, K. ;

Cressler, J.D. ; Mudholkar, M. ; Mantooth, H.A. "Wide temperature range compact modeling of SiGe

Page 183: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

166

HBTs for space applications", IEEE 43rd Southeastern Symposium on System Theory (SSST), pp.

110-113, 2011.

[Magnee 01] Magnee P., Kemmeren A., Cowern N., et al. “Ultra shallow boron base profile with

carbon implantation”. IEEE BCTM Symp. Proc., 2001, Vol. 3.4, pp. 64-67.

[Mahan 90] Mahan, G.D.,"Many particle physics", Plenum, New York 1990.

[Maiti 03] C.K. Maiti, G.A Armstrong, “Applications of Silicon–Germanium Heterostructure Devices”,

Institute of Physics Publishing, 2001.

[Maiti 12] C.K. Maiti; T.K. Maiti Strain-Engineered MOSFETs Published: November 28, 2012 by CRC

Press.

[Manku 91] Manku, T. and Nathan, A. "Effective mass for strained p-type Si 1-x Gex", Journal of

Applied Physics, AIP, 1991, 69, 8414-8416

[Manku 92] T. Manku and A. Nathan, Electron Drift Mobility Model for "Electron Drift Mobility Model for

Devices Based on Unstrained and Coherently Strained Sil - Ge, Grown on (001) Silicon Substrate,"

IEEE Trans. Electron Devices 39 (Sep. 1992), no. 9, 2082-2089.

[Manku 93] Manku, T.; McGregor, J.M.; Nathan, A.; Roulston, D.J.; Noel, J.-P.; Houghton, D.C.; ,

"Drift hole mobility in strained and unstrained doped Si1-x Gex alloys," Electron Devices, IEEE

Transactions on , vol.40, no.11, pp.1990-1996, Nov 1993

[Masetti 83] G. Masetti, M. Severi, and S. Solmi, Modeling of Carrier Mobility Against Carrier

Concentration in Arsenic-, Phosphorus-, and Boron-Doped Silicon, IEEE Trans. Elec-tron Devices 30

(July 1983), no. 7, 764-769.

[Meister 03] T. F. Meister, H. Schäfer, K. Aufinger, R. Stengl, S. Boguth, R. Schreiter, M. Rest, H.

Knapp, M. Wurzer, A. Mitchell, T. Böttner, and J. Böck, “SiGe bipolar technology with 3.9 ps gate

delay,” IEEE Bipolar/BiCMOS Circuits and Technology Meeting, pp. 103–106, 2003.

[Meyer 81] J. R. Meyer and F. J. Bartoli, Phase-shift calculation of ionized impurity scattering in

semiconductors, Phys. Rev. B 23 (May 1981), no. 10, 5413-5427.

[Michaillat 09] Marc Michaillat, Denis Rideau, Frédéric Aniel, Clément Tavernier, and Hervé Jaouen,

Monte Carlo-Based Analytical Models for Electron and Hole Elec- trical Parameters in Strained SiGeC

Alloys, Simulation of Semiconductor Processes and Devices 2009, Sep. 2009, pp. 55-58.

[Michaillat 10.1] Marc Michaillat, Denis Rideau, Frédéric Aniel, Clément Tavernier, and Hervé Jaouen,

Full-Band Monte Carlo investigation of hole mobilities in SiGe, Sic and SiGeC alloys, Thin Solid Films

518 (2010), 2437-2441.

[Michaillat 10.2] Marc Michaillat, "Paramètres Matériau pour la Simulation de Transistors Bipolaires à

Hétérojonctions SiISiGe et SiGeC," Université Paris-Sud XI, PhD thesis, 2010.

[Mishra 08] U.K. Msihra; Jasprit Singh, "Semiconductor Device Physics and Design",Springer, P.O.

Box 17, 3300 AA Dordrecht, The Netherlands, 2008.

[Morgant 88] D. V. Morgan and A. A. Rezazadeh, “Current Transport Mechanism in N-p-n

Heterojunction Bipolar Transistors”, GEC Journal of Research, 6(1), 1988, pp. 37-43.

[Ojefors 11] Ojefors, E. Grzyb, J. ; Yan Zhao ; Heinemann, B. ; Tillack, B. ; Pfeiffer, U.R., "A 820GHz

SiGe chipset for terahertz active imaging applications", pp. 224-226, 2011.

[Orner06] Orner, B.A., Dahlstrom, M., Pothiawala, A., Rassel, R.M., Liu, Q., Ding, H., Khater, M.,

Ahlgren, D., Joseph, A., Dunn, J., « A BiCMOS Technology Featuring a 300/330 GHz (fT /fmax) SiGe

HBT for Millimeter Wave Applications», Proceedings of BCTM 2006, pp. 49-52

Page 184: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

167

[Osten-94] OSTEN H. Jorg, BUGIEL E., ZAUMSEIL P. Growth of an inverse tetragonal distor-ted

SiGe layer on Si(100) by adding small amounts of carbon. Appl. Phys. Lett., 1994, Vol. 64, No. 25,

pp. 3440-3442.

[Osten 96] Osten H. Jorg, KIM M., Pressel K., et al. Substitutional versus interstitial carbon

incorporation during pseudomorphic growth of SiC on Si(100). J. Appl. Phys., 1996, Vol. 80, No. 12,

pp. 6711-6715.

[Osten 98] Osten, H.J.; Knoll, D.; Heinemann, B.; Tillack, B.; , "Carbon doping of SiGe heterobipolar

transistors," Silicon Monolithic Integrated Circuits in RF Systems, 1998. Digest of Papers. 1998

Topical Meeting on , vol., no., pp.19-23, 17-18 Sep 1998.

[Osten 99] Osten, H.J.; Knoll, D.; Heinemann, B.; Rucker, H.; Tillack, B.; "Carbon doped SiGe

heterojunction bipolar transistors for high frequency applications," Bipolar/BiCMOS Circuits and

Technology Meeting, 1999. Proceedings of the 1999 , vol., no., pp.109-116, 1999.

[Pacheco 10] Pacheco-Sanchez, A.; Enciso-Aguilar, M. ; Rodriguez-Mendez, L.; "Full comparison

between analytical results, electrical modeling and measurements for the noise behavior of a SiGe

HBT"; IEEE ANDESCON, pp. 1-5, 2010.

[Palankovski 99] V. Palankovski et al, "Modeling and Simulation of Microsystems," 1999, pp. 395-398.

[Palankovski 04] V. Palankovski, G. Rohrer, and T. Grasser, Rigorous modeling approach to

numerical simulation of SiGe HBTs, Appl. Surf. Sci. 224 (Mar. 2004), no. 1, 361-364.

[Pakfar 03] A. Pakfar, "Modélisation de la diffusion des dopants dans les alliages SiGe et SiGeC,"

Ph.D. Thesis, 2003.

[Parisa 11] Roodaki, P.M.; Taghian, F. ; Bashirzadeh, S. ; Jalaali, M. "A survey of Millimeter-wave

Technologies",Electrical and Control Engineering (ICECE),16-18 Sept. 2011, pp. 5726 - 5728.

[Pejcinovic 89] B. Pejcinovic, L. E. Kay, T. Tang, and D. H. Navon, “Numerical simulation and

comparison of Si BJT’s and Si1-xGex HBT’s,” IEEE Trans. on Elect. Devices, vol. 36, no. 10, pp. 2129-

2137, 1989.

[People 85] People, R. "Indirect band gap of coherently strained Gex Si1-x bulk alloys on (001) silicon

[People 86] R. People, "Indirect bandgap of coherently strained GexSi1-x/Si alloys on silicon

substrates," Phys. Rev. B, vol. 32, pp. 1405-1408, 1985.

[Prince 92] M. B. Prince, Drift Mobilities in Semiconductors. I. Germanium, Phys. Rev. 92 (Nov. 1953),

no. 3, 681-687.

[Prinz 89] E. J. Prinz, P. M. Garone, P. V. Schwartz, X. Xiao, and J. C. Sturm, “The effect of base

emitter spacers and strain-dependent densities of states in Si/Sil-,Ge,/Si heterojunction bipolar

transistors,” Int. Electron Devices Meet. Tech. Dig., pp. 639-642, 1989

[Quiroga 12] A.Quiroga, F. Aniel, C. Tavernier, N. Zerounian, H. Jaouen, “TCAD Simulation for

Advanced Terahertz SiGeC HBTs”, International Conference on Solid-State and Integrated Circuit,

Singapore, IPCSIT vol. 32, 2012.

[Ramirez-Garcia 09] E. Ramirez-Garcia, N. Zerounian, P. Crozat, M. Enciso-Aguilar, P. Chevalier, A.

Chantre, and F. Aniel, “SiGe heterojunction bipolar transisor issues towards high cryogenic

performances,” Cryogenics, 2009.

[Ramirez-Garcia 11] E. Ramirez Garcia, "Analyse experimentale et modelisation du bruit haute

frequence des transistors bipolaires a heterojonctions sige:c et InGaAs/Inp pour les applications tres

hautes frequences". Université Paris-Sud XI. Ph.D. Thesis, 2011.

[Regolini 94] Regolini J. L., Bodnar Sylvie, Oberlin J. C., et al. Strain compensated heterostructures in

the SiGeC ternary system. J. Vac. Sci. Technol. A, 1994, Vol. 12, No.4, pp. 1015-1019.

Page 185: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

168

[Richard 04] Soline Richard, “ Modelisation physique de la structure electronique, du transport et de

l'ionisation par choc dans les materiaux iv-iv massifs, contraints et dans les puits quantiques”, Ph.D.

Thesis, 2004.

[Rieger 93] M. M. Rieger and P. Vogl. Electronic-band parameters in strained Si1-xGex. alloys on

Si1-yGey substrates. Phys. Rev. B, 48:14276- 14287, 1993.

[Rieh04] Rieh, J.S., Greenberg, D., Khater, M., Schonenberg, K.T., Jeng, S.-J., Pagette, F., Adam, T.,

Chinthakindi, A., Florkey, J., Jagannathan, B., Johnson, J., Krishnasamy, R., Sanderson, D.,

Schnabel, C., Smith, P., Stricker, A., Sweeney, S., Vaed, K., Yanagisawa, T., Ahlgren, D., Stein, K.,

Freeman, G., « SiGe HBTs for millimeter-wave applications with simultaneously optimized f T and f

maxof 300 GHz», Digest of RFIC Symposium 2004, pp. 395 - 398

[Roosbroeck 50] W. V. van Roosbroeck, “Theory of electrons and holes in germanium and other

semiconductors”, Bell Syst. Tech. J., vol. 29, pp. 560–607, 1950.

[Reggiani 99] S. Reggiani, M. Valdinoci, and L. Colalongo, A Unified Analytical Model for Bulk and

Surface Mobility in Si n- and p.Channel MOSFET's, 2gth European Solid-State Device Research

Conference, Sep. 1999, pp. 240-243.

[Reggiani 02] S. Reggiani, M. Valdinoci, and L. Colalongo, Electron and Hole Mobility in Silicon at

Large Operating Temperatures-Part I : Bulk Mobility, IEEE Trans. Electron Devices 49 (Mar. 2002),

no. 3, 490-499.

[Rücker 97] H. Rucker, B. Heinemann, W. Ropke, G. Fischer, G. Lippert, H.J. Osten, and R. Kurps,

“Modeling the effect of carbon on boron diffusion,” Simulation of Semiconductor Processes and

Devices, pp. 281-284, 1997.

[Rücker 99] H. Rucker, B. Heinemann, D. Bolze, D. Knoll, D. Kruger, R. Kurps, H.J. Osten, P. Schley,

B. Tillack, and P. Zaumseil “Dopant diffusion in C-doped Si and SiGe: physical model and

experimental verification,” Tech. Dig. IEEE Int. Elect. Dev. Meeting, pp. 345-348, 1999.

[Rücker 99.2] RUCKER H., HEINEMANN B., BOLZE D., et al. The impact of supersaturated carbon

on transient enhanced diffusion. Appl. Phys. Lett., 1999, Vol. 74, No. 22, pp. 3377-3379

[Rücker 07] Rucker, H., Heinemann, B., Barth, R., Bauer, J., Blum, D.B.K., Bolze, D., Drews, J.,

Fischer, G.G., Fox, A., Fursenko, O., Grabolla, T., Haak, U., Hoppner, W., Knoll, D., Kopke, K., Kuck,

B., Mai, A., Marschmeyer, S., Morgenstern, T., Richter, H.H., Schley, P., Schmidt, D., Schulz, K.,

Tillack, B., Weidner, G., Winkler, W., Wolansky, D., Wulf, H.-E., Yamamototo, Y., « SiGe BiCMOS

Technology with 3.0 ps Gate Delay», Technical Digest of IEDM 2004, pp. 651 - 654

[Rucker 10] H. Rucker; Heinemann, B. ; Winkler, W. ; Barth, R. ; Borngraber, J. ; Drews, J. ; Fischer,

G.G. ; Fox, A. ; Grabolla, T. ; Haak, U. ; Knoll, D. ; Korndo¨rfer, F. ; Mai, A. ; Marschmeyer, S. ;

Schley, P. ; Schmidt, D. ; Schmidt, J. ; Schubert, M.A. ; Schulz, K. ; Tillack, B. ; Wolansky, D. ;

Yamamoto, Y. "A 0.13um SiGe BiCMOS Technology Featuring fT/fMAX of 240/330 GHz and Gate

Delays Below 3 ps ", IEEE Journal of Solid-State Circuits,pp. 1678-1686, 2010

[Rücker 12] Rücker, H.; Heinemann, B.; Fox, A., "SiGe BiCMOS Technologies for Applications above

100 GHz," Compound Semiconductor Integrated Circuit Symposium (CSICS), IEEE, pp.1,4, Oct.

2012.

[Sah 91] Sah, Chih-Tang., “Fundamentals of solid state electronics”, Word scientific publishing, 1991.

[Saitoh 04] Base Current Control in Low-VBE-Operated SiGeC Heterojunction Bipolar Transistors

Using SiGe-Cap Structure and High-Carbon-Content Base Tohru Saitoh, Takahiro Kawashima,

Yoshihiko Kanzawa, Junko Sato-Iwanaga, Ken Idota, Takeshi Takagi, Teruhito Ohnishi, Koichiro

Yuki, Tsuneichiro Sano and Shigeki Sawada, Jpn. J. Appl. Phys. 43, p.2250, 2004.

[Salmon 98] S.L. Salmon, "The impact of germanium profile shape on the operation of SiGe HBT

precision voltage references," M.S. Thesis, Auburn University, 1998.

Page 186: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

169

[Sasso 10] Sasso, G.; Rinaldi, N.; Matz, G.; Jungemann, C.; , "Analytical models of effective DOS,

saturation velocity and high-field mobility for SiGe HBTs numerical simulation," 2010 International

Conference on Simulation of Semiconductor Processes and Devices (SISPAD), pp.279-282, Sept.

2010.

[Sentaurus 12] Sentaurus Device User Guide V. D-2010.03, Synopsys, 2010.

[Schöll 98] Eckehard Schöll, "Theory of trasnsport properties of semiconductor nanostructures",

Thomson Sience 2-6 Boundary Row, Chapman & Hall 1998.

[Scholz 98] R. Scholz, U. Gosele, J-Y. Huhm and T.Y. Tan, “Carbon-induced undersaturation of

silicon self-interstitials,” Applied Physics Letters, 72 2, pp. 200–202, 1998.

[Scholz-99] SCHOLZ Rene F., WERNER P., GOSELE Ulrich M., et al. The contribution of vacancies

to carbon out-diffusion in silicon. Appl. Phys. Lett., 1999, Vol. 74, No. 3, pp. 392-394.

[Schaffler 01] Schaffler F.,Properties of Advanced Semiconductor Materials GaN, AlN, InN, BN, SiC,

SiGe . Eds. Levinshtein M.E., Rumyantsev S.L., Shur M.S., John Wiley & Sons, Inc., New York, 2001,

149-188.

[Sdevice 12] Sentaurus Device User Guide V. D-2011.03, Synopsys, 2011.

[Shi 03] Yun Shi Guofu Niu Cressler, J.D. Harame, D.L. "On the Consistent Modeling of Band-Gap

Narrowing for Accurate Device-Level Simulation of Scaled SiGe HBTs," IEEE Trans. Electron Devices

vol 50, pp. 1370 – 1377, 2003.

[Shi & Niu 2005] Yun Shi; Guofu Niu;,"2-D analysis of device parasitics for 800/1000 GHz fT/fmax

SiGe HBT", Proceedings of the Bipolar/BiCMOS Circuits and Technology Meeting, pp 252-255, 2005.

[Shockley 49] Shockley, W. The theory of PN junctions in semiconductors and transistors. Bell

Systems Technologycal journal, 28:435-489, 1949.

[Shockley 52] Shockley, W. and W.T. Read Jr., "Statics of the recombination of holes and electrons",

Phys. Rev.,87:835-842,1952.

[Singh 06] Brahmadeo Prasad Singh; Rekha Singh, “Electronic Devices and Integrated Circuits”,

Pearson Education, March 20, 2006.

[Sibaja 11] Arturo Sibaja-Hernandez, Shuzhen You, Stefaan Van Huylenbroeck, Rafael Venegas,

Kristin De Meyer, Stefaan Decoutere, Half-terahertz silicon/germanium heterojunction bipolar

technologies: A TCAD based device architecture exploration, Solid-State Electronics, Volumes 65–

66, November–December 2011, Pages 72-80, ISSN 0038-1101, 10.1016/j.sse.2011.06.014.

[Slotboom 76] J. W. Slotboom and H. C. de Graaff, "Measurements of Bandgap Narrowing in Si

Bipolar Transistors,” Solid-State Electronics, vol. 19, no. 10, pp. 857–862, 1976.

[Slotboom 77] J. W. Slotboom and H. C. de Graaff, “Bandgap Narrowing in Silicon Bipolar

Transistors,” IEEE Transactions on Electron Devices, vol. ED-24, no. 8, pp. 1123–1125, 1977.

[Schmidt 98] O. G. Schmidt and K. Eberl, Phys. Rev. Lett., vol. 80, pp. 3396, 1998.

[Smith 79] R. A. Smith, Semiconductors, 2nd Ed., Cambridge University Press, London, 1979.

[Snowden 98] C. Snowden, "Introduction to semiconductor device modelling", World scientific

publishing Co. Pte. Ltd. 1998.

[Sokolic 94] S. Sokolic and S. Amon, “Modelling heavy doping effects for low temperature device

simulations", Journal de Physique ID, Volume 4, C6-133, 1994.

[Song 12] Song JianJun, Yang Chao, Zhang HeMing, Hu HuiYong, Zhou ChunYu & Wang Bin,

"Longitudinal, transverse, density-of-states, and conductivity masses of electrons in (001), (101) and

(111) biaxially-strained-Si and strained-SiGe",SCIENCE CHINA Physics, Mechanics & Astronomy

Journal, Springer, pp 1-5, 2012.

Page 187: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

170

[Sprocess 12] Sentaurus Device User Guide V. D-2011.03, Synopsys, 2011.

[Swirhun 88] S. E. Swirhun, D. E. Kane and R. M. Swanson, IEEE IEDM Tech. Dig., 298, 1988.

[Sze 07] M. Sze and Kwok K. Ng, "Physics of Semiconductor Devices", John Wiley & Sons, Inc., New

York, 3rd Edition, 2007.

[Sumei 11] Sumei Jia, Ruixia Yang, Hongjun Guo, "SiGe heterojunction bipolar transistor and its

applications in microwave communication systems ", 7th International Conference on Wireless

Communications, Networking and Mobile Computing (WiCOM), pp. 1-4, Sept. 2011.

[Stratton 62] R. Stratton, “Diffusion of Hot and Cold Electrons in Semiconductor Barriers,” Physical

Review, vol. 126, no. 6, pp. 2002–2014, 1962.

[Teppo 01] T. Teppo, E. Sönmez, K. B. Schad, P. Abele and H. Schumacher, “Si/SiGe IC’s with Low

Cost in the 15 to 20 GHz Range”, in the 8 th IEEE International Symposium on High Performance

Electron Devices for Microwave and Optoelectronic Applications, EDMO 2000, pp.26-31, Glasgow,

Scotland, November 2001.

[Tersoff 95]: Tersoff J. Enhanced solubility of impurities and enhanced diffusion near crystal surfaces.

Phys. Rev. Lett., 1995, Vol. 74, No. 25, pp. 5080-5083.

[Tillack 11] Tillack, B.,"SiGe BiCMOS platform - baseline technology for More Than Moore process

module integration", IEEE 14th International Symposium on Design and Diagnostics of Electronic

Circuits & Systems (DDECS), pp. 4, 2011.

[Tripathi 03] M N Tripathi, C M Bhandari., "High-temperature thermoelectric performance of Si–Ge

alloys", J. Phys.: Condens. Matter 15 5359, 2003.

[Van de Walle 00] Van de Walle, C. G. "Strain effects on the valence-band structure of SiGe", in In

Kasper, E.; Lyutovich, K. Properties of Silicon Germanium and SiGe:Carbon, EMIS Datareview Series

No. 24. INSPEC, IEE; 2000; 135-139.

[Van Noort 08] van Noort, W.D.;Rodriguez, A. ; HongJiang Sun ; Zaato, F. ; Zhang, N. ; Nesheiwat, T.

; Neuilly, F. ; Melai, J. ; Hijzen, E., "BiCMOS technology improvements for microwave application", pp.

93 - 96, 2008.

[Van Vliet 93] C. M. Van Vliet, “Bandgap narrowing and emitter efficiency in heavily doped emitter

structure revisited,” IEEE Trans. Electron Devices, vol. 40, pp. 1140–1147, 1993.

[Vendrame 95] Vendrame, L.; Zabotto, E.; Dal Fabbro, A.; Zanini, A.; Verzellesi, G.; Zanoni, E.;

Chantre, A.; Pavan, P.; , "Influence of impact-ionization-induced base current reversal on bipolar

transistor parameters," Electron Devices, IEEE Transactions on , vol.42, no.9, pp.1636-1646, Sep

1995.

[Viswanathan 08] Viswanathan; Keusgen, Wilhelm ; Do, Van-Hoang ; Boeck, Georg ,"60 GHz SiGe

HBT Chip Set for High Speed Wireless Communication Systems",vol. 15, pp.1202, 2008.

[Wagner 84] J. Wagner, Phys. Rev. B29, 2002 1984.

[Wagner 85] J. Wagner, Phys. Rev. B32, 1323, 1985.

[Wagner 88] J. Wagner and J. A. del Alamo, J. Appl. Phys. 63,425, 1988.

[Weiner 73] Weiner, C. How the transistor emerged. IEEE Spectrum, Jan:24-33, 1973.

[Werner 98] Werner P., Gossmann H.., Jacobson D. C., et al. Carbon diffusion in silicon. Appl. Phys.

Lett., 1998, Vol. 73, No. 17, pp. 2465-2467.

[Willoughby-99] Willoughby Arthur F. W., BONAR Janet M., PAINE Andrew D. N. Diffusion

mechanism in SiGe alloys. Mat. Res. Soc. Symp. Proc., 1999, Vol. 568, pp. 253-263.

Page 188: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

171

[Winkel 88] J. te Winkel "Extended charge-control model for bipolar transistors", IEEE Trans. Electron

Dev., vol. ED-20, p.389 , 1973.

[Xiao Ying 09] Xiao, Ying ; Zhang, Wanrong ; Jin, Dongyue ; Liang Chen ; Lu Huang ; Ning Hu ;

Renqing Wang, "Effect of Ge composition on the ballasting resistor of RF power SiGe HBTs";

ICMTCE. International Conference on Microwave Technology and Computational Electromagnetics,

pp. 242-245, 2009.

[Yang 04] Lianfeng Yang, Jeremy R. Watling, Richard C. W. Wilkins, Mirela Borici, John R. Barker,

Asen Asenov and Scott Ray, “ Si/SiGe Heterostructure Parameters for Device Simulations”,

Semicond. Sci. Technol., vol. 19, no. 10, pp. 1173-1182, 2004.

[Yuan 07] Jiahui Yuan, Krithivasan, R., Cressler, J.D., Khater, M.H., Ahlgren, D.C., Joseph, A.J., « On

the Frequency Limits of SiGe HBTs for TeraHertz Applications», Proceedings of BCTM 2007, pp. 22-

25.

[Yuki 01] Yuki Koichiro, Toyada Kenji, Takagi Takeshi, et al. Bandgap and strain engineering in

SiGeC HBT's. Jpn. J. Appl. Phys. (part 1), 2001, Vol. 40, No. 4 B, pp.2633-2636.

[Zaumseil 97] ZAUMSEIL P., FISCHER G. G., BRUNNER K. Comparison of the thermal stability of

SiGe/Si and SiGeC/Si superlattice structures. J. Appl. Phys., 1997, Vol. 81, No. 9, pp. 6134-6140.

[Zeghbroeck 11] B. Van Zeghbroeck, “Principles of Semiconductor Devices”, University of Colorado,

2011.

[Zerounian 00] N. Zerounian, "Etudes experimentaless et modélisation de composants micro-ondes

bipolaires et à effect de champ SiGe". Université Paris-Sud XI. Ph.D. Thesis, 2000.

[Zerounian 04] Zerounian N, Aniel F, Barbalat B, Chevalier P, Chantre A. Parasitic electrostatic

capacitance of high speed SiGe heterojunction bipolar transistors. Solid State Elec. Vol. 53, pp. 483-

489, 2004.

Page 189: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

172

Page 190: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

173

Appendice

Résumé de la thèse

“Recherche et développement de transistors bipolaires avancés par le

biais de la modélisation technologique”

Ce travail explore le développement technologique de transistors bipolaires à hétérojonction

SiGe et SiGeC au moyen de la conception technologique assistée par ordinateur (TCAD).

L'objectif est d'obtenir un dispositif avancé très proche du dispositif réalisable, en agissant

non seulement au niveau des étapes technologiques, mais aussi en rendant compte assez

fidèlement son comportement physique, sa géométrie et ses performances électriques. Les

études menées permettent l’obtention du meilleur fonctionnement électrique pour les

dispositifs analysés, en particulier avec une fréquence maximale d’oscillation de 500 GHz.

Cette valeur correspond à l’objectif fixé par le projet Européen DotFive dans lequel ce travail

s’inscrit pour STMicroelectronics, et les évolutions de sa filière BiCMOS avancée. Les

résultats de ce travail aide à obtenir des simulations plus physiques et réalistes, à avoir une

meilleure compréhension des mécanismes de transport des charges dans la structure, et de

faciliter le développement et l'optimisation des transistors bipolaires a hétérojonction (TBH)

SiGe et SiGeC.

Le premier chapitre du manuscrit présente le principe de fonctionnement du transistor

bipolaire et du TBH SiGe ou SiGeC. Ce chapitre traite également son fonctionnement à

haute fréquence, en y décrivant des méthodes d'extraction de la fréquence maximale

d’oscillation (fMAX) et de la fréquence de transition du gain en courant (fT), deux facteurs de

mérite qui seront exploités pour l’étude des performances. Le premier chapitre se termine

par la description du transport dans les dispositifs bipolaires, notamment le modèle

hydrodynamique utilisé lors des simulations.

Le deuxième chapitre analyse les modèles physiques spécifiques aux alliages SiGe et

SiGeC contraints sur silicium et utilisés dans la base des TBH, et la simulation électrique de

ces dispositifs. Ce chapitre est aussi un important travail de synthèse menant à la sélection,

à la mise en œuvre et au développement des modèles dédiés à la simulation des TBH

SiGeC.

Page 191: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

174

Le troisième chapitre décrit la plateforme de simulation TCAD développé pour la technologie

B3T afin d’obtenir un modèle de TBH (résultats de simulation) très proche du dispositif

fabriqué par STMicroelectronics. Dans ce chapitre l’ensemble des étapes de fabrication des

TBH de la technologie B3T sont décrites, ainsi que la méthodologie développée pour

simuler ces dispositifs.

Le dernier chapitre détaille les architectures de TBH développées durant la thèse. Les

structures proposées sont d’une part une version faible cout (moins d’étapes

technologiques) et de moindre performance, et à l’inverse des structures à hautes

performances nécessitant un cout de production plus élevé. Il est discuté et étudié

particulièrement la technologie B4T qui a été réalisée en salle blanche. L'impact des

principales étapes de fabrication est analysé afin de trouver les paramètres clés pour

accroitre fMAX sans dégrader les autres caractéristiques électriques. A la fin du chapitre, les

résultats obtenus sont utilisés pour élaborer une nouvelle plateforme de simulation qui tient

compte des meilleurs compromis entre les différents paramètres des procédés de

fabrication pour obtenir un TBH SiGeC avec une valeur de fMAX d’au moins 500 GHz.

1 Fonctionnement du transistor bipolaire a hétérojonction

SiGe/SiGeC et le transistor bipolaire au silicium

L'ingénierie de bande interdite utilisée dans la conception des TBH SiGe ou SiGeC est la clé

de l'amélioration des performances statiques et dynamiques de tels dispositifs. Comme

l'alliage SiGe ou SiGeC contraint en compression a une bande interdite plus petite que celle

du silicium, un transistor bipolaire avec une base SiGe ou SiGeC conduit à des valeurs

beaucoup plus élevées du gain en courant. D’autres avantages (mobilité électronique…)

favorisent les performances du TBH npn à base SiGe.

1.1 Fonctionnement à haute fréquence

Les caractéristiques dynamiques d’un transistor disposent de deux principales figures de

mérite que sont la fréquence de transition du gain en courant (fT) et la fréquence maximale

d'oscillation (fMAX). Dans cette section nous décrirons l'une des méthodes d'extraction pour

fMAX qui a été développée et implémentée dans le simulateur TCAD pour l’étude des

résultats de simulation en régime dynamique.

Page 192: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

175

1.2 Extraction de la fréquence maximale d’oscillation fMAX

Bien que fMAX soit la fréquence de transition du gain de Mason U, l’extraction de fMAX peut

être faite à une autre fréquence fixée, sur l’hypothèse de décroissance du gain suivant

-20dB/décade. Ainsi pour chaque point de polarisation la simulation en régime dynamique

est faite pour une zone limitée en fréquence. Dans le script développé nous trouvons un

point dans la zone de décroissance à -20dB/décade et à partir de ce point par extrapolation

nous obtenons la valeur de la fréquence pour U = 0dB comme le montre la Figure 1.14.

Figure 0.1 Diagramme de Bode pour la méthode d’extraction de fMAX à un point.

1.3 Transport de charge dans les semiconducteurs

Les modèles de transport peuvent varier considérablement en termes de facilité et de

précision de la solution. Ils peuvent être physiquement très sophistiqués mais difficiles à

résoudre et coûteux en temps de simulation ou facile et rapide à résoudre, mais avec des

résultats inexacts. La Figure 1.20 résume les différents niveaux de modélisation et de

théorie du transport.

Frequency (GHz)

0

10

20

30

40

Ma

gn

itu

de

(d

B)

fMAX=1

10log

Fm

Page 193: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

176

Figure 0.2 Aperçu de la hiérarchie des théories de transport de charge dans les semiconducteurs

2 Modélisation du transport des charges dans les TBH SiGe

et SiGeC

La simulation prédictive de TBH avec une base SiGeC biaxialement contrainte sur silicium,

nécessite la prise en compte des effets combinés des propriétés spécifiques de l'alliage

ternaire SiGeC, et de la contrainte biaxiale induite par le désaccord de maille. Dans nos

simulations, nous travaillons avec les premiers modèles analytiques anisotropes basées sur

des simulations Monte Carlo (MC) à faible champ électrique pour les alliages SiGeC

[Michaillat 09 -10.2].

2.1 Masses effectives d’électrons et de trous

Dans la littérature on trouve trois définitions pour les masses effectives des électrons: 1 / la

masse de densité d'états 𝑠 2/ les masses longitudinale et transverse (

, 3 / la

masse effective de conductivité . Pour le simulateur utilisé (Sdevice), nous calculons

d’abord la densité effective d’états des électrons et des trous. Ensuite, nous obtenons les

masses effectives qui seront utilisées dans le calcul des paramètres de transport.

2.2 Les modèles de densité effective d’états

Nous proposons de nouveaux modèles analytiques pour la densité effective d’états pour la

base SiGeC, avec moins d’erreurs d’approximation par rapport à ceux déjà existants. Ces

modèles ont été élaborés pour les couches SiGeC relaxées ou contraintes. Les modèles

sont calibrés à partir des résultats de simulation Monte Carlo. Cette approche a également

Hot Carrier Effects Neglected

Quantum Effects Neglected

Only first three moments of BTE

Boltzmann Transport Equations

Quantum Transport Theory

Drift Diffusion Model

Hydrodynamic Model

Macroscopic Models

MicroscopicModels

Page 194: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

177

été utilisée par Sasso, et al. [Sasso 10], avec pour différence que les modèles exposés ne

prenaient pas en compte des dépendances critiques comme les effets liés à la contrainte ni

l’influence de la teneur en carbone. D'autre part, les modèles existants de densité effective

d'états sont une approximation assez simplifiée, basé sur un rapport constant entre le

produit la densité effective d'états du silicium et du silicium-germanium [Hueting 96]. La

densité effective d'états en bande de valence est fixée à celle du silicium massif [Pejcinovic

89], ou suppose une dépendance linéaire avec la fraction molaire [People 86].

En raison de la dépendance de la bande interdite de densité d’états [Buffler 98], le modèle

de dépendance en fonction de la teneur en Ge est basé sur la formule de Bean [Bean 92],

qui décrit la variation de bande interdite dans les alliages SiGe contraint en compression sur

silicium. L'effet supplémentaire lié à la fraction molaire de carbone sur la bande interdite

est approximée par l'ajout d'un terme linéaire en [Pakfar 03], exprimé comme suit :

2.1

où est la teneur en germanium, est la teneur en carbone et les coefficients à sont

définis selon Palankovski, Gonzalez and Kosina [Palankovski 99].

Sur la base des calculs théoriques dans les alliages contraints SiGe effectués par People

[People 85] [People 86] et en considérant que la contrainte biaxiale divise les 6 vallées Δ en

deux familles, 2 vallées Δ001 décalées vers les hautes énergies, et 4 vallées Δ100 et Δ010

abaissées en énergie, ceci est exprimer avec deux coefficients and [Pejcinovic 89].

L'expression finale pour la densité effective d’états en bande de conduction est alors:

Δ

2.2

L’allure du modèle de densité d’états pour les électrons est représentée en Figure 2.13 pour

différentes valeurs de température.

Dans le cas des trous, la levée de dégénérescence est exprimée avec , and

selon Prinz et al. [Prinz 89]:

Page 195: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

178

Δ

Δ

2.3

Les paramètres de l’équation 2.9 et de l'équation 2.10 sont des coefficients de

courbure pour la bande de conduction et la bande de valence. Le modèle de densité d’états

pour les trous est représenté en Figure 2.13.

(a) (b)

Figure 0.3 Modèles pour la densité d'états pour les alliages Si1-x-yGexCy. Les symboles

représentent les simulations Monte Carlo, les lignes notre modèle, pour les électrons (a) et les trous

(b).

Les modèles de la densité d’états ont été implémenté dans le simulateur, ainsi que tous les

modèles dédiés au matériau SiGeC : la bande interdite, la mobilité des électrons et des

trous et le temps de relaxation de l’énergie.

2.3 Le modèle de bande interdite

Dans nos simulations, la réduction de bande interdite selon le taux de Ge et de C est

modélisée avec la formule de Bean [Bean 92], en particulier pour les alliages SiGe contraint

en compression. En fonction de la température, avec un coefficient c4 pour l’influence du

carbone, l’expression de bande interdite devient:

ycxcxcxcT

TETyxE gg 4

3

1

2

11

2

0),,(

0 0.05 0.1 0.15 0.2 0.25 0.3

1

2

3

4

5

6

7x 10

19

Germanium Content

Ele

ctr

on

s E

ffe

ctive

DO

S (cm

-3) MC T=500K

MC T=400K

MC T=300K

MC T=200K

SiGeC Model

0 0.05 0.1 0.15 0.2 0.25 0.30

1

2

3

4

5x 10

19

Germanium Content

Ho

les

Eff

ective

DO

S (cm

)

-3

MC T=500K

MC T=400K

MC T=300K

MC T=200K

SiGeC Model

Page 196: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

179

2.4 Modèles de mobilité des électrons et des trous dans les couches contraintes SiGeC fortement dopées

Durant ce travail, nous avons utilisé les modèles analytiques anisotropes basées sur des

simulations Monte-Carlo à faible champ pour les alliages SiGeC [Michaillat 09 -10.2]. Ces

modèles ont été développés pour les alliages relaxés et contraint sur silicium, lorsque le

transport des électrons et des trous est homogène et stationnaire. Les mécanismes pris en

compte sont les interactions entre porteurs de charge entre-eux, les interactions avec les

phonons, les impuretés neutres et ionisées, les pièges et les défauts du réseau cristallin et

enfin l’ionisation par choc.

2.5 Le modèle du temps de relaxation de l'énergie

Le temps de relaxation de l'énergie des porteurs est le temps moyen requis pour qu’une

population de porteurs excités revienne à l'équilibre. Ce temps de relaxation caractérise la

capacité du matériau à dissiper l'énergie des porteurs aux travers d’interactions inélastiques

de type porteur-phonon ou par ionisation par choc. Il peut être calculé par simulation Monte

Carlo dans un matériau homogène en régime stationnaire du transport. la modélisation du

transport de charge à haute énergie nécessite une description numérique assez étendue de

la structure électronique. Dans nos simulations le modèle utilisé a été extrait selon la

méthode indirecte développée par Palankovski [Palankovski 99]. Pour les alliages SiGeC il a

été modélisé par Michaillat [Michaillat 10.2] comme une fonction du rapport entre la

température du réseau cristallin et la température des porteurs.

3 Influence des paramètres technologiques sur la technologie

B3T

La technologie B3T est une technologie bipolaire issue de la dernière technologie haute

vitesse 0.13µm BiCMOS9MW de STMicroelectronics [Chevalier 05] [Chevalier 09]. Elle

s’inscrit dans les évolutions successives de la technologie de TBH SiGeC de

STMicroelectronics. Pour chaque changement, la valeur de fMAX s’est améliorée de 100

GHz. Ainsi pour la technologie B3T la valeur de fMAX est d’environ 300 GHz, pour la

technologie suivante B4T fMAX vaut environ 400 GHz et pour B5T, la valeur de fMAX visée est

500 GHz.

Cette section analyse l'impact sur la technologie B3T du niveau de dopage en bore, et de la

composition en carbone et en germanium de la base. Le choix de ces trois paramètres est

Page 197: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

180

lié à leur forte influence sur les caractéristiques électriques [Salmon 98] [Hållstedt 04]

[Hashim 99]. On montre comment ces trois paramètres peuvent améliorer considérablement

les performances du transistor, mais aussi peuvent les dégrader.

3.1 Composition et modélisation de la diffusion des dopants

Contrairement aux alliages III-V et II-VI, la similarité entre atomes de la colonne IV permet

l'obtention d’alliage plus facilement en réduisant fortement ou en évitant les problèmes

d’hétérogénéité liés à la miscibilité partielle des atomes, aux différences d’ordre atomique à

petite et grande échelle dans le matériau, ou bien induit par la stabilité de phase des alliages

lors de traitements thermiques.

3.2 Simulation et calibrage des dispositifs B3T

Le calibrage de la technologie B3T consiste à ajuster les paramètres de modélisation par

comparaison entre les résultats de simulation et de mesure des dispositifs réels. Les

différents paramètres de la simulation sont analysés en fonction de leur impact sur les profils

de dopage, sur les structures géométriques et sur les caractéristiques électriques.. Les

profils de dopage simulés sont comparés aux profils obtenus par spectroscopie massique

d’ions secondaires (SIMS), les structures géométriques sont comparées aux images

obtenues par transmission électronique (TEM) et les résultats électriques sont comparés

aux caractéristiques statiques et dynamiques des TBH fabriqués.

3.3 Influence du niveau de dopage (bore)

Dans les dispositifs bipolaires avancés, l’épaisseur de la base SiGeC peut être très réduite

(10 à 25 nm), sans nuire à l’efficacité de la jonction base-émetteur, et il est possible de

fortement doper la base pour maintenir une faible valeur de résistance de base (RB) et pour

éviter le risque de perçage. Une conséquence du niveau de dopage de bore et du profil de

dopage est la largeur effective de la base WB. Pour les faibles niveaux de dopage, le profil

de dopage est plus étroit dans la base SiGeC, les frontières des jonctions émetteur-base

(EB) et base-collecteur (BC) sont plus proches, et la valeur de WB, est faible. Au contraire,

pour les niveaux plus forts de dopage, le profil de dopage plus étalé éloigne les jonctions BE

et BC, conduisant à un WB plus grand. Le niveau de dopage du bore a aussi une incidence

directe sur la mobilité électronique ce qui influence les caractéristiques électriques du

dispositif.

Page 198: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

181

3.4 Influence du carbone

L’intérêt du carbone est son effet sur la réduction de la diffusion du bore. Ainsi pour une

même dose de de dopage en bore, l’incorporation du carbone favorise un profil de dopage

plus étroit et une valeur crête plus élevée, ce qui est propice à une base effective plus

étroite.

Lorsque la teneur en carbone est trop élevée, les effets négatifs surpassent le bénéfice

escompté sur les performances du transistor. Déjà publié dans différents articles, si la

teneur en carbone dépasse 2%, le comportement du dispositif peut être dégradé [Osten 98]

[Lanzerotti 96] [Bouhouche 09].

3.5 Influence du profil de germanium

L'ingénierie de bande interdite est utilisée dans la conception des TBH SiGe ou SiGeC biais

l’introduction d’un profil de Ge triangulaire, trapézoïdale ou rectangulaire. Dans le cas de la

technologie B3T ce profil est essentiellement sous forme trapézoïdale. Ce type de profil crée

un gradient en bande de conduction, qui accélère les électrons provenant de l'émetteur

vers le collecteur, se traduisant par une réduction du temps de transit dans la base. Le profil

de Ge est obtenu par épitaxie en trois marches d’épaisseurs différentes. Nous appelons la

première marche GeE (côté émetteur, plus faible taux de Ge), la deuxième GeB (base) et le

troisième GeC (côté collecteur).

3.5.1 Effet du pourcentage de germanium côte émetteur (GeE)

La teneur en germanium côté émetteur GeE, varie de 10 à 25% avec une valeur fixe pour

GeB de 25% et de 30% pour GeC. L'augmentation de GeE a pour impact une augmentation

du gain en courant, de la densité de courant de collecteur et des valeurs de fT.

3.5.2 Effet du pourcentage de germanium côte collecteur (GeC)

Pour cette étude le pourcentage GeC varie de 25 à 35% avec GeE et GeB maintenus

constants respectivement à 20 et 25%. Les meilleurs résultats pour fMAX, fT et le gain en

courant sont obtenus pour GeC=30%, au-delà de cette valeur les caractéristiques

électriques sont dégradées.

Page 199: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

182

3.6 Résultats électriques

Les caractéristiques électriques du TBH SiGeC simulé sont comparées aux mesures

expérimentales sur la Figure 3.38. La fenêtre d’émetteur est de 0.5 µm et de 0.25 µm avec

une épaisseur de base de 20 nm. L'utilisation des modèles dédiés aux matériaux SiGeC,

permettent obtenir un très bon accord entre les caractéristiques électriques mesurées et

simulées de la technologie B3T.

(a) (b)

(c) (d)

Figure 0.4 Comparaison des résultats électriques TCAD et mesures expérimentales a) Courbes de

Gummel; b) BVCEO ;c) fT ;e) fMAX

4 Optimisation vers fMAX de 500 GHz

Cette section présente trois architectures différentes pour TBH avancés SiGe:C. Dans ces

architectures, nous profitons des bons résultats obtenus pour la modélisation de la

Page 200: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

183

technologie B3T, utilisé comme point de départ pour étendre le modèle à d’autres

architectures.

Les deux premières architectures proposées et investies sont des solutions faibles coûts qui

pourraient être utilisées pour des applications avec des exigences en performances limitées.

La troisième architecture (B4T) a pour objectif l’amélioration des performances dynamiques,

notamment la fréquence maximale d’oscillation pour attendre les 400 GHz.

Nous étudions l'architecture B4T et analysons l'impact des principales étapes de fabrication

afin de trouver les paramètres du procédé de fabrication qui permettent d'augmenter fMAX

sans dégrader les autres caractéristiques électriques. Les résultats obtenus sont utilisés

pour élaborer une plate-forme de simulation TCAD en tenant compte du meilleur compromis

des différents paramètres clés du procédé.

4.1 Comparaison des performances

La Figure 4.19 compare les trois architectures étudiées et les résultats électriques obtenus

pour fMAX et fT. Les trois structures ont la même fenêtre d'émetteur (200 nm) et la même

largeur de jonction d'émetteur (110 nm).

Pour la première architecture à faible coût sans tranchée d’isolation STI (Figure 4.19a) fMAX

vaut environ 220 GHz et la valeur maximale de fT est de 190 GHz. Pour la deuxième

architecture à faible coût (Figure 4.19b) fMAX est de 280 GHz et fT de 210 GHz. Les

meilleures performances ont été obtenues pour l'architecture B4T avec le couple fT/fMAX de

l’ordre de 260/400 GHz.

Page 201: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

184

Figure 0.5 Comparaison des performances dynamiques pour les trois architectures développées ;

a) et b) Architectures à faible coût; c) B4T.

4.2 Architecture B4T

Comparé aux structures à faible coût, l’architecture B4T a de meilleures performances et est

choisie pour développer un dispositif capable d’atteindre une valeur de fMAX excédant 400

GHz. Ce projet de simulation utilise les mêmes modèles physiques développés pour la

technologie B3T et prend en compte toutes les étapes de procédé de fabrication de la

technologie B4T développé à STMicroelectronics [Chantre 10]. Cette technologie est le

résultat d'une analyse détaillée des compromis entre les principaux paramètres du procédé

de fabrication.

10-4

10-3

10-2

10-1

0

50

100

150

200

250

IC

(A)

Fre

qu

en

cy

(G

Hz

)

fMAX

fT

10-4

10-3

10-2

10-1

0

50

100

150

200

250

300

IC

(A)

Fre

qu

en

cy

(G

Hz

)

fMAX

fT

10-4

10-3

10-2

10-1

0

100

200

300

400

500

IC

(A)

Fre

qu

en

cy

(G

Hz

)

fMAX

fT

(a)

(b)

(c)

Page 202: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

185

4.2.1 Résultats électriques

Les résultats obtenus sont validés par comparaison avec les données expérimentales de la

technologie B4T. Les caractéristiques électriques pour la technologie B4T sont présentés

sur la Figure 4.22, notamment les courbes de Gummel et gain en courant (Figure 4.22a), et

les fréquences fT et fMAX (Figure 4.22b). Le gain en courant maximal est d'environ 2000 à

VBE=0,72 V. Les caractéristiques AC montrent une nette amélioration par rapport à B3T

avec fMAX= 400 GHz et fT = 260 GHz. Un très bon accord a été atteint entre les mesures et

les simulations.

(a) (b)

Figure 0.6 Comparaison des résultats électriques TCAD et mesures expérimentales a) Courbes de

Gummel et gain en courant; b) fT et fMAX.

4.3 Influence des paramètres technologiques et optimisation vers fMAX de 500 GHz.

Cette section étudie les paramètres clés des procédés de fabrication pour atteindre une

valeur de 500 GHz pour fMAX.

La Figure 4.38 montre l’impact de différents paramètres technologiques sur la fréquence

maximale d’oscillation dans la technologie B4T. La Figure 4.38a montre l’effet du carbone et

du bore, la Figure 4.38b l’effet des teneurs en germanium GeE et GeC, la Figure 4.38c

l’effet de la largeur de liaison entre la base intrinsèque et extrinsèque, la Figure 4.38e l’effet

de la dose et l’énergie d’implantation du collecteur implanté sélectivement (SIC en anglais).

Les meilleures compromis entre les paramètres étudies furent obtenues pour un valeur de

teneur en carbone de 0.5 et de bore de 9.1019 cm-3. Dans le ca des pourcentages de

germanium le meilleur compromis fut de 20% pour GeE et 35% pour GeC. Les meilleurs

Page 203: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

186

valeurs pour la largeur de liaison entre la base intrinsèque-extrinsèque fut de 40 nm et de

170 nm pour la fenêtre d’émetteur (W). Pour le SIC le meilleur compromis fut obtenu pour

une dose d’environ 4.1013 cm-2 l’énergie tenant un faible impact.

(a) (b)

(c) (d)

Figure 0.7 Influence des paramètres technologiques sur la fréquence maximale d'oscillation dans

la technologie B4T.

4.4 Un transistor bipolaire SiGeC avec fMAX de 500 GHz

A partir des résultats obtenus dans les études précédentes, nous développons une

plateforme de simulation pour la technologie B5T qui prend compte des meilleurs

compromis des différents paramètres testés. Cela permet d’atteindre une valeur de fMAX

supérieure à 500 GHz, accomplissant ainsi l'objectif principal de l’étude et démontrant la

faisabilité du projet.

02

46

810

x 1019

0

0.5

1

1.5

20

100

200

300

400

500

Boron (at/cm3)

Carbon (%)

f MA

X (

GH

z)

2527.5

3032.5

35

05

10

1520

25250

300

350

400

450

500

GeC (%)GeE (%)

f MA

X (

GH

z)

0.17

0.175

0.18

0.185

0.19

0

20

40

60

80350

400

450

500

W (um)Base Connection (nm)

f MA

X (

GH

z)

200

220

240

260

280

300

0

2

4

6

8

10

x 1013

380

390

400

410

420

430

SIC Energy (keV)SIC Dose (at/cm2)

f MA

X (

GH

z)

Page 204: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

187

Les premiers paramètres à prendre en compte sont le niveau de dopage de bore et la

teneur en carbone. D’après les résultats analysés dans les études précédentes, pour le

bore, le niveau de dopage est établi à 8,5 × 1019 at/cm3, pour le carbone le pourcentage est

fixé à 0,5%.

Dans le cas de la teneur en germanium, le meilleur compromis trouvé est une composition

avec trois marches d’épaisseurs différentes: 15%, 26% et 30% pour GeE, GeB et GeC,

respectivement. D’autres paramètres optimaux sont une largeur d’émetteur de 170 nm, une

largeur de liaison entre la base intrinsèque et extrinsèque de 25 nm, une température de

recuit final de 1080°C et une gravure de 200 nm du poly-silicium amorphe. Avec cette

configuration nous avons obtenu un pic de fT de 295 GHz et un pic de 554 GHz pour fMAX.

Les performances en fT et fMAX des dispositifs B5T sont comparés avec les technologies B3T

et B4T sur la Figure 4.42. Alors que l'amélioration de fT est modérée entre les trois

technologies avec une valeur maximale de ~260 GHz pour B3T et B4T et ~280 GHz pour

B5T, fMAX s’est améliorée considérablement avec 17% entre B3T et B4T et 18% entre B4T et

B5T.

Figure 0.8 Comparaison des résultats fT et fMAX pour les technologies B3T, B4T et B5T pour

VCB = 0.5V à 300 K.

5 Conclusion

Le travail mené permet d'obtenir des simulations plus précises en concordance avec les

dispositifs réalisables par la filière avancées BiCMOS de STMicroelectronics, facilitant le

développement et l'optimisation des TBH à base SiGe ou SiGeC.

10- 4

10- 3

10- 2

10- 1

0

100

200

300

400

500

600

IC(A)

f MA

X,

f T (

GH

z)

B5T

B4T

B3T

fMAX

fT

Page 205: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

188

Les modèles physiques utilisés ont été amélioré, permettant une meilleure description du

transport de charge dans la structure. Pour l’environnement de simulation TCAD, il a été mis

en place les modèles physiques adaptées aux alliages SiGeC contraints sur Si. Nous avons

proposé de nouveaux modèles analytiques pour la densité effective d’états pour la base

SiGeC. Nous avons montré l’influence des modèles, en particulier ceux liés à la réduction de

bande interdite en fonction du dopage, qui peuvent conduire à de mauvais résultats s’ils

sont mal utilisés.

Dans cette étude, différentes architectures de TBH avancés ont été proposées pour objectif

d’accroitre les performances en fréquence. Par exemple, une architecture haute

performance faible coût a été étudiée profitant de la réduction de la capacité collecteur-base

(CB). Avec une augmentation de l'épaisseur de l’oxyde collecteur-base de 50 à 150 nm, la

capacité CB est réduite de 40%.

Le développement et de la validation des différents scripts de l’environnement de simulation

étaient au cœur du travail à accomplir. Nous avons élaboré des kits de simulation pour les

technologies avancés de TBH, pour permettre de simuler les caractéristiques statiques et

dynamiques et d'extraire les figures de mérite et les paramètres usuels du transistor. Les

techniques d'extraction de fMAX et de fT ont été exposé, techniques sur lesquelles ont été

élaboré les scripts en langage TCL pour l’environnement de simulation. Ces simulations

sont les premières qui prennent en compte l'impact de la contrainte liée au taux de

germanium et au taux de carbone dans la base, non seulement pour la simulation des

procédés de fabrication, mais aussi pour la simulation électrique. Tous les résultats de

simulation ont été validés avec des mesures expérimentales.

Chaque étape du procédé de fabrication est simulé conduisant à une prédiction précise de

la distribution des dopants actifs, de la distribution de la contrainte et de la géométrie du

dispositif.

Les modèles de diffusion utilisés pour la distribution des dopants dans les alliages SiGeC

ont été expliqués, montrant l'importance du carbone pour réduire leur diffusion. Sur la base

de travaux antérieurs, un important travail de synthèse a été accompli pour les modèles

adaptés aux alliages SiGeC contraints, et leur mise en œuvre pour le simulateur de type

hydrodynamique. Cela concerne la bande interdite, les masses effectives de densité d’état,

les mobilités et les temps de relaxation en énergie des porteurs.

Dans cette thèse nous avons étudié les principaux paramètres des procédés de fabrication

et leur impact sur les performances du TBH. Nous avons identifié les paramètres qui jouent

Page 206: tel.archives-ouvertes.fr · HAL Id: tel-00938619  Submitted on 29 Jan 2014 HAL is a multi-disciplinary open access archive for the deposit and

189

le rôle le plus important dans l'amélioration de fMAX, et un jeu de valeurs a été dégager pour

atteindre de très grandes valeurs pour fMAX sans nuire aux autres caractéristiques

électriques du dispositif.

Parmi l’ensemble des paramètres étudiés, l’étude s’est focalisée sur l’influence du niveau de

dopage en bore dans la base, du taux de carbone et de germanium sur les caractéristiques

électriques du TBH. Ces trois paramètres sont très importants pour l’amélioration des

performances du transistor, ainsi que les limites que l’on peut leur donner sans que cela

entraine des dégradations importantes du comportement électrique. Ainsi, concernant le

pourcentage de carbone sur l’obtention de bonnes performances pour le transistor, on peut

conclure qu’il doit rester inférieur à 1%, avec un optimum d'environ 0,5% pour les valeurs

maximales possibles de fT et fMAX.

En finissant par le développement d’une architecture de TBH désignée B5T, l’étude a

montré comment obtenir une valeur de fMAX supérieure à 500 GHz, remplissant les objectifs

du contrat porteur financier de la thèse.