surface nanofabrication using focused ion beam - · pdf filein a different process known as...

10
Surface nanofabrica Nan Yao 1 and Alexander K E 1 Princeton University, Princeton I 08544 2 Present address: School of Engin Keywords focused ion beam; nan 1. Principles of FIB syst The modern focused ion beam (FIB) sy nanofabrication. It uses a liquid metal io column to produce ions. Gallium is u point, high mass, low volatility, and b from other elements. From the LMIS t focused into a narrow beam by an electr a number of apertures before scanning When the ions impact the sample sur result in the removal of surface atoms Inelastic collisions produce secon Additionally, the incident ions transfer electrons, producing secondary ions. collisions are collected, amplified, and image. 1.1 FIB versus SEM FIB’s use of gallium ions from a field LMI) source provides functionality and of the scanning electron microscope (S more massive than electrons, greatly aff stronger fields to focus and control than the microscope. The high mass of ion sputtering effect can be used to precis applications. For the FIB, imaging resolution belo materials contrast. Since the ion-solid i size and orientation can be obtained us layer is painted on the surface to prev invariably be altered under the FIB due 1.2 FIB Techniques: milling, g Milling makes it possible to locally mo Generally, the sputtering rate is proport used initially, followed by a lower cu imaging, or else the sample will be exce The sputtering process can also be m In this technique, one of several haloge specific absorbency rates enhance the f resolution of around 0.1 µm is achiev efficiency of this technique is typically large volumes of material, and it does n only be used with certain substrates, a substrate while avoiding spontaneous r milling for higher speed. The smallest stage process of initial GAE followed material removal as well as high precisio ation using focused ion beam Epstein 1,2 Institute for the Science and Technology of Materials, 70 Pro neering and Applied, Harvard University, 29 Oxford Street, C nofabrication; microscopy, surface, nanotechnology tems ystem is a major tool for surface on source (LMIS) at the top of its usually used for its low melting because it is easily distinguished the ions are field evaporated and rostatic lens, then passed through g the sample surface (Figure 1). rface, elastic ion-atom collisions s in a process called sputtering. ndary electrons and x-rays. energy to the surface atoms and . These products of ion-atom d analyzed as signals to form an d emission liquid metal ion (FE- applications different from those SEM). Ions are much larger and fecting beam-sample interaction. Also, since ions trave n electrons, different methods are required to control i ns allows FIB to remove surface atoms, something t sely remove atoms from the surface of a material, e ow 10 nm is attainable. The image will show both top interaction depends in part on the crystal grain orienta sing the FIB. The sample must be vacuum compatib vent charge accumulation on the sample. Unlike in to the sputtering effect. gas-assisted etching, deposition, and implantation odify the material surface, prepare cross-sections, an tional to the primary beam current. For milling, a high urrent to create a fine polish. However, a low-curren essively eroded. made material-selective through a process known as g en gases is introduced in the immediate vicinity of the formation of volatile reaction products under ion bom ved with GAE, and holes and cuts can be placed w y a few μm 3 /nC. GAE is much more efficient than sta not cause unwanted redeposition of already-etched mat as it requires a precursor gas that specifically forms reaction. Furthermore, GAE sacrifices some of the p feature sizes it has achieved have been between 200 by ion milling is frequently used when an operation ion. Fig. 1 Schemati ospect Avenue, Princeton, NJ Cambridge, MA 02138 el more slowly and require ions in the optic column of that SEM cannot do. This enabling important milling pographic information and ation, information on grain ble, and often a conductive the SEM, the sample will nd shape sample materials. her beam current should be nt beam must be used for gas assisted etching (GAE). milling site. The material- mbardment. Typical milling within 20 nm [5]. Milling andalone FIB for removing terials. However, GAE can volatile products with the precision of unassisted ion and 300 nm. Thus, a two- n requires bulk volumes of ic diagram of the FIB system. Microscopy: Science, Technology, Applications and Education A. Méndez-Vilas and J. Díaz (Eds.) 2190 ©FORMATEX 2010 ______________________________________________

Upload: dinhthien

Post on 11-Mar-2018

217 views

Category:

Documents


0 download

TRANSCRIPT

Surface nanofabrication using

Nan Yao1 and Alexander K Epstein

1Princeton University, Princeton Institute for the Science and Technology of Materials,

08544 2Present address: School of Engineering and Applied, Harvard University, 29 Oxford Street, Cambridge, MA 02138

Keywords focused ion beam; nanofabrication

1. Principles of FIB systems

The modern focused ion beam (FIB) system

nanofabrication. It uses a liquid metal ion source (LMIS) at the top of its

column to produce ions. Gallium is usually

point, high mass, low volatility, and because it is easily distinguished

from other elements. From the LMIS the ions are field evaporated and

focused into a narrow beam by an electrostatic lens, then passed through

a number of apertures before scanning the sample surface (Figure

When the ions impact the sample surface, elastic ion

result in the removal of surface atoms

Inelastic collisions produce secondary electrons and

Additionally, the incident ions transfer energy to the surface atoms and

electrons, producing secondary ions. These products of ion

collisions are collected, amplified, and analyzed as signals to form an

image.

1.1 FIB versus SEM

FIB’s use of gallium ions from a field emission liquid metal ion (FE

LMI) source provides functionality and applications different from those

of the scanning electron microscope (SEM

more massive than electrons, greatly affecting beam

stronger fields to focus and control than electrons, different methods are required to control ions in the optic column of

the microscope. The high mass of ions allows FIB to remove surface atoms, somethin

sputtering effect can be used to precisely remove atoms from the surface of a material

applications.

For the FIB, imaging resolution below 10 nm is attainable.

materials contrast. Since the ion-solid interaction depends

size and orientation can be obtained using the FIB.

layer is painted on the surface to prevent

invariably be altered under the FIB due to the sputtering effect.

1.2 FIB Techniques: milling, gas

Milling makes it possible to locally modify the material surface, prepare cross

Generally, the sputtering rate is proportion

used initially, followed by a lower current to create a fine polish. However, a low

imaging, or else the sample will be excessively eroded.

The sputtering process can also be made material

In this technique, one of several halogen gases is introduced in the immediate vicinity of the milling site. The material

specific absorbency rates enhance the formation of volatile reaction products under ion bombardment. Typical milling

resolution of around 0.1 µm is achieved with GAE, and holes and cuts can be placed within 20 nm [5]. M

efficiency of this technique is typically a few µm

large volumes of material, and it does not cause unwanted redeposition of already

only be used with certain substrates, as

substrate while avoiding spontaneous reaction.

milling for higher speed. The smallest feature sizes it has achieved have been between 200

stage process of initial GAE followed by ion milling is frequently used when an operation requires bulk volumes of

material removal as well as high precision.

Surface nanofabrication using focused ion beam

Epstein1,2

Princeton University, Princeton Institute for the Science and Technology of Materials, 70 Prospect

Present address: School of Engineering and Applied, Harvard University, 29 Oxford Street, Cambridge, MA 02138

nanofabrication; microscopy, surface, nanotechnology

Principles of FIB systems

system is a major tool for surface

uses a liquid metal ion source (LMIS) at the top of its

Gallium is usually used for its low melting

point, high mass, low volatility, and because it is easily distinguished

from other elements. From the LMIS the ions are field evaporated and

focused into a narrow beam by an electrostatic lens, then passed through

tures before scanning the sample surface (Figure 1).

When the ions impact the sample surface, elastic ion-atom collisions

result in the removal of surface atoms in a process called sputtering.

Inelastic collisions produce secondary electrons and x-rays.

ditionally, the incident ions transfer energy to the surface atoms and

electrons, producing secondary ions. These products of ion-atom

collisions are collected, amplified, and analyzed as signals to form an

ns from a field emission liquid metal ion (FE-

LMI) source provides functionality and applications different from those

SEM). Ions are much larger and

more massive than electrons, greatly affecting beam-sample interaction. Also, since ions travel more slowly and require

fields to focus and control than electrons, different methods are required to control ions in the optic column of

the microscope. The high mass of ions allows FIB to remove surface atoms, something that SEM cannot do. This

precisely remove atoms from the surface of a material, enabling

For the FIB, imaging resolution below 10 nm is attainable. The image will show both topographic in

solid interaction depends in part on the crystal grain orientation, information on grain

size and orientation can be obtained using the FIB. The sample must be vacuum compatible

prevent charge accumulation on the sample. Unlike in the SEM, the sample will

invariably be altered under the FIB due to the sputtering effect.

1.2 FIB Techniques: milling, gas-assisted etching, deposition, and implantation

ling makes it possible to locally modify the material surface, prepare cross-sections, and shape sample materials.

Generally, the sputtering rate is proportional to the primary beam current. For milling, a higher beam current should be

a lower current to create a fine polish. However, a low-current beam must be used for

imaging, or else the sample will be excessively eroded.

The sputtering process can also be made material-selective through a process known as gas assisted etchi

In this technique, one of several halogen gases is introduced in the immediate vicinity of the milling site. The material

specific absorbency rates enhance the formation of volatile reaction products under ion bombardment. Typical milling

ion of around 0.1 µm is achieved with GAE, and holes and cuts can be placed within 20 nm [5]. M

efficiency of this technique is typically a few µm3/nC. GAE is much more efficient than standalone FIB for removing

large volumes of material, and it does not cause unwanted redeposition of already-etched materials. However,

, as it requires a precursor gas that specifically forms volatile products with the

substrate while avoiding spontaneous reaction. Furthermore, GAE sacrifices some of the precision of unassisted ion

The smallest feature sizes it has achieved have been between 200

stage process of initial GAE followed by ion milling is frequently used when an operation requires bulk volumes of

material removal as well as high precision.

Fig. 1 Schematic diagram of the FIB system.

rospect Avenue, Princeton, NJ

Present address: School of Engineering and Applied, Harvard University, 29 Oxford Street, Cambridge, MA 02138

n. Also, since ions travel more slowly and require

fields to focus and control than electrons, different methods are required to control ions in the optic column of

g that SEM cannot do. This

, enabling important milling

image will show both topographic information and

on the crystal grain orientation, information on grain

The sample must be vacuum compatible, and often a conductive

Unlike in the SEM, the sample will

sections, and shape sample materials.

For milling, a higher beam current should be

current beam must be used for

selective through a process known as gas assisted etching (GAE).

In this technique, one of several halogen gases is introduced in the immediate vicinity of the milling site. The material-

specific absorbency rates enhance the formation of volatile reaction products under ion bombardment. Typical milling

ion of around 0.1 µm is achieved with GAE, and holes and cuts can be placed within 20 nm [5]. Milling

/nC. GAE is much more efficient than standalone FIB for removing

etched materials. However, GAE can

forms volatile products with the

some of the precision of unassisted ion

The smallest feature sizes it has achieved have been between 200 and 300 nm. Thus, a two-

stage process of initial GAE followed by ion milling is frequently used when an operation requires bulk volumes of

Schematic diagram of the FIB system.

Microscopy: Science, Technology, Applications and Education A. Méndez-Vilas and J. Díaz (Eds.)

2190 ©FORMATEX 2010

______________________________________________

In a different process known as FIB deposition, a

gas phase organometallic compound is introduced

immediately above the sample in the path of the ion

beam. It adsorbs onto the sample surface and

decomposes when struck by the ion beam and

secondary emission products. Volatile organic

impurities are removed by the vacuum system while

the metal remains deposited on the surface, creating a

thin film (Figure 2). To deposit an oxide insulator, a

siloxane gas and oxygen are used. Decomposition of

the silicon bearing molecule in the presence of

oxygen leads to the formation of a silicon dioxide

layer. A drawback of FIB deposition is lower purity

than chemical vapor deposition (CVD). Cracking of

the organometallic molecules is almost never

complete, leaving organic impurities in the thin film.

Lingering gallium ions can also compromise the

insulating ability of a deposited oxide layer. However,

FIB offers precise, localized deposition and can

control the height of deposition.

The conventional fabrication method for semiconductors uses broad ion beam (BIB) systems and involves patterned

films, or masks, which protect certain areas of the wafer such that only the unmasked areas become implanted with

ions. The FIB’s localized control and precision make it possible to eliminate the mask, introducing new approaches to

control doping gradients and the depth of implantation [3]. However, FIB-induced ion implantation suffers from slow

processing rate.

1.3 The two-beam system

By incorporating FIB and SEM technology in a single machine, we can use the two synergistically to achieve tasks

beyond the limitations of either individual system. In a two-beam system (Figure 3), the ion beam and electron beam are

placed in fixed positions and share their focal points at the “coincidence point,” an optimized position for the majority

of operations, including FIB sample direct writing.

The two-beam system simplifies the reconstruction of the three-dimensional structure and chemistry of a sample by

interpolating two-dimensional SEM/FIB images and ion-assisted secondary ion mass spectroscopy chemical maps of

layers that have been successively exposed through ion milling [18]. The sample can be imaged in real time with the

SEM while the FIB is milling, depositing, etc., allowing for greater accuracy when creating cross sections [19]. The

SEM’s damage-free imaging is especially useful in the final phase of sample preparation for the TEM, since using a

FIB alone inevitably results in sputtering damage.

The two-beam system also offers advantages for the deposition of metal or insulating layers compared to a

standalone FIB. Ion beams may leave the layer with poor insulating properties due to gallium ion impurities. However,

in the two-beam system, the SEM beam can be used to induce deposition, ensuring high insulating quality. FIB systems

can accurately mill holes only down to a diameter of 10 nm. However, with the two-beam system, nanoscale holes can

Fig. 2 Schematic diagram of the platinum deposition process [53].

Fig. 3 Schematic of the SEM and FIB machines, shown in a two-beam configuration [53].

Microscopy: Science, Technology, Applications and Education A. Méndez-Vilas and J. Díaz (Eds.)

©FORMATEX 2010 2191

______________________________________________

first be milled using the ion beam and then filled using electron beam deposition to make the final diameter as small as

4 nm [23].

2. Application of focused ion beam instrumentation

Thus far, we have discussed in depth the many functions and remarkable capabilities of the FIB machine. However, it is

the abundance of applications yielded by these capabilities that is the primary reason for the growing interest of

academia and industry in FIB technology. Many applications have proven commercially successful, while others hold

promise for the near future. We will begin with applications related to surface structure modifications, followed by the

popular application of TEM sample preparation. Finally, we will examine the 3-D imaging applications of the FIB

machine as they relate to ion milling.

2.1 Surface structure modification

As we have seen, FIB technology is capable of precise milling at the micro and nano scales, making it a very attractive

tool for micromachining tasks. A number of industrial areas especially stand to benefit from adopting FIB for these

tasks. The IC industry continually seeks more precise failure analysis and product modification, both provided by FIB.

Circuitry engineers can harness the FIB as a powerful tool for defect location and investigation, defect sample

preparation, circuit rewiring, and surface modification. Meanwhile, the FIB’s lithographic capabilities are becoming

increasingly effective, and studies have shown that they are comparable with current lithographic standards. Skeptics

have pointed out that the FIB is slower since it is a serial process, but speeds are improving, and FIB lithography and

patterning have proven effective with many different materials and specific applications.

It is equally important to consider the limitations of FIB. At the Oxford University Department of Materials, there

has been interest in studying the imperfections involved in surface modification at low ion dosage. A recent study was

conducted in which a Si wafer was bombarded with Ga+ ions at different doses between 10

13 and 10

19 ions cm

-2, where

Dose = ( ) ( )-15

ion exposure patternI t A 1.602 10 ⋅ ⋅ × (1)

Rectangles with depths of about 4 nm and dimensions of 4 x 1 µm were milled and investigated, along with grids

consisting of lines 5 µm by 20 nm. Atomic force microscopy

was used to characterize the topography of the altered

surface. It was found that results differed for varying doses

of ions. In almost all cases, edge protrusions were noted,

ranging from 0 nm (1013

ions cm-2

) to a maximum height of

approximately 1 nm when below a dosage of 1017

ions cm-2

.

Edge effects were mainly blamed on Ga+ implantation from

stray ions and redeposition of secondary and backscattered

material [24].

2.1.1 Integrated circuit analysis and modification

The FIB system has found widespread use in the

semiconductor industry for applications in defect analysis

and modification of prototype integrated circuits. The ion

beam is capable of precisely milling a cut to disconnect a

wire while depositing conducting material in another area to

connect two pieces of wire, like a micro-soldering iron, and

this is all done with minimal damage to the wafer itself.

When investigating integrated circuits, the lack of visible

evidence on the material surface often makes it difficult to find the defect location; the failure cannot be repaired if it

cannot be found. This problem has been analyzed at FEI Europe, Ltd., and a technique utilizing only the FIB machine

has been developed to effectively locate the area of concern. FEI examined a transistor containing a certain gate

(approximately 1 µm2) under which a thin gate oxide layer had broken down due to excessive voltage application

(Figure 4). The source and drain lay on opposite ends of the gate, which sat atop the gate oxide layer, all of which

resided on a silicon substrate. TEM samples of the failure site (<100 nm in diameter) were desired to investigate the

mechanics behind the problem, but first the area of failure had to be located. To do so, an outline of trenches was first

milled around the suspected region using high beam currents. Removal of thin slices along with fast and minimally

destructive imaging was then performed until the structure became apparent. Finally, low beam currents were used to

uncover the region (focusing and stigmation adjustment were performed away from the region to avoid unnecessary

damage). It was found that these basic steps succeeded in efficiently locating a defective area [25]. After locating the

defect, the FIB can be used again for repairing it.

Fig. 4 Combination SEM and FIB passive voltage contrast

images. The FIB portion (right) shows an area of memory

cells after exposing the wings of the floating gates. One

floating gate appears bright, indicating that it is grounded and

therefore the tunnel or gate oxide has failed [25].

Microscopy: Science, Technology, Applications and Education A. Méndez-Vilas and J. Díaz (Eds.)

2192 ©FORMATEX 2010

______________________________________________

2.1.2 Lithography and patterning

In the area of surface modification, FIB can be used for lithography and pattering for nanofabrication. FIB demonstrates

clear advantages over other techniques for high resolution patterning and depth of focus. Because of its precision and

high level of lithography detail, the FIB merits study as a practical alternative. However, these strengths are balanced by

an unavoidable drawback: slower throughput. This problem was closely studied at the University of Cambridge, where

Li et al. replicated FIB-generated structures with nano-contact imprinting. Silicon wafers were patterned (10 µm single

pixel line and 20 nm diameter dots) with a 30kV Ga+ ion beam at currents of 1, 4, 11, and 70 pA. Analysis was

performed using the AFM and negative replicas were fabricated by pouring polydimethylsiloxane (PDMS) prepolymer

over the print master, curing, and lifting it off. Dwell time, ion dosage, and beam current were characterized, and the

resulting moulds were satisfactory in most respects [26].

With patterning rates on the order of 0.1-1 µm3/nC incident ion current, speed has been considered the principal

limitation for FIB’s applicability. However, Liu et al has recently reported significantly more rapid feature fabrication.

Patterns were fabricated on a 120 nm thin film of polymethylmethacrylate (PMMA) that was spin coated on a Si wafer.

A Ga+ ion beam with beam currents of 1-70 pA was used to fabricate feature sizes of 60 nm diameter and 5 nm depth,

and Liu reports milling times of 20 µs per feature and a material removal rate of 1000 µm3/nC. Other features were also

created orders of magnitude faster than previously reported. In fact, all sputtering yields were remarkably high, which

Liu attributed to the etched polymer undergoing depolymerization aided by the ion beam, a phenomenon usually seen at

higher temperatures [27].

Recently, a group at the University of Limerick in Ireland developed a two-step lithography process called negative

resist image by dry etching (NERIME). The method combines exposure to Ga+ ions from the FIB with reactive ion

etching (RIE). This leads to enhanced patterning capabilities, as the process eliminates some of the limitations of basic

FIB lithography, such as low penetration depth and sample damage [28].

2.1.3 Materials alteration and characterization

We have already seen how the FIB system is a powerful tool for tasks involving material alteration. Its milling and

deposition abilities allow the creation of almost any three-dimensional microstructure (Figure 5), and its cross-

sectioning capability facilitates a more complete characterization of materials. This section will highlight only a small

sampling of the vast range of studies on FIB applications.

Gallium Nitride (GaN) is a widely applicable semiconductor material whose high melting point and light sensitivity

make it well suited for applications in both high temperature devices and light detecting or emitting devices [29, 30].

Unlike traditional methods, the FIB does not need an etch mask for manipulation because of its local specificity and

precision. In a study conducted at the University of Bristol, FIB etching onto GaN structures was observed with both

AFM and SEM techniques. The group used a FIB in conjunction with a magnetic sector mass analyzer to produce a 20

nm Ga+ beam and to etch square patterns of 49 µm

2 onto a 1.2 µm

thick GaN specimen. They employed doses of 500, 1000, 1500,

and 2000 pC/µm2, all without an etch mask. The etch depths and

surface roughness were then closely studied by examining SEM

images obtained using a secondary electron detector and data from

a secondary ion mass spectroscopy (SIMS) analysis. The group

found that the etch rate increased linearly with ion dose,

corresponding to a linear increase in etch depth with increasing

dosage. They also examined edge effects and found that edge

sections had a low roughness size of under 0.1 µm. While slower

than traditional methods, FIB etching on GaN nevertheless proved

advantageous in its high quality production and versatility [30].

Fig. 5 Creation of a nanoscale 3D structure with FIB

deposition [54].

2.7µm

0.4µm

Microscopy: Science, Technology, Applications and Education A. Méndez-Vilas and J. Díaz (Eds.)

©FORMATEX 2010 2193

______________________________________________

In other experiments, ion bombardment on hydrogenated silicon-carbon alloy films (a-SiC:H) has been shown to

induce different opacities in crystal layers, depending on whether or not they have been bombarded (Figure 6). This is

of great interest because large optical contrast in a-SiC:H enables a new material for opto-electronic devices and for

severe environmental conditions. A recent study

compared the effects of Ga+ and Sn

+ bombardment with

previous experiments that studied As+. Films were

prepared by two different methods: a glow discharge

technique (GD), and reactive magnetron sputtering (SP).

The samples were bombarded at 50 keV (Ga+) and 60

keV (Sn+), with an ion-beam intensity of 2 µA/cm

2 and

doses between 1015

and 1017

ions cm-2

. Both films

showed massive increases (greater for the GD-prepared

film) in optical absorption coefficients compared to

previous experiments with As+, indicating that the

formation of optical contrast was much more effective.

FIB’s material altering capabilities as part of an

integrated two-beam system were vividly demonstrated

by the work of Shinji Matsui at the Himeji Institute of

Technology in Japan. It was shown that using the milling

and deposition techniques, complex 3D structures could

be modeled based on software-defined inputs, such as

bitmap files, using a digital patterning generator. CAD

files were used in conjunction with ion beam deposition

to create spring and bellow shaped 3-D helical structures

with part dimensions as small as 80 nm (Figure 7) [32].

Many studies have shown that the FIB is also a very

powerful tool for characterization of nanoscale objects.

For instance, we expanded the versatility of the FIB by

adding high-resolution strain mapping software. The two

work together seamlessly to provide a new method for in

situ measurement of the residual stresses in thin films. The

FIB initially mills narrow slots at precise locations which

serve to relieve residual stress in the material and displace

the surrounding film. The strain mapping software is then

used to measure these displacements and calculate the

residual stresses that were present [33].

In a different experiment, we studied the lubricated

wear of steel couples coated with W-DLC. The ion beam

in a two-beam system was used to cut thin cross-sections

at specific locations, which were observed using the

electron beam [34]. This enabled the study of the sub-

surface condition of the coating and substrate. Similarly,

the FIB was used to characterize sub-surface damage in a study of foreign object damage (FOD) in a thermal barrier

system. The conditions were set to simulate those of a turbine engine, and the FIB observations indicated damage in the

thermal barrier coating. The study showed that these changes were caused by particle impact, confirming the presence

of FOD [35]

More recently, complex biomaterials have been altered and characterized by FIB. Using a two-beam FIB, we

examined the micro-architecture of the red abalone shell’s nacreous layer, which consists of 0.5 µm-thick inorganic

platelets and proteinaceous organic interlayers. The ion beam was used to mill successive cross-sections of the nacre

sample at submicron intervals, while the electron beam was used to collect images of each section (Figure 8). By

revealing the structure below the surface, it was possible to detect the propagation of screw dislocations through the

aragonite layers, a phenomenon previously unobserved in the material.

Fig. 6 Optical contrast pattern written into a GD a-Si0.85C0.15:H

film deposited on Corning 7059 glass substrate. The

transparent and opaque regions represent un-implanted and

implanted parts of the film, respectively. The patterning has

been performed with the help of a program-controlled Ga+-

focused ion beam. The minimum feature size is 2.5 µm. [31]

Fig. 7 Nanostructures fabricated by FIB deposition: a) SEM

image of microcoil with a 600-nm coil diameter, 700-nm coil

pitch, and 80-nm wire diameter, b) microbellow with a 100-nm

thickness, 800-nm pitch (courtesy of Shinji Matsui of Himeji

Institute of Technology of Japan).

Microscopy: Science, Technology, Applications and Education A. Méndez-Vilas and J. Díaz (Eds.)

2194 ©FORMATEX 2010

______________________________________________

2.2 TEM Sample preparation for imaging and analysis

The most widely used FIB application is the preparation of transmission electron microscopy (TEM) samples,

specifically the creation of electron transparent samples by milling [36-41]. The TEM collects data from electrons that

are transmitted or diffracted through the sample, and it is very useful for examining atomic structure and composition of

solid materials. In order for transmission to be possible, TEM samples must be extremely thin: no more than 200 nm

thick and ideally less than 50 nm. In addition, the sample must be cleanly extracted from the bulk specimen since defect

analysis, a major use of TEM, is highly location-specific. Before FIB technology, the conventional sample preparation

involved tedious grinding and polishing which limited the productivity of research groups. FIB technology, however,

has increased both the speed and quality of TEM sample preparation.

FIB milling provides a number of advantages over previous methods for TEM sampling. Since the sample can be

rotated and oriented without being removed from the chamber, much time is saved during material removal. A defective

area on a sample can be easily located by thinning different regions until the defect is located, all before the milling

process begins. Also, the dimensions of samples can be controlled much more precisely. Finally, the FIB allows the

user to observe the sectioning of a sample in real time, which provides valuable feedback for adjustments. Simultaneous

imaging is greatly enhanced in a two-beam FIB system, where the SEM can be used for high-resolution, nondestructive

imaging. Indeed, the FIB’s capabilities of precise cutting and polishing make it uniquely qualified to prepare TEM

samples. Cairney has taken full advantage of FIB’s superior capabilities to create samples of “large, uniform areas with

relative ease” for his group’s TEM work studying TiN and TiAlN thin films [37]. Similarly, Volkert used the FIB to

prepare TEM samples for work on synthetic fluorapatite-gelatine composite particles. Volker reports that the FIB-

prepared samples make “high-quality, crack-free specimens with no apparent ion beam-induced damage” [38].

The most common and practical method of sample preparation by FIB is the lift-out technique, so called because the

final step involves the lifting out of the sample area by a tiny glass rod. Prior to milling, the bulk sample is generally

coated with a conducting metal to eliminate charging. Once secured in the chamber, the region of interest is located and

a trench is dug around the area in a step-like manner. The X and Y dimensions of the rectangular trenches must be in a

ratio of 2:1 so that the entire trench can be imaged. The FIB is able to perform rapid milling to thin out the region,

which is then cut along the attached edges, lifted out with a glass rod, and placed on the TEM grid for analysis (Figure

9). The lift-out technique was used by Wang to prepare CMOS cross-sectional specimens starting with integrated circuit

wafers. Success is reported in producing “a large and uniform sectional specimen in a very short time.” On the other

hand, Wang mentions a disadvantage of the lift-out technique, namely that a finished specimen cannot be re-fabricated

if it is too thick; however, newer techniques using the FIB have been able to overcome this problem [39, 40].

Fig. 8 Abalone shell two-beam cross-section images showing the presence and propagation of screw dislocations

through multiple layers of nacre. A screw dislocation core platelet (a) was selected for analysis, after which trenches

were precisely milled through it by FIB and observed via SEM. The resulting side views (b-d) display the complex

morphology of the biomaterial and reveal features that were previously impossible to view [55].

Microscopy: Science, Technology, Applications and Education A. Méndez-Vilas and J. Díaz (Eds.)

©FORMATEX 2010 2195

______________________________________________

A structural problem that can occur during thinning with the lift-out technique is warping in the sample. As the

material is thinned to less than 200 nm, stresses in the sample cause strain and bending. These bulk stresses can be due

to poor mounting or are sometimes naturally present in the internal structure of the material. In either case, a study by

FEI Europe Ltd. found that cutting one or both of the edges (depending on the degree of the warping) of the almost fully

thinned sample would alleviate the stress by creating space for relaxation. This technique has been shown to solve

almost all warping problems [41, 42].

The FIB system’s direct writing capabilities in TEM sample preparation have been exploited not only for materials

science, but also for environmental science applications. For example, copper run-off from the roofs of buildings has

become a serious environmental concern. One proposed solution has been to install filter systems in major runoff areas.

In a study of this concept by the Swiss Federal Institute for Environmental Study and Technology, iron hydroxide was

found to be the most effective Cu filter. The research looked at Cu adsorption on suspended specimens in the water and

in the iron hydroxide. TEM analysis of iron hydroxide samples prepared by the FIB lift out technique showed that the

iron hydroxide was effectively decreasing the copper runoff [43].

2.3 Sample Imaging – Defining the Third Dimension

As previously discussed, FIB systems can image as well as modify sample surfaces. As a result, the FIB machine is

uniquely capable of three-dimensional sample imaging. Three-dimensional images can be constructed from a series of

two-dimensional images, which are obtained by milling off layers of a sample using the ion beam and imaging with the

SEM. Interpolation of the two-dimensional images yields a three-dimensional representation, as well as data about the

grain structure and other features. The FIB’s singular ability to reveal the internal structure of a material through milling

or gas assisted etching (GAE) is valuable to many researchers because it provides important information on the material

properties and behaviour. For example, the grain structure and grain boundaries yield extensive insight into a material’s

mechanical and electrical properties. Internal elemental distribution can also be obtained using SIMS [18, 46]. Dunn

and Hull recently

demonstrated this ability of the

FIB to create three-

dimensional volume

reconstructions. Their method

used FIB serial sectioning and

linear interpolation to produce

well-defined images of the

sample’s 3-D structure (Figure

10) [47].

Fig. 9 Left: SEM image of sample immediately before lift out (courtesy of FEI Company). Right: image of warping effect. FIB cuts

will be made near both endpoints to relieve residual stresses and decrease warping.

Fig. 10 (a) Schematic of sequential FIB slicing for 3-D reconstruction and a set of slices

taken through a sample; (b) reconstructed surface of a nano-indented PVAC film [56].

‘Second’

milled

Staircase cuts shape

cut

Pt protective strap

Cross section’s ‘face’

b. a.

2 µm

1 µm 5 µm

Microscopy: Science, Technology, Applications and Education A. Méndez-Vilas and J. Díaz (Eds.)

2196 ©FORMATEX 2010

______________________________________________

Cross-sectioning with elemental spatial mapping using

the FIB machine has also been useful for investigating the

effects of additive exposure. A study at the University of

Tokyo used FIB to mill cross-sections in non-woven fibers

that contained additives for spatial and distribution

analysis. Researchers added Chimassorb 944, an additive

known to improve the functionality of polyester fiber, to

non-woven fiber samples. Elemental distribution data was

gathered by a novel method using FIB/SIMS technology.

Samples of altered fiber were successively cross-sectioned

along each of three different planes: perpendicular to the

fibers, at a 45° angle, and parallel to the length of the

fibers. SIMS mapping was performed on the cross

sections, yielding the desired chemical distribution. Signals

for C-, O2

-, AlO

-, Na

+, K

+, Ca

+, CaO

+, and C

+ ions were

detected, analyzed and mapped for three-dimensional

spatial analysis. Voids were found in the material where

the additive seemed to concentrate demonstrating the

success of the combined FIB/SIMS/SEM method for three-

dimensional sample analysis [48]. Another example of 3D

-sample imaging is shown in Figure 11.

2.4 Damage to the sample induced by the FIB

Having discussed many of the advantages of the FIB, we

now address its drawbacks. First of all, bombardment with

Ga+ ions usually results in some level of inadvertent

gallium implantation within the surface layers of the

sample. The bombardment can also cause the formation of

an amorphous layer, as atoms are ejected by collisions and

create vacancies. Finally, preferential sputtering or re-

deposition can lead to non-uniform thickness. It is crucial

to understand the nature and causes of sample damage to

be able to recognize and minimize these effects.

Given this consideration, many studies of FIB-induced

damage have been undertaken. For example, Rubanov

observed cross-sections of FIB-milled trenches to examine

the types and range of damage incurred. It was discovered

that side-wall damage layers were amorphous, a direct

result of using the gallium beam. Another finding was that

there was a layer of material rich in gallium at the bottom-

wall layers, and that local re-crystallization had occurred.

Lowering beam voltage from 30 keV to 10 keV succeeded

in reducing the thickness of the damage layer by half. In

both cases, re-deposition frequently occurred in more

complex milling patterns [49].

Every instrument in imaging and analysis has certain limitations, so those of the FIB system should by no means

discourage its use. Indeed, it is the very ability to alter the sample that makes FIB useful for so many applications. In

addition, there are several methods under development to reduce undesired sample damage, each with some pros and

cons. Sutton studied the effect of sample tilt, and noted that the depth of damage could be decreased by tilting the

specimen 4 to 8 degrees at the end of the thinning process. This technique, however, cannot create parallel sidewalls for

chemical analysis [50]. Alternatively, the previously discussed GAE technique has been shown to not only increase the

etching rate, but also reduce the rate of re-deposition and gallium implantation [51]. The optimal method depends on the

specific needs of the user and the experiment. Regardless, the FIB remains, without a doubt, a singularly versatile and

adaptable tool. The FIB’s powerful nanofabrication capabilities have already led to numerous breakthroughs and new

applications in nanotechnology research [52-57], with the promise of many more in the future.

Acknowledgements The partial support of Austin Akey, Anton Li and the National Science Foundation-MRSEC program through

the Princeton Center for Complex Materials (DMR-0819860) and the New Jersey Commission of Science and Technology is

gratefully acknowledged.

Fig. 11 3D FIB-SEM reconstruction with transparency

applied to highlight phases formed in heat affected zone of a

stainless steel weld. The top two images are frames extracted

from the original movie. Below are reconstruction views. A

common feature detail is identified by the dashed lines. Axes

units are microns in bottom panel image [57].

Microscopy: Science, Technology, Applications and Education A. Méndez-Vilas and J. Díaz (Eds.)

©FORMATEX 2010 2197

______________________________________________

References

[1] Krohn VE. Liquid ion source for heavy particle propulsion. Progr. Astronautics and Rocketry. New York: A. C. Press;

1961. 73-80.

[2] Krohn VE, Ringo GR. Ion source of high brightness using liquid metal. Appl. Phys. Lett. 1975; 27:479.

[3] Orloff J, Utlaut M, Swanson L. High Resolution Focused Ion Beams: FIB and Its Applications. New York: Kluwer

Academic/Plenum Publishers; 2003.

[4] Jamison R, Ph.D. Thesis: Computational and Experimental Quantification of Focused Ion Beam Damage in Silicon During

TEM Sample Preparation. University of California, Berkeley; 2000.

[5] Phaneuf MW. Applications of focused ion beam microscopy to materials science specimens. Micron. 1999; 30:277.

[6] Van Doorselaer K, Van den Reeck M, Van den Bempt L, Young R, Whitney J. How to Prepare Golden Devices Using

Lesser Materials. Proc. 19th International Symposium for Testing and Failure Analysis. 1993.

[7] Russell PE, Stark TJ, Griffis DP, Gonzales JC. Chemically Assisted Focused Ion Beam Micromachining: Overview,

Recent Developments and Current Needs. Microsc. Microanal. 2001; 7S2:928.

[8] Phaneuf MW, Li L. FIB Techniques for Analysis of Metallurgical Specimens. Microsc. Microanal. 2000; 6S2:524.

[9] Casey JD, Phaneuf M, Chandler C, Megorden M, Noll K, Schuman R, Gannon TJ, Krechmer A, Monforte D, Antoniou N,

Bassom N, Li J, Carleson P, Huynh C. Copper device editing: Strategy for focused ion beam milling of copper. J. Vac. Sci.

Technol. B. 2002, 20:2682.

[10] Taniguchi J, Ohno N, Takeda S, Miyamoto I, Komuro M. Focused-ion-beam-assisted etching of diamond in XeF2. J. Vac.

Sci. Technol. B. 1998; 16:2506.

[11] Stanishevsky A. Patterning of diamond and amorphous carbon films using focused ion beams. Thin Solid Films. 2001; 398-

399:560.

[12] Adams DP, Vasile MJ, Mayer TM, Hodges VC. Focused ion beam milling of diamond: Effects of H20 on yield, surface

morphology and microstructure. J. Vac. Sci. Technol. B. 2003; 21:2334.

[13] Gerlach R, Utlaut M. Focused ion beam methods of nanofabrication: room at the bottom. Proc. SPIE Int. Soc. Opt. Eng.

2001; 4510:96.

[14] Mitsuishi K, Shimojo M, Han M, Furuya K. Electron-beam-induced deposition using a subnanometer-sized probe of high-

energy electrons. Appl. Phys. Lett. 2003; 83:2064.

[15] Khizroev S, Bain JA, Litvinov D. Fabrication of nanomagnetic probes via focused ion beam etching and deposition.

Nanotechnology. 2002; 13:619.

[16] Allameh SM, Yao N, Soboyejo WO. Synthesis of self-assembled nanoscale structures by focused ion-beam induced

deposition. Scripta Mater. 2004; 50:915.

[17] Strobel M, Heinig KH, Möller W. Can core/shell nanocrystals be formed by sequential ion implantation? Predictions from

kinetic lattice Monte Carlo simulations. Nucl. Instr. Meth. B. 1999; 148:104.

[18] Hull R, Dunn D, Kubis A. Nanoscale tomographic imaging using focused ion beam sputtering, secondary electron imaging

and secondary ion mass spectrometry. Microsc. Microanal. 2001; 7S2:34.

[19] Gnauck P, Zeile U, Rau W, Schumann M. Real time SEM imaging of FIB milling processes for extended accuracy in cross

sectioning and TEM preparation. Microsc. Microanal. 2003; 9S3:524.

[20] Zimmermann G, Chapman R. In-situ dual-beam (FIBSEM) techniques for probe pad deposition and dielectric integrity

inspection on 0.2mm technology DRAM single cells. Proc. 25th International Symposium for Testing and Failure Analysis.

1999.

[21] Lipp S, Frey L, Lehrer C, Frank B, Demm E, Pauthner S, Ryssel H. Tetramethoxysilane as a precursor for focused ion

beam and electron beam assisted insulator (SiOx) deposition. J. Vac. Sci. Technol. B. 1996; 14:3920.

[22] Rice L. Semiconductor failure analysis using EBIC and XFIB. Microsc. Microanal. 2001; 7S2:514.

[23] Huey BD, Langford RM. Low-dose focused ion beam nanofabrication and characterization by atomic force microscopy.

Nanotechnology. 2003; 14:409.

[24] Young RJ, Moore MV. Chapter 12: Dual beam (FIB-SEM) systems. Introduction to Focused Ion Beams: Instrumentation,

Theory, Techniques and Practice. Gianuzzi LA, Stevie FA, eds. New York: Springer; 2005.

[25] Haythornthwaite R, Nxumalo J, Phaneuf MW. Use of the focused ion beam to locate failure sites within electrically

erasable read only memory microcircuits. J. Vac. Sci. Technol. A. 2004; 22:902.

[26] Li HW, Kang DJ, Blamire MG, Huck WTS. Focused ion beam fabrication of silicon print masters. Nanotechnology. 2003;

14:220.

[27] Liu Y, Longo DM, Hull R. Ultrarapid nanostructuring of poly(methylmethacrylate) films using Ga+. Appl. Phys. Lett.

2003; 82:346.

[28] Arshak K, Mihov M, Arshak A, McDonagh D, Sutton D. Novel dry-developed focused ion beam lithography scheme for

nanostructure. Microelectron. Eng. 2004; 73-73:144.

[29] Harris G, Zhou P. The growth and characterization processes of gallium nitride (GaN) nanowires. National

Nanofabrication User Network. 2001; 2001:34.

[30] Flierl C, White IH, Kuball M, Heard PJ, Allen GC, Marinelli C, Rorison JM, Penty RV, Chen Y, Wang SY. Focused ion

beam etching of GaN. MRS Internet J. N. S. R. 1999; 4S1, G6:75.

[31] Bischoff L, Teichert J, Kitova S, Tsvetkova T. Optical pattern formation in a-SiC: H films by Ga+ ion implantation.

Vacuum. 2002; 69:73.

[32] Anzalone PA, Mansfield JF, Giannuzzi LA. Dual-beam milling and deposition of complex structures using bitmap files and

digital patterning. Microsc. Microanal. 2004; 10S2:1154.

[33] Kang KJ, Yao N, He MY, Evans AG. A Method for in-situ measurement of the residual stress in thin films by using the

focused ion beam. Thin Solid Films. 2003; 443:71.

Microscopy: Science, Technology, Applications and Education A. Méndez-Vilas and J. Díaz (Eds.)

2198 ©FORMATEX 2010

______________________________________________

[34] Yao N, Evans AG, Cooper CV. Wear mechanisms operating in diamond like carbon coatings in contact with machined

steel surfaces. Surface and Coatings Technology. 2004; 179:306.

[35] Chen X, Wang R, Yao N, Evans AG, Hutchinson JW, Bruce RW. Foreign object damage in a thermal barrier system:

mechanisms and simulations. Materials Science and Engineering A. 2003; 352:221.

[36] Giannuzzi LA, Drown JL, Brown SR, Irwin RB, Stevie FA. Focused ion beam milling and micromanipulation lift-out for

site specific cross-section TEM specimen preparation. Mat. Res. Soc. Symp. Proc 1997; 480:19.

[37] Cairney JM, Harris SG, Munroe PR, Doyle ED. Transmission electron microscopy of TiN and TiAlN thin films using

specimens prepared by focused ion beam milling. Surf. Coatings Technol. 2004; 183:239.

[38] Volkert CA, Busch S, Heiland B, Dehm G. Transmission electron microscopy of fluorapatite-gelatine composite particles

prepared using focused ion beam milling. J. Microsc.-Oxford. 2004; 214:208.

[39] Rossie BB, Shofner TL, Brown SR, Anderson SD, Jamison MM, Stevie FA. A method for thinning FIB prepared TEM

specimens after lift-out. Microsc. Microanal. 2001; 7S2:940.

[40] Yaguchi T, Konno M, Kamino T, Hashimoto T, Onishi T, Umemura K. FIB micro-pillar sampling technique for 3-D stem

observation and its application. Microsc. Microanal. 2003; 9S2:118.

[41] Wang ZG, Kato N, Sasaki K, Hirayama T, Saka H. Electron holographic mapping of two-dimensional doping areas in

cross-sectional device specimens prepared by the lift-out technique based on a focused ion beam. J. Electron Microsc.

2004; 53:115.

[42] Walker JF. Preparing TEM sections by FIB: stress relief to straighten warping membranes. Inst. Phys. Conf. Ser. 1997;

157:469.

[43] Mavrocordatos D, Steiner M, Boller M. Analytical electron microscopy and focused ion beam: complementary tool for the

imaging of copper sorption onto iron oxide aggregates. J. Microsc.-Oxford. 2003; 210:45.

[44] Sivel VGM, Van den Brand J, Wang WR, Mohdadi H, Tichelaar FD, Alkemade PFA, Zandbergen HW. Application of the

dual-beam FIB/SEM to metals research. J. Mircrosc.-Oxford. 2004; 214:237.

[45] Lou J, Shrotriya P, Allameh S, Yao N, Buchheit T, Soboyejo WO. Plasticity length scale in LIGA nickel MEMS structure.

Mat. Res. Soc. Symp. Proc. 2002; 687:41.

[46] Inkson BJ, Möbus G. 3D determination of grain shape in FeAl by focused ion beam (FIB) tomography. Microsc.

Microanal. 2001; 7S2:936.

[47] Dunn DN, Hull R. Three-dimensional volume reconstructions using focused ion beam serial sectioning. Microsc. Today.

2004; 12(4):52.

[48] Takanashi K, Shibata K, Sakamoto T, Owari M, Nihei Y. Analysis of non-woven fabric fibre using an ion and electron

multibeam microanalyser. Surf. Interface Anal. 2003; 35:437.

[49] Rubanov S, Munroe PR. FIB-induced damage in silicon. J. Microsc.-Oxford. 2004; 214:213.

[50] Sutton D, Parle SM, Newcomb SB. Focused ion beam damage: its characterization and minimization. Inst. Phys. Conf. Ser.

2001; 168:377.

[51] Russell PE, Stark TJ, Griffis DP, Phillips JR, Jarausch KF. Chemically and geometrically enhanced focused ion beam

micromachining. J. Vac. Sci. Technol. B. 1998; 16:2494.

[52] Utlaut M. Micro-machining and mask repair. Focused Ion Beam System: Basics and Applications. Yao N, ed. Cambridge:

Cambridge University Press; 2007.

[53] Yao N. Introduction. Focused Ion Beam System: Basics and Applications. Yao N, ed. Cambridge: Cambridge University

Press; 2007.

[54] Fujii T. Focused ion beam system as a multifunctional tool for nanotechnology. Focused Ion Beam System: Basics and

Applications. Yao N, ed. Cambridge: Cambridge University Press; 2007.

[55] Yao N, Epstein A, Akey A. Screw Dislocations and Spiral Growth in Abalone Nacre. J. Mat. Res. 2006; 21(8):1939-1946.

[56] Langford RM. Preparation for physico-chemical analysis. Focused Ion Beam System: Basics and Applications. Yao N, ed.

Cambridge: Cambridge University Press; 2007.

[57] Principe EL. Advances in Real-Time SEM Imaging during Focused Ion Beam Milling: From 3D Reconstruction to End

Point Detection. Focused Ion Beam System: Basics and Applications. Yao N, ed. Cambridge: Cambridge University Press;

2007.

Microscopy: Science, Technology, Applications and Education A. Méndez-Vilas and J. Díaz (Eds.)

©FORMATEX 2010 2199

______________________________________________