space applications centre indian space research … · 2019. 11. 16. · indian space research...

32
SAC/DPUR/2019008833 REQUEST FOR PROPOSAL (RFP) For Rate Contract of FABRICATION, ASSEMBLY, TEST & EVALUATION Of RF 4 Way Power Dividers November 2019 SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA

Upload: others

Post on 05-Sep-2020

4 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

SAC/DPUR/2019008833

REQUEST FOR PROPOSAL (RFP)

For

Rate Contract of

FABRICATION, ASSEMBLY, TEST &

EVALUATION

Of

RF 4 Way Power Dividers

November 2019

SPACE APPLICATIONS CENTRE

INDIAN SPACE RESEARCH ORGANISATION

GOVERNMENT OF INDIA

AHMEDABAD - 380 015

INDIA

Page 2: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

SAC/DPUR/2019008833

About the RFP:

Space Applications Centre (SAC), Ahmedabad, a unit of Indian Space Research

Organization (ISRO), requests vendor to submit quotation for the rate contract of fabrication,

assembly, testing and evaluation of two type of power dividers as detailed in this Request for

Proposal (RFP).

The details of this are given in the following sections:

Exhibit A: Introduction & Scope of Work

Exhibit B: Design Details of the two types of power dividers

Exhibit C: Reliability and Qualification Requirements

Page 3: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

SAC/DPUR/2019008833

A-1

EXHIBIT A

Introduction & Scope of Work:

1. Introduction

Space Applications Centre, Ahmedabad (SAC), a unit of Indian Space Research Organization

(ISRO) has successfully developed two types of Power Dividers, working in X-Band. This

Request for Proposal (RFP) is for Fabrication, Assembly, Testing and delivery of Power

Dividers as per proven designs of ISRO.

2. Scope of Work

The vendor is required to fabricate, test, characterize and deliver FM Power Dividers in

form of rate contract as per ISRO’s approved drawings, fabrication sequence and Test Plan:

a. Type – 1 Power Divider: Vendor has to carry out Duroid substrate assembly and

temporary test box assembly. Testing as per test plan and screening as per

R&QA exhibit is required.

b. Type – 2 Power Divider: Complete package assembly including MIC substrate

assembly, functional testing, performance optimization, final cover closing and

testing as per R&QA requirements of Exhibit C.

SAC will provide components, packages, Duroid substrates, Test Boxes for the fabrication

and realization as Free Issue Material (FIM) to the vendor for QM and FM units.

Prerequisites:

1. Assembly line process for components mounting & soldering on Duroid,

cleanroom facility, fabricator and inspector for MIC activities of the vendor

should be ISRO qualified and certified at the time of bid. All these details along

with valid certifications shall be furnished to SAC along with technical quote.

2. Vendor should have the capability of assembly and testing of all the MIC as well

as Package level assembly and testing as per requirement specified in this RFP.

3. In case of change in materials, it will be intimated at the time of execution

4. This RFP is strictly for rate contract only.

5. Only Indian vendor having fabrication facility in India should considered.

The Power Dividers comprise of MIC based circuits using distributed / discrete

components.

Each Power Divider needs to be fabricated, performance optimized, tested and delivered

along with the test & characterization data carried out over the SAC defined specifications

and as per SAC R&QA requirements.

Vendor shall procure all consumables like gasket, absorbers, fasteners, RTV, araldite etc.

as per procurement specifications approved by QA SAC.

The Power Divider need to be fabricated following & conforming to the Reliability &

Quality Assurance (R&QA) requirements.

Note: 1. Out of the two types of Power Dividers, qualification model of any one type or both

shall be realized as per SAC’s discretion.

Page 4: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

SAC/DPUR/2019008833

A-2

2. The following document provides brief details of both the Power Dividers to give an

approximate estimate of the amount and scope of work. Nevertheless, approved

fabrication sequence and other approved drawings will be only provided / shared upon

placement of purchase order. There might be minor modifications in the drawings or

other details shown in this document as per project requirement and performance

optimization. The test procedures and parameters are also tentative and will be finalized

as per project requirement. It will be mutual responsibility of SAC and vendor for

performance optimization and finalization.

The fabrication of both the Power Dividers includes:

1) Procurement of all consumables like gasket, absorbers, fasteners, RTV, araldite etc as per

procurement specifications approved by QA SAC.

2) Gold plated Mechanical Packages, Test Boxes, Duroid substrates, chip resistors and SMA

connectors required for Power Divider assembly will be provided as free issue material

(FIM). It may be noted that upon request and proper justification from the vendor SAC may

provide a maximum of 20% extra qualified and screened FIMs for the fabrication of a

particular Power Divider unit. Any components required beyond this quantity has to be

procured and managed by vendor on its own and should be approved by SAC QA.

3) Compatibility of all Mechanical Packages, Test Boxes, Duroid substrates, connectors etc.

4) Component mounting/populating and assembly and of all the Duroid circuits as per the

approved assembly drawings and as per the approved fabrication sequence.

5) Assembly, Integration and Testing of Individual Power Dividers as per the approved

fabrication sequence and harness drawings, complying with interface details provided as

fabrication sequence and Test and Evaluation documents. In case of any deviations from

the specifications, the performance needs to be optimized. SAC will provide approved

fabrication sequence and harness drawings of all the Power dividers.

6) Carrying out screening test of the power dividers as per approved screening matrix & test

plan.

Note:

1. It will be vendor’s responsibility to obtain the necessary approval/clearance from SAC

wherever required. The Vendor shall be responsible for carrying out the activities

mentioned above and also for actions arising out of non-conformances at various stages.

2. SAC may request for minor modification if needed as per project requirement. These

changes shall be implemented by vendor.

3. If required, SAC has the right to modify/change the test parameters at the time of execution

4. SAC will carry out periodic audit inspections at various stages of fabrication

Detailed Quantum of Work:

The total work briefly described above for the fabrication of both types of Power Divider

units is detailed in upcoming section for convenience of the vendor for understanding the

quantum of work involved. The total work can be typically divided in the following

stages,

(A) Procurement of consumables

(B) Compatibility

Page 5: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

SAC/DPUR/2019008833

A-3

(C) Components mounting, soldering, assembly and packaging i. Compatibility check is performed to ensure the mechanical compatibility

of package with all MIC substrates and components.

ii. Mounting of components like chip resistors on Duroid substrates as per

fabrication sequence.

iii. Fitting of Duroid substrates in actual packages.

iv. Ribbon bonding from substrate to connectors.

v. RF absorber mounting on package top cover (if required).

vi. Conductive RTV/ araldite application (if required).

(D) Testing and performance optimization

The unit testing is carried out in following phases:

i. Functional Testing & Optimization.

ii. Verification of performance of the circuit over given temperature range

iii. Functional Testing as per specification listed in Exhibit B and C

iv. Temporary test box cover closing for Type – 1 Power Divider in Test Box

v. Final cover closing for Type – 2 Power Divider in Gold Plated Mechanical

Package.

(E) Data to be sent as per SAC format & clearance by SAC based on review

The fabrication shall be carried out by vendor as per SAC approved fabrication

sequence and test documents.

Notes:

(1) The units are required to undergo all specified tests successfully, meeting all the

specifications, before delivery to SAC.

(2) These Power Dividers are to be used as modules for an integrated subsystem. The

detailed tests (like EMI/EMC, vibration test, Thermo-vacuum etc. as per project

requirement) will be carried out as an Integrated Subsystem. Any deviation

occurring due to these Power Dividers during the T&E of the Integrated

Subsystem will call for a rework/ re-fabrication by the Vendor as per SAC

guidelines. Vendor shall comply.

2.1 Responsibilities

The following table defines the responsibilities between SAC and the vendor.

Sr.

No. Activity Responsibility

1

Fabrication document ( SAC approved mechanical drawings,

components list, assembly details and fabrication sequence) SAC

2

Testing Procedures (To be prepared by Vendor and to be

reviewed and approved by SAC). Vendor

Page 6: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

SAC/DPUR/2019008833

A-4

3 Providing FIM SAC

4 Procurement of Consumables Vendor

5 Component Mounting, Assembly, Packaging, rework, etc Vendor

6 Absorber fixing on RF cover (if required) Vendor

7 Calibrated Test Equipment & Facilities Vendor

8 Functional testing, tuning and optimization Vendor

9 RTV and araldite application (if required) Vendor

10 R & QA audit before cover closing SAC

11 Cover closing clearance & RF cover closing Vendor

12 Final functional test verification and temperature test data Vendor

13 Documentation of test results & compliance chart Vendor

14 Review of Test Results SAC

15 Shipment clearance SAC

16 Delivery of Fabricated units Vendor

2.2 Delivery Schedule

1. QM and FM units:

Activity Activity

Carried by

Time

schedule

Delivery of all approved drawings, fabrication sequence and

FIMs for a particular Power Divider*

SAC T0

Delivery of QM Power Divider Vendor T0+ 3 months

Delivery of FM Power Divider

Vendor T0+ 2 months

*FIM of not more than 20 power dividers shall be given at a time to vendor.

Total Duration of this Rate Contract is 2 years which may be extended further for 1 year

on pro-rata basis.

Notes: A detailed delivery schedule shall be presented in a bar chart form showing sequence

and time of all important activities e.g. assembly, performance testing and final test and

evaluation for future monitoring of the project.

Page 7: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

SAC/DPUR/2019008833

A-5

2.3 Guidelines / Information to Vendor

It is mandatory that ISRO qualified MIC fabrication and assembly process line of the

vendor including fabricator & inspector shall be qualified at the time of bid.

Certificates shall be attached along with the quote. SAC may visit the vendor facility to

access their capability after receiving the quote.

Vendor shall submit a document describing its present capacity for fabrication, test and

storage facilities as well as necessary technical expertise to build, optimize, test and deliver

the high reliability product in terms of certified man-power, test facilities and equipment

and fabrication facilities.

As the design is of SAC, continuous guidance from SAC about the fabrication, TBD

finalization, testing procedures, issuing of FIMs etc. may be required. For this continuous

frequent interaction of SAC personnel at vendor site may also be needed. Quotation from

the vendors for the following procedures during the time of tender submission, shall only be

considered:

i. Complete functional testing and Initial Bench Test setup with experienced* testing

man power.

ii. MIC rework stations and certified man power.

iii. Test harness, accessories and fabrication consumable associated with point no. 1, 2.

*Experienced testing man power: It is preferred to have three-man power which the vendor

shall dedicate for this project activity. The vendor should submit that the above manpower

has experience of more than 2 years in RF circuit testing and subsystem testing with

knowhow of Power Divider Testing.

Vendor shall inform SAC the location of each test.

Vendor is expected to provide the security / guarantee for the free issue material provided

by SAC as per the Govt. Of India purchase procedures.

The Vendor is requested to examine the RFP thoroughly and offer compliance / non-

compliance point by point while specifying its offered value against the specification.

Vendor is required to quote in itemized format / cost-break-up format as per the following:

1. Type – 1 Power Divider

S.N. Description Unit Price

1 Fabrication and Assembly

2 FM unit Testing charge as per R&QA requirement.

3 QM unit Testing charge as per R&QA requirement.

2. Type – 2 Power Divider

S.N. Description Unit Price

1 Fabrication and Assembly

2 FM unit Testing charge as per R&QA requirement.

3 QM unit Testing charge as per R&QA requirement.

Page 8: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

SAC/DPUR/2019008833

A-6

Since the information is proprietary to SAC-ISRO, all necessary documents mentioned in

this RFP will be shared after NDA and the placement of order.

Any clarification regarding this RFP if required may be obtained before submitting the

quotation.

This work is in form of a rate contract, whereon upon successful completion of realization

of Power Dividers, the quantity of Power Dividers to be realized by the vendor may be

increased in future if required by the project.

This is a Rate Contract. Hence, depending upon time to time Project requirements, SAC

will decide the quantity of units to be ordered & realized. SAC may terminate the contract

before the completion of the whole duration. L1 will be decided based on the total cost of items. The complete order will be placed on a

single vendor. The order cannot be split for individual items.

2.4 Proprietary Rights

All Documents, Designs, Specifications, realized circuits, modules and subsystems for this

activity will be the property of SAC and without permission of SAC it shall not be shared or

provided to any third party.

2.5 Deliverables

i. Characterization data and report of all individual power divider.

ii. Systematic Log-history of all fabrication processes of all units with values and

identification numbers of all components and material assembled during the fabrication

phase. All report related to non-conformances of all stages of fabrication.

iii. Systematic Log-history of tests performed on individual circuits.

iv. Systematic report and data on deviation of fabrication processes for units, including

change of components with proof of approval from SAC for the same.

v. All report related to non-conformances of all stages of fabrication for units.

vi. Delivery of Power Divider units.

Delivery Norms

All circuits and subsystems provided by SAC will have clearly readable identification

marking on them, as per SAC’s defined nomenclature. All reports, history sheets and

data provided by vendor should refer these markings only.

All hardware should be packaged and delivered as per Reliability requirement

mentioned in EXHIBIT C.

Page 9: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

SAC/DPUR/2019008833

A-7

EXHIBIT B:

Design Details of both the Power Dividers:

Type-1 Power Divider: 4 Way RF Power Divider

The design of the Type -1 power divider circuit will be provided by SAC, Ahmedabad.

Critical specifications of these RF Power Divider are port-to-port amplitude-balance and

phase-balance. Mechanical package drawings given in this exhibit are tentative. Final dxf

drawings will be provided after acceptance of order by the vendor.

Specifications:

Sr. No. Parameters Units Specification

1 Frequency Band MHz 9600±300

3 Insertion loss dB < 2

4 I/P Return Loss dB >10

5 O/P Return Loss dB >10

6 Port-Port Isolation dB >15

7 Amplitude Imbalance dB (rms) ±0.5 (max)

8 Phase Imbalance deg (rms) 10

Circuit Design:

Figure 1-1

Component Details:

SAC will provide all the components, MIC and PCB substrates as FIM except the consumables.

Page 10: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

SAC/DPUR/2019008833

A-8

List of Consumables:

Note: Any other consumable viz. gold ribbon, etc that is needed for fabrication of the power divider is

to be procured by the vendor

Approximate list of components to be provided by SAC to the vendor:

Sr No Component

1 Gasket

2 Absorber sheets

3 Fasteners

4 RTV

Sr No Component Part No/ Style Value Qty Req./ Unit

1 RT Duroid (Patterned) 1

2 Mechanical Packages Power Divider test box 1

3 SMA Connectors SMA (F) Square Flange Tab Type 5

4 Chip Resistor 0402 Resistor/ 0705 Resistor 100 ohm 3

Page 11: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

SAC/DPUR/2019008833

A-9

Type-2 Power Divider: 4 Way RF Power Divider

The design of the Type -2 power divider circuit will be provided by SAC, Ahmedabad.

Critical specifications of these RF Power Divider are port-to-port amplitude-balance and

phase-balance. Mechanical package drawings given in this exhibit are tentative. Final dxf

drawings will be provided after acceptance of order by the vendor.

Specifications:

Sr. No. Parameters Units Specification

1 Frequency Band MHz 9600±300

3 Insertion loss dB < 2

4 I/P Return Loss dB >10

5 O/P Return Loss dB >10

6 Port-Port Isolation dB >15

7 Amplitude Imbalance dB (rms) ±0.5 (max)

8 Phase Imbalance deg (rms) 10

Circuit Design:

Figure 2-1

Page 12: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

SAC/DPUR/2019008833

A-10

Component Details:

SAC will provide all the components, MIC and PCB substrates as FIM except the consumables.

List of Consumables:

Note: Any other consumable viz. gold ribbon, etc that is needed for fabrication of the power divider is

to be procured by the vendor

Approximate list of components to be provided by SAC to the vendor:

Note: All the specifications, drawings and component details mentioned in the document are typical and

tentative. The final specifications, drawings and component details will be provided along with the approved

document and other relevant approved documents as per latest project requirement and ISRO PAX

requirement. Nevertheless, the above specifications, drawings and component details can be considered as

indicative to understand the scope of work involved.

Sr No Component

1 Gasket

2 Absorber sheets

3 Fasteners

4 RTV

Sr No Component Part No/ Style Value Qty Req./ Unit

1 RT Duroid (Patterened) 1

2 Mechanical Packages Power Divider housing 1

3 SMA Connectors SMA (F) Square Flange Tab Type 5

4 Chip Resistor 0402 Resistor/ 0705 Resistor 100 ohm 3

Page 13: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

EXHIBIT C

R & QA requirements for fabrication, testing and delivery of RF Power distribution network RISAT-2A

1.0 INTRODUCTION This document describes the Product Assurance requirements to be followed during fabrication, assembly and testing of ordered subsystems.

Mandatory requirements: It is mandatory that MIC assembly process line of the vendor shall be qualified by ISRO including fabricator & inspectors at the time of quote. Necessary certificate shall be attached along with the quote. SAC may visit the vendor facility to access their capability after receiving the quote. It is mandatory that all assembly process line, clean room, fabricators & inspectors shall be used to fabricate the ordered units.

2.0 APPLICABLE DOCUMENTS: ISRO-PAS-100 Issue-3, Nov 2012

Non-conformance control requirements for ISRO projects

ISRO-PAS-201 Issue-3, Nov 2012

Failure Reporting, Analysis and corrective Action procedures

ISRO-PAS-202, Issue 2, Aug 2014

Environmental Test Specification Requirements for ISRO Space craft

ISRO-PAS-207 Storage, Handling and Transportation requirements for Electronic Hardware

ISRO-PAX-300 Issue-5, Nov 2012

Workmanship Standards for the Fabrication of Electronic Packages

MIL-STD-202G Test Method Standard Electronic and Electrical Component Parts

MIL-STD-461E Requirements for the control of Electromagnet Interference Characteristics of Subsystems and Equipment

MIL-STD – 883E Test Method Standard for Microcircuits

3.0 RELIABILITY: 3.1 Design Life: The Units shall be fabricated to meet all the requirements for use on-board

spacecraft with a minimum life of 5 years.

Page 14: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

The Units shall be capable of meeting all the functional requirements at various stages of spacecraft assembly and storage as follows.

3 years of storage life at various levels of spacecraft assembly.

5 years in controlled environmental conditions. The vendor shall follow the SAC recommended method for storage and re-test criteria, in case of longer storage.

4.0 ENVIRONMENTAL SPECIFICATIONS:

4.1 Non-operating environment:

The units shall be capable of withstanding following environmental conditions. a) Temperature range : -55°C to +85°C b) Pressure : Ambient to 10-10 torr c) Relative Humidity : Up to 95% without condensation of water at

+40°C (Applicable for ground conditions only)

4.2 Operating environment:

a) Temperature range : For Flight Model : -10°C to +60°C

For Qualification Model : -15°C to +65°C b) Pressure : Ambient to Hard Vacuum (better than 10-6 torr) c) Relative Humidity : Up to 95% without condensation of water at

+40°C (Applicable for ground testing only)

4.3 Steady state acceleration / Vibration / Shock Unit shall meet the Steady state acceleration / vibration, shock and test requirement as specified in subsequent paragraphs.

4.4 EMI / EMC: Unit shall meet the EMI/EMC requirements as specified in MIL-STD-461E (Test applicability is shown in Table – 2).

4.5 Space Radiation: Localized shielding requirements for TID wherever applicable are defined and applicable drawings are supplied. Any modification in package design may result in modification of these local shielding requirements.

5.0 PARTS AND MATERIALS: (which ever applicable) Parts shall be selected from qualified parts list (QPL) and procured from the manufacturer or his authorized representative. Vendor shall submit parts list, detailing quality level, Part type, part number, package style, name of manufacturer and procurement specifications as a minimum for review and approval by SAC. Electronic Parts, Following quality level of part are to be procured (whichever applicable).

DEVICE TYPE QUALITY LEVEL

Page 15: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

Chip Resistors MIL ‘S’ LEVEL or ESCC level B

RF Connectors i) ESCC level-B OR ii) Qualified as per MIL-PRF-39012, with Group A & B testing.

Note: 1. Components with date code older than five years shall not be used. For

stock items, details of procured quality level and date code shall be provided to SAC. Such parts / items shall be subjected to necessary re-screening as per test plan provided by SRA/SAC before their usage.

2. Vendor shall ensure that all the parts and fabricated hardware are stored

under controlled environment in a Bonded-Store till their actual use as per procedure outlined in ISRO-PAS-207.

3. Any failure observed in above components during fabrication,

optimization and testing shall need to be informed to SAC immediately with condition under which failure observed. If the failure of above components are more than 10 % of lot, than same lot shall not be used until further clearance from SAC.

Procurement specifications for components shall be generated by the vendor and provided for review & approval to SAC. However, if required, these will be provided as and when required. All the components shall be procured with manufacturer CoC and Space test data pack. If component of any other quality is required to be used, then it should be from a space qualified manufacturer for similar component and shall be procured with space level screening and QCI. However, usage of such component will be at SAC desecration.

5.1 Inspection of parts: 5.1.1 Electronic parts: All the components (including FIM) shall be subjected to incoming inspection.

Traceability shall be maintained for parts with serial numbers, from incoming inspection to the final units. Wherever applicable, nnecessary CoC consisting of compliance to components procurement specification, test data review & details of incoming inspection shall be supplied to SAC.

5.1.2 Mechanical parts: Mechanical packages, boxes, covers, clamps, test jig etc. shall be fabricated by Vendor / Sub-vendor as per SAC approved drawings. These shall undergo 100% dimensional measurements and visual inspection including plating / thermal painting workmanship point of view. All the bought out mechanical components including fasteners, spring, plain washers, nuts etc. shall be procured by the vendor in accordance with procurement specifications approved by SAC. Incoming screening of fasteners shall include review of Manufacturer’s Test Report (MTR) containing measured values of mechanical, physical properties as well as chemical elemental analysis. & Certificate of Compliance (CoC), as well as inspection on 100%

Page 16: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

basis GO / NO-GO gauge inspection, and dimension inspection on sampling basis by the vendor. Following traceability information shall be maintained by the vendor for records & SAC audit purpose. a) Raw material identification details, relevant in-house incoming inspection &

test reports. b) Materials batch / lot Nos. information c) Parts identification records d) Inspection reports for both bought out & in-house fabricated hardware. e) Process documentation like process log, applicable process documents etc. f) Certificate of Compliance (CoC) supplied by sub-vendor/manufacturer for

bought out items.

5.2 Materials: Vendor shall ensure to use ISRO approved / qualified materials. Procurement of all the mechanical and electronic fabrication materials shall be done as per SAC Approved Materials List (AML). Vendor shall review & clear Material Test Report (MTR) & CoC of the manufacturer. Non-metallic materials shall have a Total Mass Loss (TML) of less than 1% and Collectable Volatile Condensable Materials (CVCM) of less than 0.1% when subjected to a test condition of +125ºC and 1x10-06 torr pressure for 24 hours. If CoC contains outgassing parameters, then separate test not required. All inspection and clearance records for the materials shall be maintained by the vendor. Ferrous and non-ferrous material, if used, used shall be of corrosion resistance type or suitably treated to resist corrosion caused by atmospheric conditions existent in storage or normal operational conditions. Only non-magnetic materials shall be used; except where use of magnetic materials are essential. Materials, which are nutrients for fungus, shall not be used. Any other materials, which are not available in SAC AML, shall first be qualified as per SAC test plan & cleared by SAC prior to their use. However, usage of such material will be at SAC desecration. Materials list consisting of the name of vendor, shelf life, qualifying agency, location of application in the sub-systems shall be submitted to SAC for approval. Only Space qualified Printed Circuit Boards (PCBs), approved photo mask, patterned MICs etc. shall be used during fabrication of hardware. Bare PCBs / MICs shall be procured from ISRO/ESA/MIL qualified vendor. All the materials shall be used within their shelf life.

6.0 PROCESSES: ISRO-PAX-300 / 305 workmanship standards shall be followed for the fabrication work. All electronic fabrication processes like substrate metallization, pattern generation, substrate cutting, parts mounting/assembly, etc. shall be ISRO qualified. Similarly, the processes used for surface treatment of the box like plating and coating in realizing the hardware shall also be ISRO qualified. All the processes shall be carried out in accordance with PIDs reviewed by QA-SAC.

Page 17: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

Vendor is required to provide a list of processes to be used to realize the hardware along with their qualification status, at the time of bid. In case of processes qualified by other agencies, process qualification reports shall be submitted to QA SAC for review. After review of previous qualification plan, SAC will decide for requirement of full qualification / delta qualification of such processes. However, right for decision on feasibility of such a qualification activity will be retained by QA SAC. Fabrication work shall be carried out on ISRO qualified fabrication line by ISRO certified operators.

7.0 VERIFICATION OF PROCESS QUALITY (VOPQ): To ensure consistency of fabrication processes, witness samples shall be prepared & processed along with each batch/lot of patterned PCB (DSB, MLBs), Duroid and plated, painted / coated mechanical parts & components. This witness samples shall be subjected to batch acceptance testing and shall meet the specified requirements as per test plan agreed by SAC-QA. Only items from accepted batches/lots shall be use in FM fabrication. Any non-conformances observed on these samples shall be a cause for rejection of fabricated batch/lot and a final disposition shall be taken after discussion with SAC.

8.0 FABRICATION DOCUMENTATION:

Product Realization Document (PRD): All the activities involved for realization of space FM units shall be addressed in this document. Vendor shall prepare PRD, identifying all the activities, methods / procedures & inspection check points that will be followed for realization of the units. For traceability of fabrication/assembly/testing activities, Vendor shall maintain, a fabrication history sheets for each unit, where-in all the fabrication activities and QC inspection comments are logged. This shall include any non-conformance reported by QC and its close out, if any. Process Identification Document (PID): The PID shall include detailed manufacturing process flow chart indicating critical process parameters, inspection checks points, instruments used in manufacturing these components including parameter setting etc. for all stages of fabrication, assembly and testing. The copy of PID shall be sent to SAC for review. Only approved PIDs shall be followed. Fabrication Sequence: A fabrication sequence detailing each step of fabrication and QC inspection shall be worked out and provided to SAC before start of fabrication. SAC approved fabrication sequence shall only be implemented. The assembly flowchart shall include the following: (a) Flow of fabrication activities (b) Approved drawing nos. (c) Name of processes and PID numbers.

Page 18: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

(d) Intermediate electrical testing (at module level) (e) Handling instructions (f) Permanent/Temporary torque values. (g) Specific instructions, caution notes etc. (h) Any environmental test which is a part of fabrication process or for

electrical performance check and selection TBD component values (i) Marking & Identification of unit.

9.0 QUALITY CONTROL (QC): Vendor’s in-house Quality Control (QC) shall carry out 100% inspection of all the fabricated / processed units as well as on-line inspection during the electronic & mechanical fabrication activity as per SAC reviewed PID. Non-destructive bond-pull test (NDT) on gold ribbon shall be carried out on 100 % basis, prior to sealing / cover closing of the units. All fabrication and inspection work shall be carried out by ISRO certified fabricators / inspectors of vendor. Online inspection of all the fabricated hardware and witness samples shall be carried out by QC of the vendor. Required process control documents are to be generated and maintained by the vendor, which will be reviewed by QA - SAC during QA audit.

10.0 QA AUDIT:

The QA audit shall be carried by QA SAC on vendor QC accepted hardware from both electronic & mechanical point of view at various stages of hardware realization. Following may be noted.

The frequency for QA audit shall be decided by SAC and intimated to the Vendor.

Audit shall cover Electronic & Mechanical aspects for the following,

a) Etched PCB /Duroid b) Machined package/ cover etc. c) Surface finishing (plating / painting) d) Wired PCB / Duroid e) Packaging & fixing of cards/ connectors & internal harness f) Audit for integrated package level.

SAC shall audit all related facilities, Fabrication processes, cleanliness records, overall documentation, parts & materials evaluation/test reports, etc.

The Vendor shall generate close outs of discrepancies observed during audit and submit the same to QA-SAC for review and acceptance, stage wise clearance shall be given by QA SAC.

Virtual Audit (Photographic & Documentation): Based on confidence level build-up on fabricated hardware, SAC may opt for virtual audit of hardware, provided vendor facility, process line, their quality system, etc. found satisfactory.

Page 19: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

Check list for document to be sent to SAC for Virtual audit will be provided when required.

11.0 MARKING AND IDENTIFICATION:

The units shall be identified by assigning unique serial number on the exterior surface of both package & cover by a suitable process applicable for space use. Marking shall not degrade the performance and quality of the unit. In addition to functional markings like input / output, frequency etc. following marking shall appear on each unit.

Unit Name Unit Number Specification Number / Contract Number Serial Number Name of the Manufacturer Date of Manufacture

The permanency of the marking shall be sufficient to withstand the specified environmental conditions and normal cleaning operations using Isopropyl Alcohol and other cleaning solvents. The marking method to demonstrate the same shall be specified by the manufacturer.

12.0 TEST PROGRAMME: 12.1 Model Philosophy:

Following model shall be fabricated 1. Qualification Model (QM) 2. Flight Model (FM)

12.2 Qualification Model (QM):

QM shall incorporate final electrical, mechanical design, configuration and interfaces. Electronic parts, materials and processes used shall be of final type and quality level that are adequate to successfully pass the qualification testing. The QM unit shall be subjected to full qualification level tests, as shown in Table-2, to verify design adequacies and margins with respect to the following:

Electrical performance Mechanical and Physical characteristics Manufacturing processes, drawings and procedures Tuning, calibration and alignment etc.

Failure, if any, during qualification shall be analysed thoroughly. Necessary corrective action shall be taken, based on failure analysis. Re-test or requirement of full qualification shall be reviewed based on the type of failure & failure analysis. Re-test plan shall be prepared by SAC and shall be implemented by vendor. Fabrication of Flight Model (FM) shall be taken up after successful completion of qualification exercise, review & acceptance of test results by SAC.

12.3 Flight Model (FM):

Page 20: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

The FM units represent the final electrical & mechanical design and configuration using screened Hi-Rel parts, material and processes of qualified standard and workmanship. Applicable tests for QM/ FM are given in Table-2 below. QM to be realized & tested for Type-2 only.

Table – 2: Tests applicability Sr. No.

Test QM

(Type-2) FM

(Type-1) FM

(Type-2)

1 Physical Measurements X X X

2 Visual Inspection (internal & external)

X X X

3 Initial Bench Test (IBT) X X X

4

Storage tests

a) Cold & Hot Storage X - -

b) Humidity Storage X - -

5 Temp. Operational test X X X

6 EMI / EMC RE-102. RS-103 (at 5 V/m)

X -

7 Sine Vibration X - -

8 Random Vibration X - X

9 Thermo-Vacuum test X - -

10 Mechanical Shock X - -

11 Final Bench Tests (FBT) X X X

12 Final Visual inspection X X X

Note: 1. ‘X’ denotes applicability of test. 2. At the end of each environmental /mechanical test, visual inspection and

electrical performance check shall be carried out. parameters to be measured before, during and after environmental test are (1) Insertion loss (2) Return Loss and (3) Isolation (4) Amplitude balance and (5) Phase balance

3. Vendor shall send the Test data & vibration report to SAC for review & clearance for next applicable test.

4. Humidity test may be performed on test coupons fabricated along with actual hardware and subjected to all the tests same as the unit package and the substrate material.

5. Suitable buffer connectors shall be provided to protect input / output connectors of the device from wear and tear due to mating / de-mating with other connectors during testing. Record of number of time mating / de-mating of connectors shall be maintained.

13.0 FAILURE:

Deviation from the agreed electrical specifications shall be treated as non-compliance, and as cause to reject the units. Any failure observed at any stage during testing shall be reported to SAC immediately. This shall be followed by detailed failure analysis by Vendor, clearly identifying the cause of failure as random or design related. Any modifications required in electrical, mechanical or process related aspects shall

Page 21: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

be approved by SAC. In case of mechanical or electrical failures; a retest plan or modification in the test plan may be necessary. Based on the failure analysis, such retest plan / modified test plan shall be decided and implemented after approval by SAC. This may include re-qualification of process or the unit / Proto flight level testing.

14.0 TEST PLAN: All the specification requirements shall be verified by testing. Vendor is required to generate test procedure, clearly showing test set-up and connection details including groundings. This test procedure shall be sent to SAC for review & clearance. Testing shall be done as per QA-SAC approved test procedure and levels using calibrated test & measuring instruments.

Acceptance of the test set-up shall be done jointly by SAC and vendor before the testing of the units. This shall also be audited, during the testing activity. Vendor shall inform the readiness of the test set-up as well as the schedule well in advance. Representatives from SAC may participate in the testing. History of measurements should be logged with reason & corrective actions for repeated measurement during the T&E. Input level required for testing need to be as per specified by the project. (a) Measurement Accuracy / Calibration: The measurement accuracy, calibration, etc. of the test instruments shall be verified and the factors shall be stated in the test plan and procedures document submitted by the vendor. All test & measuring instruments / unit shall have valid calibration status at the time of testing. (b) Temperature Stabilization: Stabilized temperature is considered to be achieved when the package base is within the allowed tolerance on the specified test temperature level. Also, the rate of change of stabilized temperature should be less than 3°C per hour. In practice, the temperature is observed for 30 minutes to meet this criterion. (c) Maximum Allowable Tolerance in Test Conditions:

Parameter Tolerance

Temperature 1C Amb. Pressure 3C under vacuum

Atmospheric Pressure Greater than 0.1 Torr

Below 0.1 Torr

5%50%

Relative Humidity 5%

Acceleration 10%

Vibration Frequency 2% above 25 Hz 0.5 Hz below 25 Hz

Sine Vibration Amplitude Frequency

Sweep rate

10% ± 2% above 25 Hz & 0.5 Hz below 25 Hz 5%

Page 22: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

Time ± 1%

Random Vibration Power Spectral Density

Overall (g-rms)

Duration

± 1.5 dB up to 300 Hz & ±3 dB beyond 300 Hz10% + 10% / - 0%

Shock Response Spectrum Test Amplitude

3dB

NOTE: The instruments shall be capable of measuring at least 10 times better than tolerance limits.

TOLERANCE OVER OPERATING TEMPERATURE RANGE :

1) Insertion loss : + 0.05 dB 2) Return loss : + 1.0 dB 3) Isolation : + 1.0 dB 4) Amplitude balance : +0.1 dB 5) Phase balance : 0.1 deg.

Note : The above are related to the initial value at Ambient.

14.1 Test parameters / condition: Following paragraphs give details of various tests to be performed on the units. The test parameters to be measured before / during / after each of environmental test are,

1) Insertion loss 2) Return Loss 3) Isolation 4) Amplitude Balance 5) Phase Balance

14.2 Physical Measurement:

All the units shall be examined for

Mass & Flatness at the unit mounting surface.

Dimensions 14.3 Visual Inspection (internal & External):

All the units shall be examined visually at 10 X magnification before and after each environmental test. The units shall be inspected for surface finish, plating, mechanical and workmanship related defects.

14.4 Initial Bench Test (IBT) : This test shall be performed to verify compliance to all the electrical parameters and will be taken as reference for all subsequent tests.

14.5 Storage test: Cold & Hot Storage:

Page 23: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

Temperature storage test is applicable to QM only. The unit shall be subjected to minimum storage temperature for 24 hours. After this storage, electrical and visual inspection shall be performed. The test shall be repeated for at maximum storage temperature. Units shall be in non-operating conditions for the storage duration. Visual inspection shall be performed after the test. Pre and post electrical measurements shall also be carried out outside the chamber.

Humidity Test: This test shall be conducted on QM units. Humidity storage test shall be carried out as per MIL-STD-202, Method 103, Condition 'A', except the duration shall be 168 hours. The humidity test may be performed on test coupons also.

After the humidity test, unit shall be visually inspected. There shall be no

visual defect like, degradation of plating/coating, discoloration, patches, etc. Parameters shall be measured after the test.

14.6 Temperature operational test : This test shall be performed to check the performance specifications of units at the specified Lowest and Highest operating temperatures. The units shall be placed in a suitable thermal chamber, and connected with the external test set-up. Dwell time at temperature extreme shall be at least 6 hours. All the inspection and tests results shall be recorded on the Test Data Record sheets and reported in the Final Test Report.

14.7 EMI / EMC: The units shall be subjected to EMI / EMC tests as per MIL-STD-461E. Test

applicability is shown in Table-2. Any additional tests, if required by SAC, shall also be carried out by the vendor. Plots taken during all the tests shall be kept for verification.

14.8 Vibration test:

Sine / Random vibration tests shall be carried out on applicable units. Visual & electrical measurement shall also be performed after each Sine and Random vibration test. Vibration levels given below are tentative. Levels may be changed depending upon mechanical configuration. Vendor shall generate a vibration test report in a standard format, as shown in Annexure-2 which will be sent to SAC for review and acceptance.

14.8.1 Resonance search Pre & Post Vibration, resonance search shall be carried out in all the three axes

as per following levels. Natural resonance frequency (Fn) shall be greater than 100Hz.

Frequency (Hz) Amplitude

10 - 2000 0.5 g

Sweep rate 2 Oct / Minute

Page 24: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

Resonance search success criteria are as under,

(i) < 10% in frequency shifts for modes with effective mass >10% (ii) < 20% in amplitude shifts for modes with effective mass >10%

Vibration test sequence :1. For QM/PFM Unit (all axis) LLS, Sine Vib, LLS,

Functional test, LLS Random Vibration, LLS 2. For FM Unit (all axis) LLS Random Vibration, LLS

14.8.2 Sine vibration :

Sine vibration test shall be conducted on QM unit. The unit shall be in non-operating condition for the duration of vibration test.

Frequency (Hz) Normal to mounting plane

5-20 12.4 mm

20-50 20. g

50-70 15 g

70-100 8 g

Sweep rate 2 oct / min

Frequency (Hz) Parallel to mounting plane

5-20 9.3 mm

20-70 15 g

70-100 8 g

Sweep rate 2 oct / min

14.8.3 Random vibration:

Units shall be subjected to random vibration tests with levels as given below in passive mode. Frequency verses PSD plots shall be obtained and shall be kept along with the test results for verification.

(a) For QM unit:

Frequency (Hz)

Power spectral density

Normal to mounting plane

(Z-axis)

Parallel to mounting plane

(X & Y axes)

20-100 + 3 dB/octave + 3 dB/octave

100-700 0.30 g2/Hz 0.1 g2/Hz

700-2000 -6 dB/octave -3 dB/octave

Overall RMS 18.1 g 11.8 g

Duration QM/PFM 120 sec. / 60 sec 120 sec./ 60 sec

(b) For FM units:

Frequency (Hz)

Power spectral density

Normal to mounting plane

(Z-axis)

Parallel to mounting plane

(X & Y axes)

20-100 + 3 dB/octave + 3 dB/octave

100-700 0.13 g2/Hz 0.044 g2/Hz

700-2000 -6 dB/octave -3 dB/octave

Page 25: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

Overall RMS 12.1 g 7.9 g

Duration 60 seconds

14.9 Thermovacuum test:

Thermo-vacuum test shall be conducted under vacuum condition of 1X10-06 torr or better. The temperature limits shall be as specified in environmental specifications. Thermo vacuum test profile shall include five cycles of temperature extremes as shown in figure-1. Temperature limits for first cycle shall be as specified for non-operating condition. Unit shall be off for this cycle. The unit shall continuously be ON (with Power-up) during remaining four (4) cycles. Dwell time at each temperature extreme shall be four (4) Hours minimum for all the cycles. The electrical performance measurements shall be carried out during High and Low temperature soak (dwell time). The duration of the soak may be extended for completion of performance measurement tests.

14.10 Mechanical shock Test: QM unit shall be subjected to mechanical shock test. The test levels shall be as follows. Q=10

Frequency (Hz) SRS

100 - 1000 12 dB/oct

1000 - 5000 1000 g

No. of Axis X, Y & Z

No. of Shock 2 per Axis

14.11 Final Bench Test (FBT):

The final bench test shall be conducted for measurement of electrical parameters as given in test matrix. The test shall be conducted at ambient temperature. All the test results shall be recorded and any performance deviation with respect to Initial Bench Test shall be evaluated and shall be within specified limits.

14.12 Final visual inspection:

The unit shall be inspected for plating, surface, finish, mechanical deviations, corrosion and workmanship related defects. No visual degradation shall be allowed after completion of PFM or FM test.

15.0 NON-CONFORMANCE MANAGEMENT:

Effective non-conformance management mechanism shall be established by the vendor. Major non-conformance at any stage, which affects the quality & reliability or the fabrication process of entire lot, shall be reported to SAC immediately with photographs, nature of non-conformance observed, etc. Disposition shall be taken in consultation with QA, SAC. However, for all the minor non-conformances, approval from SAC is not mandatory, and shall be reviewed and discussed by the vendor’s NCR board. This NCR board shall be constituted by vendor in consultation with SAC. Non-conformance report shall be generated by the concerned agency and shall be reviewed and disposed-off by the NCR board.

Page 26: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

Any non-conformance affecting the fabrication and / or inspection procedure shall be reported to SAC. Changes in related documents shall be implemented and revision number of the document shall be updated. This shall be followed by updating all the documentation (fabrication, inspection, test etc). All the non-conformances with the disposition given by the NCR board shall be reported to SAC periodically. This shall be followed by report of close out action completion, if any. For all the non-conformance report, SAC representative shall be the focal the person.

16.0 CONFIGURATION CHANGE CONTROL

The manufacturer shall follow an effective configuration change control procedure during the fabrication stages. Plans for both non-conformance and configuration change control shall be made for submission to SAC for review and approval before initiation of manufacturing activities.

17.0 STORAGE AND TRANSPORTATION:

Storage of hardware, parts & materials: Storage of fabricated hardware, parts & materials shall be done as per ISRO-PAS-207. Active & Passive Component shall be stored in controlled area environment under Class 100,000 clean room with round the clock controlled temperature (22±3ºC) & humidity (45 to 55% RH). Parts shall be stored in such manner as to prevent damage due to undue stresses. ESD protection care shall be taken while receiving & issue of components. A manufacturer instruction for storage & handling of parts shall strictly be followed during the storage. Dry N2 (Nitrogen) purged packaging and storage cabinets shall be used for storage of critical components like MMIC bare dice and oxygen sensitive items like PCBs / mechanical hardware. Transportation: Suitable packing (as specified in ISRO-PAS-207) shall be provided for the transportation of the unit by air or road without any degradation / damage. Each unit shall be packaged in individual ESD protective packaging and protect the unit from environmental conditions encountered during transportation, like heat, humidity & dust. This individual container shall then be placed in a transportation container. More than one individual unit may be placed in the transportation container. The transportation container shall protect the units from heat, humidity, dust, mechanical shock & vibrations during transportation. The individual unit packages and transportation containers shall be clearly marked with following instructions along with other mandatory markings.

“ESD sensitive units” “To be opened only under clean environment with ESD

precautions” “High reliability space usage system”

18.0 DOCUMENT TO BE SUPPLIED: 18.1 Following document shall be supplied along with quote

Page 27: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

a) Point by point compliance to all the requirements of this document. b) Details to be provided as per QA check list given in Annexure-1

18.2 The following documents shall be supplied during the contract:

a) Materials & Process List to be used for the fabrication of units, detailing their quality level, procurement specifications, traceability information, out gassing test report etc.

b) CoC, screening (at Vendors / sub-vendors) reports, incoming inspection report, batch acceptance test reports of Parts & Materials

c) Record of Bias conditions of identifying the channel / junction temperatures of all the active devices

d) Details of design modifications (wherever applicable) with respect to the given details

e) Process Identification Document. f) Test procedure documents for Qualification and Acceptance tests with test

conditions, procedures, list of equipment and their calibration status, for review & approval by SAC

g) Non-conformance management plan h) Configuration change control plan. i) Program management plan

18.3 Following documents shall be supplied during the program with respect

to relevant activity. a) Status report for the fabrication activity and test schedule. b) Schedule for Cover closing of the units c) Details of test set-up and readiness d) Non-conformance report e) Failure Report; as and when failure occurs

18.4 Following detailed documents shall be supplied for each unit along with

deliverables, T & E report of each unit containing detailed test results, test history, conformance matrix, TBD values etc. a) CoC of the deliverable units b) CoC of parts & materials Including screening report c) All fabrication details supplied by SAC for fabrication d) Non- Conformance reports with close-outs

Page 28: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

THERMO-VACUUM TEST PROFILE

Page 29: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

Annexure-1 QA Check List

Vendor to provide complete details of following with relevant certificates.

Sr. No

Details of information required Vendor response

1 Point by Point compliance provided ? Yes / No

2 List each applicable process ISRO qualified at your / sub-vendor’s facility, their qualification status & attach qualification certificate.

Process Name Facility Certi. No.

a) Fabrication facilities: Duroid

b) Component mounting & Assembly process on, Duroid

c) Details of other ISRO qualified process available

6 Details of ISRO certified fabricator and Inspector for both MIC and PCB work

7 Location of test facilities likely to be used for following tests shall be provided. (whichever applicable)

Location

a) Physical Measurements

b) Visual Inspection (internal & external)

c) Electrical measurements

d) Burn-in

e) Temperature tests : Storage temperature test, Humidity Storage and Temp. operational test

f) EMI / EMC & ESD

g) Vibration test (sine & Random)

h) Thermo-Vacuum

i) Mechanical Shock

j) Life Test

Page 30: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About

Annexure-2

Page 31: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About
Page 32: SPACE APPLICATIONS CENTRE INDIAN SPACE RESEARCH … · 2019. 11. 16. · INDIAN SPACE RESEARCH ORGANISATION GOVERNMENT OF INDIA AHMEDABAD - 380 015 INDIA . SAC/DPUR/2019008833 About