sentaurus process: models developed in the eu project atemox

91
Christoph Zechner, Alexander Tsibizov, Nik Zographos

Upload: others

Post on 16-Mar-2022

25 views

Category:

Documents


1 download

TRANSCRIPT

Page 1: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 1

Christoph Zechner, Alexander Tsibizov, Nik Zographos

Page 2: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 2

Atemox Overview

“Advanced Technology MOdelling for eXtra-functionality devices“

Partners:

Fraunhofer IIS-B, STM, Synopsys, Excico, IBS, Probion, Semilab

LAAS CNRS (Toulouse), ETH (Zurich), UNEW (Newcastle), CNR-IMM (Catania)

Duration: July 2010 – November 2013 Website: http://www.atemox.eu

Page 3: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 3

Workshop Overview

Models in Sentaurus Process:

• Melt laser annealing

• Electrostatic gate-substrate interaction and quantum-mechanical corrections

to the charge carrier density: Impact on dopant pile-up at gate oxide

interfaces

• Dopant redistribution during solid phase epitaxial regrowth

• Plasma ion implantation

Page 4: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 4

MLA model in Sentaurus Process ATEMOX Workshop at EMRS-2013

Alexander Tsibizov

30 May 2013

Page 5: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 5

Target Applications for Melting Laser

Anneal (MLA)

• Pulsed excimer laser annealing enables the activation of

dopants implanted into silicon with a high electrically

active fraction and well-defined junction depth.

• Using an excimer laser with a wavelength of 308 nm, a

pulse duration of ~160 ns, and a high energy density of

up to 8 J/cm2, the melting temperature is reached at the

surface within few 10s ns. After the end of the laser

pulse, the temperature decreases rapidly by heat

conduction so that only a surface-near layer is affected.

• This process is ideal for back-side processing without

affecting already existing structures on the front-side of a

thinned structure as in power IGBT or Back Side

Imagers (BSI).

Page 6: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 6

Laser Pulse Characteristics [1]

Figure 2.3: Laser pulse shape as a function of the

time for the EXCICO LTA15 laser (wave length l =

308 nm, pulse duration 180 ns).

um

Page 7: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 7

Melting Depth [1]

Figure 2.6.: Melt depth as a function of laser

energy densities (2.0, 2.6, 3.0, and 3.6 J/cm2).

Simulation estimates are shown as solid line

while rectangles indicate SIMS measurements.

Figure 2.7.: Time evolution of the melt depth during

the melting laser processes for 2.6 (dot), 3.0 (dash)

and 3.6 (solid) J/cm2 laser fluences. From [1].

Page 8: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 8

Literature on MLA

1. Giuseppe Fisicaro “Micro-structural modifications of semiconductor systems under irradiation:

experiment, modeling and simulation analysis”, Ph.D. Thesis - University of Catania a.a.

2008/2011

2. M. Hackenberg et al., “Modeling Boron Profiles After Pulsed Excimer Laser Annealing”,

International Conference on Ion Implantation Technology 2012

3. Antonino La Magna et al., “A phase-field approach to the simulation of the excimer laser annealing

process in Si”, J. Appl. Phys., Vol. 95, No. 9 (2004), pp. 4806-4814

4. A. Karma and W.-J. Rappel, ” Quantitative phase-field modeling of dendritic growth in two and

three dimensions”, Phys.Rev. E, Vol. 57, No. 4 (1998), pp. 4323-4349.

5. A. Mittiga, L. Fornarini, and R. Carluccio, “Numerical modeling of laser induced phase transitions

in silicon,” Applied Surface Science, vol. 154–155, pp. 112–117, February 2000.

6. E. Yamasue et al., “Thermal conductivities of silicon and germanium in solid and liquid states

measured by non-stationary hot wire method with silica coated probe”, Journal of Crystal Growth

234 (2002), pp. 121–131.

7. J.P. Garandet, ”New Determinations of Diffusion Coefficients for Various Dopants in Liquid

Silicon“, International Journal of Thermophysics, Vol. 28, No. 4, (2007), p. 1285.

8. K. Huet et al., "Experimental and Theoretical analysis of Dopant Activation in Thin Double

Implanted Silicon by pulsed Excimer Laser Thermal Annealing”, 17th IEEE International

Conference on Advanced Thermal Processing of Semiconductors – RTP 2009.

9. S. De Unamuno and E. Fogarassy, "Thermal description of the melting of c- and a-Si under pulsed

excimer laser", Applied Surface Science, Vol. 36, (1989), pp. 1 - 11.

Page 9: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 9

MLA Model in Sentaurus Process

Yong-Seog Oh, Alexander Tsibizov

Page 10: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 10

MLA Model in Sentaurus Process

• The phase field variable (φ) is introduced to describe whether the

material is liquid (φ=0) or solid (φ=1). The solution name of the phase is HeatPhase. Phase Field Model (PFM), where phase

equation is fully coupled with Heat equation, has been implemented

based on [Karma and Rappel, PRE 1998]:

Here s(T, φ) is the seed function to start melting.

See H-2013.03 S-Process User Guide for details

Page 11: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 11

Heat Generation via Laser Pulse

Absorption

The heat generation rate is calculated by:

,

where I, α, and d represent the intensity, absorptivity, and depth,

respectively. Time-dependent laser pulse intensity can be set via table:

pdbSet Heat Intensity.Model Table

pdbSet Heat Intensity.Table {

Time1 Intensity1

Time2 Intensity2

… …

}

Page 12: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 12

Dopant Diffusion and Segregation at

Solid/Liquid Interface

• 100 % dopant activation is assumed in liquid and recrystallized

silicon. All point defects and clusters are dissolved in liquid phase.

• Dopant diffusion equation is coupled with Heat and HeatPhase

equations:

Page 13: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 13

MLA Model Calibration

Page 14: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 14

MLA Model Calibration

• Calibration was performed in the frame of ATEMOX EU research

project to experimental results of Excico’s UV 308 nm laser with

pulse duration 150-200 ns. Laser irradiating area was ~ 1 cm^2 (full

chip) per short. Please note, that the technical characteristics of

the laser anneal equipment used in the calibration experiments

may differ from the contemporary ones, please refer to Excico

(www.excico.com) for details. Flat silicon wafers with native oxide

only were used, therefore 1D simulation was possible in these

cases.

• 1D calibration projects for B and P are available for internal usage

• Calibration consisted of two stages:

– Calibration of melting dynamics was done to tune melting depth and

melting duration

– Calibration of dopant diffusion in liquid phase and its segregation at

solid/liquid interface

Page 15: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 15

Melting Dynamics Calibration

• Cp, kappa, rho, L, Tm, vint(T-Tm) in liquid and solid silicon are chosen according to

the best known literature data for bulk silicon

• The value of the light absorptivity in silicon for 308 nm laser is set:

pdbSet Si Absorptivity 1.46e6

Absorptivity 1.46e6 cm^-1 corresponds to the liquid silicon and is close to the value for crystalline silicon at room

temperature [S. De Unamuno and E. Fogarassy 1989]. It becomes larger for large temperatures, but since the used

value is already large enough, its further increase does not influence much the melting dynamics, thus the above

constant value is recommended for the simulations. In principle any temperature and phase dependent expression can

be used for Absorptivity, it will became time dependent with the following flag:

pdbSet Heat UpdateHeatRate 1 ;#default 0

• The temperature-dependent reflectivity (R) of solid and liquid silicon surface

substantially influences the absorbed laser energy. The laser energy absorbed in the

silicon is then scaled by factor (1-R):

pdbSet Heat Intensity.Table.Factor "\[expr $fluence*(1-( $SurfPhase * (0.55 + 4e-

5*$SurfTempK) + (1-$SurfPhase)*0.785 )) \]“

Reflectivity is the parameter recommended for tuning, because typically its exact value

and temperature dependence are not measured for 308 nm and may vary a lot

depending on the surface quality

• Melting depth extracted from SIMS is the first value to calibrate. Second check can

be done on the melting duration (if such measurements are available).

Page 16: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 16

Dopant Diffusion Calibration 1

• Phosphorus and Boron were calibrated (mainly against available SIMS)

• Initial data for dopant diffusion in liquid silicon and equilibrium solid/liquid

partition coefficients in silicon were taken from J.P. Garandet,”New

Determinations of Diffusion Coefficients for Various Dopants in Liquid

Silicon“, International Journal of Thermophysics, Vol. 28, No. 4, (2007), p.

1285.

• Equilibrium partition coefficient k, is determined by Eseg and was set to 0.8

for B and 0.368087=0.332*2.55/2.3 for P, according to [Garandet 2007]

• Diffusion in the interface layer was set small in order to reproduce B pile-up

visible in SIMS and avoid deep at the solid side of the interface:

pdbSetDouble Si Boron Dils.0 1e-9 ;# default for B 1e-6

• Heat Max.Liquid.Phase 0.4 was set for default, because it allows to

create the increase of dopant concentration towards solid/liquid interface,

when segregation at the solid/liquid interface is required. The value 0.4 is

close, but always smaller than the phase value corresponding to the

maximum Ceq.

Page 17: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 17

Dopant Diffusion Calibration 2

• Dopant diffusivity in the liquid silicon Dliquid.0 and

Melting.Intf.Seg.E were tuned to reproduce SIMS

• Temperature dependences of diffusion parameters were not set

because there are no data available. Also in many cases

temperature of liquid silicon is close to Tm=1687 K, especially at

solid/liquid interface. But sometimes it is much larger than Tm, for

example it reaches 2125 K at the surface for 6.1 J/cm^2 pulse).

• Multiple-pulse experiments proved that thermodiffusion is

unimportant for B [Hackenberg et al. IIT2012]. Therefore it was set

to zero for all dopants:

pdbSetDouble Si Boron Heat.Transfer 0.0 ;#default

• Many calibrated parameters are already set as defaults in S-Process

Page 18: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 18

Calibrated Boron Parameters

Parameter values which are different from S-Process defaults are

marked in red:

pdbSetDouble Si Boron Dliquid.0 2.6e-4 ;#default 2.4e-4

pdbSetDouble Si Boron Dils.0 1e-9 ;#default 1e-6

pdbSetDouble Si Boron Melting.Seg.E 0.033

pdbSetDouble Si Boron Melting.Intf.Seg.E -0.15

pdbSetDouble Si Boron Heat.Transfer 0.0

– Calibrated Boron parameters are close to the parameters obtained in

[Hackenberg et al., IIT2012]

Page 19: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 19

Calibrated Phosphorus Parameters

Parameter values which are different from S-Process defaults are

marked in red:

pdbSetDouble Si Phosphorus Dliquid.0 3.0e-4 ;#default 1e-3

pdbSetDouble Si Phosphorus Dils.0 1e-9 ;#default 1e-4

pdbSetDouble Si Phosphorus Melting.Seg.E 0.145 ;#default 0

pdbSetDouble Si Phosphorus Melting.Intf.Seg.E -0.11

;#default -0.2

pdbSetDouble Si Phosphorus Heat.Transfer 0.0

Page 20: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 20

SIMS Have Error for Fast Varying Profiles From [Hackenberg et al., IIT2012]: convolution of the

simulated results is necessary for direct comparison with SIMS

Page 21: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 21

Calibrated Boron SIMS 1

Page 22: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 22

Calibrated Boron SIMS 2

Page 23: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 23

Calibrated Boron SIMS 3

Page 24: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 24

Calibrated SIMS: P 200 keV

from [Huet RTP2009] (log scale)

Page 25: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 25

Calibrated SIMS: P 200 keV

from [Huet RTP2009] (linear scale)

Page 26: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 26

Calibrated SIMS: P 600 keV

from [Huet RTP2009]

As-implanted SIMS

was used for initial

distribution of P in

simulation.

Two different laser

energies close to

the specified 6.4

J/cm^2 were used

in the simulation to

check the

sensitivity.

)

Page 27: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 27

General MLA Settings

• Switches the MLA model on:

pdbSet Heat Use.Melting.Laser 1

• Insure convergence:

math fullNewton

pdbSet Math NegErrCntrl 1

• Speed-up simulation:

pdbSet Si Interstitial ClusterModel None

pdbSetBoolean Defect ForcedTurnOff 1

Page 28: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 28

Important Notes 1

• Many calibrated parameters are already set to S-Process defaults

• Correction for melting velocity Vint(T):

pdbSet Si FV.Melting.Velocity.0 3.27e4 ;#default 3.62e4

pdbSet Si FV.Amorphous.Melting.Velocity.0 5.45e4 ;#default 6.03e4

This correction does not change the simulated results much for typical cases, but it

corresponds to the maximum crystalline/liquid silicon interface velocity 15 m/s and 25 m/s

reported in [A. Mittiga et al., Applied Surface Science, Vol. 154-155, (2000), pp. 112-117].

• Thermal conductivity of liquid silicon in pdb is defined according to [Yamasue2002].

For S-Process MLA model, which does not take the density change during the phase

transition explicitly it should be corrected for the density change by factor 2.33/2.57.

This correction has very small influence on the simulation results.

pdbSet Si Liquid.ThermalConductivity {(0.502 +

2.93e-4*(Temperature-[pdbGet Silicon Melting.Point]))}

Page 29: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 29

Important Notes 2

• Setting which prevents unphysical increase of the temperature

above Tm near the silicon surface at the end of the recrystallization:

pdbSet Heat SeedOnPhase 0.002 ;#default 1.0

SeedOnPhase might be increased in case of bad convergence at the end

of recrystallization

Page 30: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 30

Meshing

• Default value (1e-7 cm) of Heat.Phase.Width is typically good for

simulation of melting depths ~ 100 nm. For the larger melting depths, e.g. 1

um, value 2e-7 cm can reduce the simulation time and still maintaining

reasonable accuracy.

• Mesh spacing (MSp) must be smaller than Heat.Phase.Width (HPW) to

obtain proper convergence.

• Larger MSp results in faster simulation and smaller noise. Larger values of

HPW can speedup convergence even for the fixed MSp. Simulated dopant

distribution depend on both MSp and HPW.

• For equidistant 1D mesh the simulation results are almost the same for MSp

< HPW. For inhomogeneous 1D mesh the simulated melting front speed

changes when the solid/liquid interface reaches the region of the mesh

inhomogeneity, unless the maximum MSp is smaller than HPW/8. meshes

finer than HPW/8 may result in large CPU time. This basically means, that

refinement boxes are allowed only if the background mesh is finer than

HPW/8. Hence equidistant meshes should be used in the melting region

when possible, see also limitations on the next slide.

Page 31: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 31

Inaccuracy of Heat Generation for large

Absorptivity

• Numerical error appears in the expression for heat generation, if MSp is not much smaller than

1/Absorptivity:

• The total integrated dose of HeatRate is a good indicator for

the presence of such error. It can be checked in the output file:

Dose in: Silicon_1 Oxide_1 Total Silicon Oxide Phosphorus 9.8530e+13 9.9982e+02 9.8530e+13 Int 6.9511e-28 1.7375e-33 2.0000e+05 Vac 3.0085e-17 7.5198e-23 2.0000e+05 HeatRate 1.0004e+14 9.9982e+06 1.0004e+14 HeatPhase 4.0000e-02 9.9982e-08 2.0400e+00 P3 4.0000e+05 9.9982e-01 6.0000e+05

• For 0.5 nm mesh and alpha=1.46e6 cm^-1 the HeatRate dose is equal 1.0004e14. Analytical

total integrated HeatRate dose is equal to 1.0e14. The difference more than 1e-3 may cause

pronounced increase of the melting depth. Two solutions are possible:

– Finer mesh at the outer silicon interface. It may be limited by the increase of CPU time related to the mesh

inhomogeneity constrain, described on the previous slide.

– Laser fluence can be (manually in rel. H-2013.03) multiplied by factor 1e14/TotalHeatRateDose.

Page 32: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 32

Time Step Control

• For precise simulation of the MLA profiles smaller time steps are required,

but reduction of the time steps leads to larger CPU-time. Reasonable

balance can be achieved with the following settings:

for better resolution outside melting, which is especially important at the

beginning of the laser pulse:

pdbSet Heat MaxTimeStep 1.0e-9

Error control for HeatPhase, T and dopants provides automatic time step control during melting, it helps to avoid further reduction of “Heat MaxTimeStep”

without loosing the quality of the results. One may try further reduction of Transient.Rel.Error for HeatPhase, Temperature and dopants when the

sow-like noise appear in the simulated dopant profiles. The following settings are

not defaults, but they are recommended for MLA simulation:

pdbSetDouble Si Temperature Abs.Error 1e-8

pdbSetDouble Si Temperature Rel.Error 1e-6

pdbSetDouble Si Temperature Transient.Rel.Error 3.0e-6

pdbSetDouble Si Boron Abs.Error 1e-3

pdbSetDouble Si Boron Rel.Error 1e-8

pdbSetDouble Si Boron Transient.Rel.Error 1e-5

Page 33: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 33

Current Limitations of MLA Model

• Current implementation of Heat generation term works only in 1D

and 2D. Only a simple model of light propagation and absorption is

available in Sentaurus Process.

• Current MLA code does not take into account the fact that molten

Silicon in practically all cases solidifies as crystalline (single or poly:

depending on the substrate), regardless of whether it was

amorphous or solid before melting. In the current implementation

thermodynamic properties of amorphous silicon region do not turn

into the ones of the crystalline silicon upon solidification. This may

lead to inaccuracies of MLA simulation results in the presence of

amorphous regions (not occurring in this example).

• Only simple diffusion equations for dopants are coupled to heat

equation. For the rest (e.g. complex clusters, etc.) only constant

temperature is supported. This impedes simulation and calibration of

dopants activation and defects anneal in solid phase during MLA.

Page 34: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 34

2D IGBT+MLA Simulation Deck

Application Note

Alexander Tsibizov, Jiyong Lim, Arsen Terterian,

Tommaso Cilento, Chan-Su Yun

Page 35: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 35

Introductory Remarks on IGBT+MLA

• SWB project (application note will be available for

download shortly) for simulating 600V and 1200V IGBT

with backside field-stop and collector activation by MLA

• The simulations were performed with rel. H-2013.03

• The 2D process simulation represents a realistic trench-

gate IGBT flow

• 2D device simulation together with plotting/extraction of

IcVg, IcVc, BV, resistive/inductive switching, and short

circuit ruggedness are included

Example is available for downloading at SolvNet since June 15th 2013,

please check Examples Index at

https://solvnet.synopsys.com/retrieve/026036.html

Page 36: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 36

Simulation Deck - Process

600V

1200V

w/wo field stop implant

2D front side 1D back side

1D profiles

into 2D and

meshing

Page 37: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 37

Simulation Deck - Device

AF: current scaling factor

ThermalR: thermal resistance @ contacts

Tamb: ambient temperature

Vc: Collector voltage for IcVg

DC

S

witchin

g

Short

Circuit

Page 38: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 38

Device Structure and Doping – 600V IGBT

MLA (λ=308 nm, Elas=6.1 J/cm2, FWHM=155 ns,

melting depth ~ 1.1 um

BF2 5e13/80keV

P 1e13/600keV

P 7.5e12/300keV

1D cut

G

E

C

65

um

P 1.5e14

Page 39: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 39

DC Device Characteristics – 600V IGBT

Red: T=398K

Blue: T=300K

Page 40: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 40

Resistive Switching Characteristics

600V IGBT

Process Conditions Switching Parameters

Wafer Thick Drift Conc. Body Dose Tem (K) td_on(ns) tr(ns) Eon(uJ) td_off(ns) tf(ns) Eoff(uJ)

65um 1.50E+14 5.45E+12 300 24.31 28.82 72.07 169.48 170.48 181.33

398 21.01 35.45 85.44 174.30 211.02 230.00

120um 5.00E+13 5.00E+13 300 20.66 49.75 57.89 187.00 391.60 237.01

398 9.28 86.33 86.06 195.31 380.11 250.13

T=300K T=300K

Page 41: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 41

Short Circuit Ruggedness (B Mode) - 1 600V IGBT

t1 t2 t3

Page 42: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 42

Short Circuit Ruggedness (B Mode) - 2 600V vs. 1200V IGBT

Short circuit failure times

600V rated IGBT (wafer thickness 65um): 4.7us

1200V rated IGBT (wafer thickness 120um): 7 us

Page 43: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 43

Poisson Equation Boundary Conditions

and Quantum Correction to Carrier

Concentration in Process Simulation

Alexander Tsibizov, Synopsys

30 May 2013

Page 44: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 44

Importance of Correct Potential (and

Boundary Conditions for It)

• In some cases (e.g. under the gate of CMOS transistor)

BC can

– significantly change electrostatic potential and Fermi level

position

– create strong electric field.

Dopant drift pile up or depletion towards surface

Diffusion, activation (clustering), dose loss

• Since dopant and point defects diffusion, activation (clustering) and

segregation depend on electric field and Fermi level position, the

simulated dopant distribution (and hence transistor characteristics)

depend on the boundary conditions of Poisson equation.

Page 45: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 45

Previous TCAD Process Simulation

• The importance and influence of the surface potential

(electric field) for doping redistribution in semiconductors

is understood [Dev PRB2003, Gorai JAP2012], but the

following two effects were never taken into account in

TCAD process simulation:

– Electrostatic interaction between the gate and the substrate of a

submicron CMOS transistor

– Reduction of carrier (electrons and holes) concentration at

semiconductor/dielectric interface due to quantum confinement

Page 46: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 46

BC: Problem Description

• Current practice:

– Poisson equation for Potential is solved only in Silicon and

PolySilicon with the HomNeumann boundary conditions, i.e. the

normal to interface component of electric field is zero (ZEF BC)

at the Silicon (and PolySilicon) boundary.

– This approximation is correct for the free (or covered with Oxide)

flat surface of Silicon since it results in zero electric field outside

of the simulated region.

• The correct boundary conditions at materials interfaces for

Poisson equations must preserve the continuity of electrostatic

potential, tangential component of electric field and normal

component of electric displacement. See the band structure of a

nMOS transistor on the next slide for the illustration

Page 47: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 47

Band Diagram of Poly/Ox/Si System

Figure has been copied

from the online dissertation

of Predrag Habas “Analysis

of Physical Effects in

Small Silicon MOS

Devices” (1997).

http://www.iue.tuwien.ac.at/

phd/habas/node13.html

Note, that for the process

simulation electrical

equilibrium is assumed: i.e.

the Fermi level is constant

in the whole simulated

system, hence UGB=0 V.

Also φi=0

n=ni(T)*exp[qφ/(kBT)]

Page 48: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 48

Two Types of New Boundary Conditions

for Potential

1. “Continuous” boundary conditions, Suitable for most of interfaces,

like dielectric/semiconductor and dielectric/dielectric:

pdbSetBoolean Oxide_Silicon Potential Continuous 1

2. “Metal-like” Dirichlet boundary condition, which provide fixed

potential at “metal” interfaces:

pdbSetBoolean Oxide_TiNitride Potential Fixed_Oxide 1

pdbSetString Oxide_TiNitride Potential Equation_Oxide

”(Potential_Oxide - 0.4)”

Page 49: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 49

Potentials at Metal Interfaces

• In S-Process zero electrostatic potential corresponds to the Fermi

energy at the intrinsic level of silicon Ei(T).

• At the Metal interface Potential should be equal to

MeWorkFunction(T) - Ei(T). The exact temperature dependencies of

MeWorkFunction(T) and Ei(T) are not known. For the test, constant

differences +0.4 V and -0.4 V for nMOS and pMOS were used, since

these values are typical for the gate metal in current CMOS

technology at T=300 K.

Page 50: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 50

Initialization of Potential Equations in

Dielectrics

In dielectric materials zero charge is assumed. Only their permittivities are taken

into account. In rel. H-2013.03 and earlier the Potential equations in dielectrics are not defined, so Laplace’s equation PotentialEquation (the name is

arbitrary) must be defined in them. Then initialization is performed, for example

in Oxide:

pdbSetString Oxide Potential InitProc PotentialInitProc

pdbSetString Oxide Potential InitSolve PotentialInitProc

pdbSetString Oxide Potential EquationProc PotentialEquation

pdbSetString Oxide Potential EquationInitProc PotentialEquationInit

pdbSetDouble Oxide Potential Permittivity 3.9

pdbSetDouble Oxide Potential DampValue 0.025

pdbSetBoolean Oxide_Silicon Potential Continuous 1

etc.

Complete example is available from Alexander Tsibizov.

Page 51: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 51

Quantum Corrections for Process

Simulation: Introduction

• The importance of the quantum effects in deep-

submicron CMOS device simulation is well recognized in

device TCAD simulations and multiple methods for their

simulation were established [Schenk ESSDRC2001].

• We have introduced effect of carrier concentration

reduction at silicon/dielectric interfaces due to quantum-

mechanical repulsion into a continuum process

simulation using the modified local-density

approximation (MLDA)[Paasch PSS(b)1982,83; Penzin TED2011]

– This changes the carrier distributions in a nanometre thin layer in

semiconductors close to their interfaces with dielectrics, therefore

it modifies electrostatic potential and hence dopant distribution.

Page 52: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 52

MLDA QC (1)

• Detailed derivation of MLDA is given in the literature [Paasch

PSS(b)1982,83; Penzin TED2011]. For a multi-valley semiconductor

with nV, valleys at the conduction band edge, without mechanical

stress, based on formula (22a) from [Paasch PSS(b)1983] for

electron concentration in the case of Boltzmann statistics :

𝑛 𝒓 = 𝑛0 𝒓 1 −1

𝑛𝑉 𝑒

− 𝑥3/𝐿𝐶𝑖

2𝑛𝑉

𝑖=1

= 𝑛0 𝒓 1 − 𝑄𝐶 𝑥3, 𝑇 , 𝐿𝑐𝑖 =

ℏ2𝑤33𝑖

2𝑘𝐵𝑇

x3 is the distance to the interface, wi33 is the effective mass in i-valley in the

direction normal to the interface

• For silicon with interface normal to (100):

At T=1300 K: Ltc=1.34 nm and Ll

c=0.59 nm

• Similar expression can be obtained for holes

𝑛 𝒓 = 𝑛0 𝒓 ∙ 1 −1

6 2𝑒− 𝑥3/𝐿𝐶

𝑙 2

+ 4𝑒− 𝑥3/𝐿𝐶𝑡

2

Page 53: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 53

MLDA QC (2) Plots of (1-QC) for electrons (green), holes (red) and a Simplified QC

function 𝑆𝑄𝐶 = 𝐸𝑥𝑝 −𝑥3 1𝑛𝑚 (blue) for two T=1300K and T=1000K.

SQC has been used in process simulation of CMOS as QC for both

electrons and holes instead of original ones to achieve good convergence

0 1. 10 9 2. 10 9 3. 10 9 4. 10 9 5. 10 9

0.0

0.2

0.4

0.6

0.8

1.0

distance to interface x3 , m

1Q

C

T 1300 K

0 1. 10 9 2. 10 9 3. 10 9 4. 10 9 5. 10 9

0.0

0.2

0.4

0.6

0.8

1.0

distance to interface x3 , m

1Q

C

T 1000 K

Page 54: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 54

Effect of QC in 1d Simulation Semi-infinite Si structure in contact with Gas homogeneously doped by

phosphorus annealed for 1e-10s, 1s and 1000s at 1050C. No

doseloss, ZEF BC for Poisson equation.

Initial Conc. P = 1e20 cm^-3

QC’s impact is stronger for large doping concentration!

Initial Conc. P = 1e18 cm^-3

Page 55: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 55

“Realistic” 2D Test NMOS Simulation

• A generic 65nm-like CMOS process:

• 1.4 nm SiO2 gate dielectric

• Initially undoped Polysilicon (doping via SD/Ext/Halo implants), or metal-first

technology with metal EFMe-EiSi=0.4eV

• RTA 1050 C 1s for SD anneal

Page 56: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 56

Simulated NMOS Vt roll-off

Metal gate (EFMe-EiSi=0.4eV) Polysilicon gate

, um , um

, V

, V

QC mostly reduce doping in

Poly near Poly/Ox interface

New BC increase B pile-up;

QC reduces screening in Si

Page 57: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 57

Section of 2D NMOS in the middle of 1um-long gate, after RTA 1s 1050C

Poly Silicon Oxide Silicon

QC causes Poly depletion near Si/Ox interface. New BC cause B pile-up in the

channel.

Page 58: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 58

2D Potential Distribution in NMOS with

Metal-first-like (0.4 V) Gate, Lg=120nm After RTA (1s at 1050 C), T=1050 C

Page 59: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 59

2D Doping Distribution in NMOS with

Metal-first-like (0.4 V) Gate, Lg=120nm After RTA (1s at 1050 C), T=1050 C

Page 60: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 60

Future Work

• Detailed investigation of the new BC and QC influence

on the simulation results. First maybe also in the frame

of ATEMOX:

– Injection and recombination of point defects at interfaces

– OED

– Dose loss for dopants

• Achieve good convergence for standard MLDA QC.

Currently it is done via Simplified QC. With standard

MLDA QC convergence is much worse (investigation is

necessary). With Simplified QC simulation time is almost

the same as without any QC.

Internal Confidential

Page 61: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 61

Conclusions

• “Correct” boundary conditions for Poisson equation must be applied

for CMOS simulations. They are available in S-Process via

ALAGATOR scripts and can be used for regular simulations. The

simulation time and convergence do not become worse.

• For typical CMOS structures noticeable (but moderate in most of the

cases) difference in the simulation results in comparison to the old

settings, is demonstrated. The larger VT changes occur for heavily

doped Poly gates and Metal-first technology, very small ones for

Metal-last (undoped Poly). The VT differences increase for smaller

gate lengths: e.g. in the test metal-first-like NMOS simulation

dVt(Lg=40nm)=27 mV, dVt(Lg=1.0um)=8 mV.

• Further improvements are necessary in S-Process for correct

simulation of structures with interface charges

Page 62: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 62

References

• Dev PRB2003: Kapil Dev, M. Y. L. Jung, R. Gunawan, R. D. Braatz, and E. G.

Seebauer*, “Mechanism for coupling between properties of interfaces and bulk

semiconductors”, PHYSICAL REVIEW B, Vol. 68, p.195311 (2003)

• Gorai JAP2012: Prashun Gorai, Yevgeniy V. Kondratenko, and Edmund G. Seebauer,

“Mechanism and kinetics of near-surface dopant pile-up during post-implant

annealing”, JOURNAL OF APPLIED PHYSICS, Vol. 111, p. 094510 (2012).

• Schenk ESSDRC2001: Schenk A., “Physical Modeling of Deep-Submicron Devices”,

Proceeding of the 31st European Solid-State Device Research Conference, 11-13

September 2001, pp. 9-16.

• Paasch PSS(b)1982: Paasch G. and Übensee H., “A Modified Local Density

Approximation Electron Density in Inversion Layers”, phys. stat. sol. (b), Vol. 118, pp.

165-178, (1982)

• Paasch PSS(b)1983: Paasch G. and Übensee H., “Carrier Density near the

Semiconductor-Insulator Interface. Local Density Approximation for Non-Isotropic

Effective Mass”, phys. stat. sol. (b), Vol. 118, pp. 255-266 (1983)

• Penzin TED2011: Oleg Penzin, Gernot Paasch, Frederik O. Heinz, and Lee Smith,

“Extended Quantum Correction Model Applied to Six-Band k·p Valence Bands Near

Silicon/Oxide Interfaces”, IEEE TRANSACTIONS ON ELECTRON DEVICES, Vol. 58,

No. 6, JUNE 2011, pp. 1614-1619.

Page 63: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 63

Dopant Redistribution during SPER

Christoph Zechner, Nikolas Zographos, Synopsys

May 30, 2013

Page 64: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 64

Doping Redistribution During SPER

SPER: Solid Phase Epitaxial Regrowth (of amorphized regions)

• Phenomena observed:

– Snow plow effect: Some dopants are pushed towards the surface (In, F).

– Significant diffusion in amorphous silicon (B)

– Transient enhanced diffusion.

– Immobile clusters in amorphous Si.

– Formation of thread dislocations (stress memorization … not a topic in ATEMOX)

• History in S-Process Continuum:

– First robust implementation: F-2011.09

– First parameters in AdvancedCalibration: G-2012.06

– Calibration update: H-2013.03

Page 65: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 65

Snow-Plow Effect

R. Duffy et al., 2004

Page 66: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 66

Snow-Plow Effect: Model

• Phase Field:

– SPERPhase = 1: Crystalline

– SPERPhase = 0: Amorphous

• After implant:

– Initialization of SPERPhase is based on field Damage

• During SPER:

– Partial differential equation gives time evolution of SPERPhase.

– Gradient of the phase field acts as a driving force on dopants

– Typically from crystalline towards amorphous region.

• Model Usage in Sentaurus Process:

AdvancedCalibration 2013.03

AdvancedSPERModel

Page 67: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 67

Snow Plow Effect: SIMS vs. TCAD

a/c interface

after implant

During SPER, indium is pushed towards the surface.

SIMS: R. Duffy et al., J. Vac. Sci. Technol. B 22(3), 2004

Simulation: AdvancedCalibration 2013.03 with AdvancedSPERModel

Page 68: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 68

Implementation (1/2)

fproc AdvancedSPERModel { } {

# Phase-Field Model for SPER

pdbSet Diffuse SPER 1

pdbSet Diffuse SPER.Model PhaseField

# Recrystallization velocity from G.L. Olsen, MSR 3 (1988)

pdbSet Si SPER PhaseTransWidth 0.003

pdbSet Si SPER Lambda.Fac 1.61

pdbSet Si SPER Relax.Rate {[Arr 3.08e8 2.68]/[pdbGet Si SPER PhaseTransWidth]/ \

[pdbGet Si SPER PhaseTransWidth]}

pdbSet Si SPER R.Fac.Aniso { 100 20.0 110 10.0 111 1.0 }

pdbSet Si SPER E.Aniso { 100 0.0 110 0.0 111 0.0 }

pdbSet Si SPER R.Fac "(0.8+0.2*3.e18/(3.e18+Fluorine))"

Page 69: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 69

Implementation (2/2)

pdbSet Si B SPER.Energy 0.0

pdbSet Si B DAmor {[Arr 1.0 2.68]}

pdbSet Si As SPER.Energy 4.0

pdbSet Si As DAmor {[Arr 0.4 2.68]}

pdbSet Si P SPER.Energy 1.5

pdbSet Si P DAmor {[Arr 0.4 2.68]}

pdbSet Si In SPER.Energy 1.5

pdbSet Si In DAmor {[Arr 1.0 2.57]}

pdbSet Si C SPER.Energy 0.12

pdbSet Si C DAmor {[Arr 40 2.60]}

pdbSet Si F SPER.Energy 0.35

pdbSet Si F DAmor {[Arr 12 2.58]}

pdbSet Si Ge SPER.Energy 0.018

pdbSet Si Ge DAmor {[Arr 5.0 2.60]}

} ; # end of AdvancedSPERModel

Page 70: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 70

2D Test Case: 45nm nMOS

Process flow:

• B well and channel implant

• Well anneal

• Gate formation

• As LDD + In pocket implant

• RTA

• Spacer deposition

• As + P source/drain implant

• RTA

• Contact formation

Final doping concentration

Page 71: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 71

2D Test Case: 45nm nMOS

Process flow:

• B well and channel implant

• Well anneal

• Gate formation

• As LDD + In pocket implant

• RTA

• Spacer deposition

• As + P source/drain implant

• RTA

• Contact formation

Final doping concentration

Page 72: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 72

SPERPhase: Initialization

After implant:

Damage

Start of Anneal:

SPER

Phase

Page 73: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 73

SPERPhase: Time Evolution

Start of Anneal

Ramp up completed 739 °C

Ramp up to 703 °C

(150K/s)

Page 74: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 74

SPERPhase: Time Evolution

Start of Anneal

Ramp up completed 739 °C

Ramp up to 703 °C

(150K/s)

Page 75: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 75

Indium during first RTA

Start of Anneal

Ramp up completed 739 °C

Ramp up to 703 °C

(150K/s)

Page 76: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 76

1D Profiles during first RTA

Page 77: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 77

SPER: Anisotropy

Compared to 100 direction (all SIMS data) SPER is 2 times slower in 110 direction,

and 20 times slower in 111 direction.

pdbSet Si SPER R.Fac.Aniso { 100 20.0 110 10.0 111 1.0 }

Does this lead to 2 times (20 times) more dopant redistribution ?

100 direction:

SPER is fast

110 direction:

SPER is slow

a-Si

c-Si

Orientation dependend SPER for amorphized pocket.

Time evolution of crystallinity field.

0 sec 15 sec 30 sec

c-Si

a-Si

Page 78: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 78

Anisotropy in our Test Example

Three cases compared:

• Simulation without SPER model

• SPER model

• SPER model, isotropic SPER velocity

R.Fac.Aniso { 100 20.0 110 20.0 111 20.0 }

VT difference is mainly due to:

• Lateral snow-plow effect for As in

LDD region.

Note:

• Sensitivity depends on process details.

• More lateral snow-plow effect after

deep pre-amorphization.

Page 79: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 79

A Closer Look on Anisotropy (1/3)

111 direction: Slow growth. It takes time to create a seed for the next layer.

100, 111 direction: Formation of nanofacets and nanoridges with 111 surfaces.

Figures from: K. L. Saenger et al.,

J. Appl. Phys. 101, 024908 (2007)

Page 80: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 80

A Closer Look on Anisotropy (2/3)

Figures from: K. L. Saenger et al.,

J. Appl. Phys. 101, 104908 (2007)

Nanofacet / nanoridge model shows

qualitative agreement with TEM.

Continuum SPER model Nanofacet/nanoridge model

Snow plow

effect is

different in

this region.

Page 81: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 81

Anisotropy: LKMC Capabilities

LKMC in Sentaurus Process [I. Martin-Bragado and V. Moroz, APL 95, 123123 (2009)]

• Strain is generated by volume expansion during amorphization.

• Shear strain reduces recrystallization velocity.

111

100

Atomistic SPER Strain field Saenger model vs LKMC

LKMC LKMC

Page 82: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 82

SPER: Other topics

• Dependence of SPER velocity on impurities and Fermi Level.

– In reality: This is quite complex

– Doping speeds up SPER

– Some impurities (F) slow down SPER

– Strain influence

– Very simple velocity correction factor in AdvancedSPERModel

• Clustering inside amorphous silicon

• SPER “activation level”

Page 83: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 83

SPER: Diffusion in a-Si

B SIMS before and after RTA. B was co-implanted with Ge (30keV) and F.

The depth of amorphized layer was 50nm.

Picture is from: [N.E.B. Cowern et al., APL 86, 101905 (2005)].

Boron diffusion in a-Si

B clusters in a-Si

Page 84: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 84

SPER: Diffusion in a-Si

Green: AdvancedSPERModel default: Constant diffusivity in a-Si

Red: term BoronDAmorFactor defined.

equation = "6e40 * (Boron + ni) / (1e20+Boron)^3"

Tail region: diffusivity

proportional to B

(“Fermi-Level

dependent diffusivity”)

Diffusivity reduced in region with very high B

Idea: immobile.clusters

Page 85: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 85

SPER Recommendations

The SPER model often improves the process simulation accuracy. It is turned on by:

AdvancedCalibration 2013.03

AdvancedSPERModel

Mesh spacing should be fine (< 3nm with current model parameters).

Possibly, isotropic SPER velocity gives better results for snow plow effect.

Continuum: pages 101 – 103

KMC, LKMC: pages 162 – 164, 175, 181 Continuum: pages 216 – 220

KMC, LKMC: chapter 5

Page 86: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 86

Plasma Implant

Christoph Zechner, Synopsys

May 30, 2013

Page 87: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 87

Plasma Implant

• Sentaurus MC with keyword plasma:

– Conformal doping:

– Ions enter device at all surfaces.

– Direction is perpendicular to surface

– Dose per surface area is constant.

– Ions have energy distribution.

• Atemox:

– More realistic energy distribution.

– BF, BF3 allowed as pre-defined species

Page 88: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 88

Plasma Implant: Calibration

pdbSetSwitch MCImplant PlasmaEnergyDistributionModel Burenkov

pdbSet Si B surv.rat [expr 10.0/(@Energy@+0.25)] ;# calibration

pdbSet Si F surv.rat [expr 20.0/(@Energy@+0.25)] ;# calibration

implant plasma.source = { BF2=0.5 Boron=0.1 BF=0.4 } dose=2e14

energy=@Energy@ sentaurus.mc tilt.stdev = 1

SIMS: Burenkov

et al., IIT 2012

Page 89: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 89

PlasmaEnergyDistributionModel

Page 90: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 90

Beyond Conformal Doping

• Dose per surface area is not constant (e.g. smaller in deep, narrow

trenches).

• No easy solution.

– Investigations for example by IMM Catania (Antonino La Magna), but it is not

clear, if and what can be transferred to S-Process.

Page 91: Sentaurus Process: Models developed in the EU project ATEMOX

© Synopsys 2013 91

Thank You