run to run process controlle1

7
Run to run process controller Run-to-run is a popular algorithm for quality control. Run to Run (R2R) process control techniques have been widely adopted by semiconductor industry for quality assurance (sachs et al. (1995), Del Castillo and Hurwitz 1997). Run-to-run (R2R) control refers to the actions taken to adjust controllable variables or process inputs. (sachs et al. (1995) ,Del Castillo and Hurwitz 1997) . R2R control algorithms are especially important for high- tech manufacturing industries, such as semiconductor manufacturing. Versatile R2R control algorithms have been developed in a new run to maintain process outputs close to the target (Yang L 2007). Run to run to run process control is the collection of statistical process control SPC and engineering control (EPC) techniques, recently proposed semiconductor Industry(( Hurwitz 1997). The run to run refers to the case where a control action is taken for each batch of silicon wafers produced in a process ( sachs Hu and Ingolfesson (1991), butler and Stefani (1994), mozumder , saxena and Collins 1996). During run control is exercised by PID automatic controller. Run-to-run (R2R) control is a form of discrete process and machine control in which the product recipe with respect to a particular machine process is

Upload: sabir-ali

Post on 23-May-2017

217 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Run to Run Process Controlle1

Run to run process controller

Run-to-run is a popular algorithm for quality control. Run to Run (R2R) process control techniques have been widely adopted by semiconductor industry for quality assurance (sachs et al. (1995), Del Castillo and Hurwitz 1997). Run-to-run (R2R) control refers to the actions taken to adjust controllable variables or process inputs. (sachs et al. (1995) ,Del Castillo and Hurwitz 1997) .

R2R control algorithms are especially important for high-tech manufacturing industries, such as semiconductor manufacturing. Versatile R2R control algorithms have been developed in a new run to maintain process outputs close to the target (Yang L 2007). Run to run to run process control is the collection of statistical process control SPC and engineering control (EPC) techniques, recently proposed semiconductor Industry(( Hurwitz 1997). The run to run refers to the case where a control action is taken for each batch of silicon wafers produced in a process ( sachs Hu and Ingolfesson (1991), butler and Stefani (1994), mozumder , saxena and Collins 1996). During run control is exercised by PID automatic controller.

Run-to-run (R2R) control is a form of discrete process and machine control in

which the product recipe with respect to a particular machine process is modified ex-situ, i.e., between machine “runs”, so as to minimize process drift, shift, and variability.

Loss functions:

Genichi Taguchi described about the loss function which recognize the products falling between specific limits are not equal. The quality loss function is a continuous function that is defined in terms of the deviation of a design parameter from an ideal or target value. There are many types of quality loss functions. However, in all types, the loss is determined by evaluating variation from a specific target.

1. Nominal is better approach

In this approach, the closer to the target value, the better. It does not matter whether the deviation is above or below the target value. Under this approach the deviation is quadratic. The following exhibit portrays the nominal is better approach.

Page 2: Run to Run Process Controlle1

2. Smaller is better approach

The smaller is better approach is when a company desires smaller values. As the value gets larger, the loss incurred grows. The following exhibit portrays the smaller is better approach

3. Larger is better approach

Larger is better occurs when a company desires higher values of a characteristic. Two examples given are employee participation and the customer acceptance rate. In this approach, as the larger the characteristic, the smaller the quality loss functions. The following exhibit portrays the larger is better approach.

Czochralski Process

The Czochralski process is a method of crystal growth used to obtain single crystals of semiconductors (e.g), metals (e.g. palladium, platinum, silver, gold), salts and many oxide crystals ( LaAlO3, YAG, .and GGG etc )

The most important application is the growth of large cylindrical ingots, or boules, of single crystal silicon. High-purity, semiconductor-grade silico is melted down in a crucible , which is usually made of Quartz. Dopant impurity atoms such as boron or phosphorus can be added to the molten intrinsic silicon in precise amounts in order to dope the silicon, thus changing it into n-type or p-type extrinsic silicon. This influences the electrical conductivity of the silicon. A seed crystal, mounted on a rod, is dipped into the molten silicon. The seed crystal's rod is pulled upwards and rotated at the same time. By precisely controlling the temperature gradients, rate of pulling and speed of rotation, it is possible to extract a large, single-crystal, cylindrical ingot from the melt. This process is normally performed in an inert atmosphere, such as argon, and in an inert chamber, such as quartz.( Z. Li, et

Page 3: Run to Run Process Controlle1

al., IEEE Trans. Nucl. Sci. NS-39 (6) (1992) 1730)( .( A. Ruzin, et al., Nucl. Instr. and Meth. A 426 (1999) 94.)

Table 1 Crucibles used in Czochralski method

While the largest silicon ingots produced today are 400 mm in diameter and 1 to 2 metres in length, 200 mm and 300 mm diameter crystals are standard industrial processes. Thin silicon wafers are cut from these ingots (typically about 0.75 mm thick) and polished to a very high flatness to be used for creating integrated circuits. Other semiconductors, such as gallium arsenide, can also be grown by this method, although lower defect densities in this case can be obtained using variants of the Bridgeman technique

In this method of the ingot growth the size is much larger than the required size. This paper focuses on controlling the size of the

ingot using control algorithm. The quality loss function discuss above is not suitable for this method, we need an approach the minimum the better but with lower specification limit which is not defined by loss function discuss above. According to minimum Loss function there is no lower limit in the function so we need a new loss function defined as minimal the best with lower specification limit as shown in the figure

Therefore a new control algorithm is required to incur this kind of loss function which is focused in this paper.

Review of the current algorithm

EWMA Controller

Faltin et al (1990) mentioned about the use of EWMA statistic has been for two distinct purposes “as a control charts and as forecasts, to guide active control of processes exhibiting….drift. Lucas and Saccucci (1990) discuss the design and use EWMA controller chart exhibit. Hunter (1986) and baxley (1990,1991) described a control procedure i:e under the assumption that disturbances to the process can be modeled as a first order integrated moving average of a white noise sequence , an EWMA control law minimizes the mean

Page 4: Run to Run Process Controlle1

squared error of the output as given in example in BOX al (1974).

EWMA controller has been quite effective even for the process subject to disturbances. The EWMA controller in SISO process is:

Yt = α+βμt-1 +ϵt

Where Yt is the quality characteristic of the process output, α is the process offset and βis the first-order term of controllable variable μt

[

]

In this paper, we aim to develop a novel R2R control algorithm that should be used to control the ingot diameter as minimal the best but with lower specification limit.

Page 5: Run to Run Process Controlle1

Process modeling

References

1. Z. Li et al., IEEE Trans Nucl. Sci. 39 (6) (1992) 1730.

2. A. Ruzin et al., IEEE Trans Nucl. Sci. 46 (5) (1999) 1310.

3. G. Lindström et al., Nucl. Instr. and Meth. A 466 (2001) 308 and cited literature therein.

4. J. Aleksic et al., Ann. of NY Academy of Sci. 972 (2002) 158.

5. CERN RD50 Status Report 2004, CERN-LHCC-2004-031 and LHCC-RD-005 and cited literature therein.

6. J. Härkönen et al., Nucl. Instr. and Meth. A 541 (2005)202.