multi-trigger resist for electron beam lithography23249...multi-trigger resist for electron beam...

9
Multi-Trigger Resist for Electron Beam Lithography Carmen Popescu, a,b Alexandra McClelland, c Guy Dawson, b John Roth, d Dimitrios Kazazis, e Yasin Ekinci, e Wolfgang Theis, a Alex P.G. Robinson. b,c a Nanoscale Physics Research Laboratory, School of Physics and Astronomy, The University of Birmingham, Birmingham, B15 2TT, UK b School of Chemical Engineering, University of Birmingham, Birmingham, B15 2TT, UK c Irresistible Materials, Birmingham Research Park, Vincent Drive, Birmingham, UK d Nano-C Inc., 33 Southwest Park, Westwood, MA 02090, USA e Paul Scherrer Institute, 5232 Villigen, Switzerland e-mail: [email protected] ABSTRACT Irresistible Materials is developing a new molecular resist system that demonstrates high-resolution capability based on the Multi-trigger concept. In a Multi-Trigger resist, multiple distinct chemical reactions in chemical amplification process must take place in close proximity simultaneously during resist exposure. Thus, at the edge of a pattern feature, where the density of photo-initiators that drive the chemical reactions is low, the amplification process ceases. This significantly reduces blurring effects and enables much improved resolution and line edge roughness while maintaining the sensitivity advantages of chemical amplification. A series of studies such as enhanced resist crosslinking, elimination of the nucleophilic quencher and the addition of high-Z additives to e-beam resist (as a means to increase sensitivity and modify secondary electron blur) were conducted in order to optimize the performance of this material. The optimized conditions allowed patterning down to 28 nm pitch lines with a dose of 248 µC/cm 2 using 100kV e-beam lithography, demonstrating the potential of the concept. Furthermore, it was possible to pattern 26 nm diameter pillars on a 60 nm pitch with dose of 221µC/cm 2 with a line edge roughness of 2.3 nm. Keywords: EUV lithography, molecular resist, multi-trigger resist, chemical amplification, resist sensitivity 1. INTRODUCTION As the minimum lithographic feature size continues to shrink, the development of techniques and resist materials capable of high resolution (R), high sensitivity (S) and low line edge roughness (L) has become increasingly important for next generation lithography. However, the issue represents a fundamental trade-off in lithography (the RLS triangle) and it is difficult to overcome. In addition to fulfilling current resist targets for the next generation of devices, new material platforms must also have the potential to meet the outlined specifications beyond that point, to ensure a useful lifespan for next generation lithography. Traditional chemically amplified resist (CAR) materials have been extended to try and meet this need, but as resolution has improved, there have been significant decrease in sensitivity. [1,2] Addition of quenchers in a CAR reduces the acid diffusion length, and increases the resolution of the patterned features, but decreases the sensitivity, and impacts on material stochastics which leads to increase in line edge roughness. One current approach to boost the sensitivity in organic resists has been the addition of metals by incorporating organometallic complexes or metallic clusters into the resist, but again this can impact the line edge roughness. [3] Additionally, as feature size requirements have progress below 20 nm, pattern collapse upon development has become a serious limiting factor, independent of the lithography technique involved. At such small pitches the critical aspect ratio 33rd European Mask and Lithography Conference, edited by Uwe F.W. Behringer, Jo Finders, Proc. of SPIE Vol. 10446, 1044608 · © 2017 SPIE CCC code: 0277-786X/17/$18 · doi: 10.1117/12.2279767 Proc. of SPIE Vol. 10446 1044608-1 Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 19 Apr 2019 Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Upload: others

Post on 01-Mar-2021

3 views

Category:

Documents


1 download

TRANSCRIPT

Page 1: Multi-Trigger Resist for Electron Beam Lithography23249...Multi-Trigger Resist for Electron Beam Lithography Carmen Popescu,a,b Alexandra McClelland,c Guy Dawson,b John Roth,d Dimitrios

Multi-Trigger Resist for Electron Beam Lithography

Carmen Popescu,a,b

Alexandra McClelland,c Guy Dawson,

b John Roth,

d Dimitrios Kazazis,

e Yasin

Ekinci,e Wolfgang Theis,

a Alex P.G. Robinson.

b,c

a Nanoscale Physics Research Laboratory, School of Physics and Astronomy, The University of

Birmingham, Birmingham, B15 2TT, UK

b School of Chemical Engineering, University of Birmingham, Birmingham, B15 2TT, UK

c Irresistible Materials, Birmingham Research Park, Vincent Drive, Birmingham, UK

d Nano-C Inc., 33 Southwest Park, Westwood, MA 02090, USA

e Paul Scherrer Institute, 5232 Villigen, Switzerland

e-mail: [email protected]

ABSTRACT

Irresistible Materials is developing a new molecular resist system that demonstrates high-resolution capability based on

the Multi-trigger concept. In a Multi-Trigger resist, multiple distinct chemical reactions in chemical amplification

process must take place in close proximity simultaneously during resist exposure. Thus, at the edge of a pattern feature,

where the density of photo-initiators that drive the chemical reactions is low, the amplification process ceases. This

significantly reduces blurring effects and enables much improved resolution and line edge roughness while maintaining

the sensitivity advantages of chemical amplification. A series of studies such as enhanced resist crosslinking, elimination

of the nucleophilic quencher and the addition of high-Z additives to e-beam resist (as a means to increase sensitivity and

modify secondary electron blur) were conducted in order to optimize the performance of this material. The optimized

conditions allowed patterning down to 28 nm pitch lines with a dose of 248 µC/cm2 using 100kV e-beam lithography,

demonstrating the potential of the concept. Furthermore, it was possible to pattern 26 nm diameter pillars on a 60 nm

pitch with dose of 221µC/cm2 with a line edge roughness of 2.3 nm.

Keywords: EUV lithography, molecular resist, multi-trigger resist, chemical amplification, resist sensitivity

1. INTRODUCTION

As the minimum lithographic feature size continues to shrink, the development of techniques and resist materials capable

of high resolution (R), high sensitivity (S) and low line edge roughness (L) has become increasingly important for next

generation lithography. However, the issue represents a fundamental trade-off in lithography (the RLS triangle) and it is

difficult to overcome. In addition to fulfilling current resist targets for the next generation of devices, new material

platforms must also have the potential to meet the outlined specifications beyond that point, to ensure a useful lifespan

for next generation lithography. Traditional chemically amplified resist (CAR) materials have been extended to try and

meet this need, but as resolution has improved, there have been significant decrease in sensitivity. [1,2] Addition of

quenchers in a CAR reduces the acid diffusion length, and increases the resolution of the patterned features, but

decreases the sensitivity, and impacts on material stochastics which leads to increase in line edge roughness. One current

approach to boost the sensitivity in organic resists has been the addition of metals by incorporating organometallic

complexes or metallic clusters into the resist, but again this can impact the line edge roughness. [3]

Additionally, as feature size requirements have progress below 20 nm, pattern collapse upon development has become a

serious limiting factor, independent of the lithography technique involved. At such small pitches the critical aspect ratio

33rd European Mask and Lithography Conference, edited by Uwe F.W. Behringer,Jo Finders, Proc. of SPIE Vol. 10446, 1044608 · © 2017 SPIE

CCC code: 0277-786X/17/$18 · doi: 10.1117/12.2279767

Proc. of SPIE Vol. 10446 1044608-1Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 19 Apr 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 2: Multi-Trigger Resist for Electron Beam Lithography23249...Multi-Trigger Resist for Electron Beam Lithography Carmen Popescu,a,b Alexandra McClelland,c Guy Dawson,b John Roth,d Dimitrios

of collapse approaches 1:1 [4] requiring extremely thin resist films for successful patterning, which further increases line

edge roughness and makes pattern transfer harder. One of the mechanisms involved in pattern collapse in high aspect

ratio features is the poor adhesion between the resist material and the silicon substrate or underlayer. Therefore,

alongside constantly developing and adapting resist platforms there is the need to introduce an interface that significantly

improves the adhesion of the resist material to the silicon substrate, reducing pattern collapse and allowing for ultrahigh

resolution, high aspect ratio patterning.

Irresistible Materials is developing a negative tone molecular resist platform, for electron beam lithography (e-beam) and

extreme ultraviolet lithography (EUVL) applications. The resist demonstrates a good combination of photospeed, low

line edge roughness (LER), and high-resolution patterning [5]. Existing state-of-the-art photoresists are based on the

concept of chemical amplification, wherein each incoming photon causes multiple chemical reactions within the resist,

significantly improving sensitivity. However, the amplification process is unconstrained and leads to blurring at the edge

of features, reducing the achievable resolution. A variety of methods can be used to control the amplification, and

improve resolution, such as the addition of base additives at low concentrations. However, these typically reduce the

performance of the resist, for instance impacting on the dose, or increasing the LER because of material stochastics. [6]

Irresistible Materials has developed a new category of resist chemistry that addresses these limitations called 'Multi-

Trigger Resist'. In a Multi-Trigger resist, multiple distinct chemical reactions must take place simultaneously and

in close proximity for the amplification process to proceed. Thus, at the edge of a pattern feature, where the density of

photo-initiators that drive the chemical reactions is low, the amplification process ceases. This significantly reduces

blurring effects and enables much improved resolution and line edge roughness while maintaining the sensitivity

advantages of chemical amplification.

In this study we report our ongoing efforts further improve the performance of this material. The molecular structure was

modified to create enhanced versions of the standard resin that will offer higher cross-linking capability, greater

molecular stiffness to reduce the LER, and ultimately higher resolution. The impact of metal additives was also

evaluated. The resulting materials were patterned in e-beam lithography at 100 kV and their lithographic properties were

analyzed in comparison with our standard resist. To increase the adhesion of the resist to the wafer and reduce pattern

collapse, a silane-based monolayer interface with crosslinking tail groups was investigated. Isolated pillar and semi-

dense lines with aspect ratios above 1:1 were patterned in electron beam to evaluate the pattern collapse issue.

2. EXPERIMENTAL

The resist samples were prepared by dissolving the individual components in ethyl lactate. They were then combined in

various weight ratios and concentrations. The resist was spun onto a proprietary carbon underlayer that we have

developed consisting of a mixture in equal parts of a fullerene derivative and an epoxy crosslinker. Prior to the

application of the underlayer the silicon substrates were cleaned in a three-step process: 10 min immersion in isopropyl

alcohol (IPA) in an ultrasonic bath, 10 min immersion in piranha solution (1:1 H2SO4:H2O2) and finally 2 min dip in a

weak aqueous solution of hydrofluoric acid (0.1-1%).

After spin coating of the resist the samples received a post application bake. All 100kV e-beam exposures were

performed using Vistec EBPG5000plus electron beam lithography system at the Paul Scherrer Institute, Switzerland.

After exposure the samples were developed in n-butyl acetate for 60 seconds followed by an MIBC rinse.

Exposed samples were analyzed with a scanning electron microscope (SEM) in top-down view. Critical dimension (CD)

and LER were calculated from the SEM images with the commercial software package SuMMIT.

Proc. of SPIE Vol. 10446 1044608-2Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 19 Apr 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 3: Multi-Trigger Resist for Electron Beam Lithography23249...Multi-Trigger Resist for Electron Beam Lithography Carmen Popescu,a,b Alexandra McClelland,c Guy Dawson,b John Roth,d Dimitrios

10 `

t

A

a

*

!1

,.<

011. !4110 ! 1

4

A

d Fó E

F ?

i

1

! i i i i iM i i i 0 i. i i f i i i

i i i i iM i i i i i

i i s i i s + iI i f i i i i it i i i i i0 i i i !! s i s i i #...W.*.

i f # . .N. # t ! i . ># i! s i i i

i # # i i iN ! i

55 . ! ! i

41 ...II .4.. 01.1441. 41.44.41 .4

* 00.44 4. 44. 4.4 44 4.4

4.4 e e

4. 44141. 40 44 44 0. 44 4.

*4 41. 4 4.4 410. .41.4 e 40.4

4. 0 41 3

w 44 4. 4. 3444 44 .044.4 . tr 34* 4 4. gif

Nt -

gm EH, 0.70 kV &Oa,. inkana Mag = 300.00 K /Stage at, 0.01 WD = 3.4 mm Date :18 M.2017

File Name = 3 P60 D15 02.tif APar1Pra Si.. = 20.00 Pm Time :21:26:07

Molecular resin Crosslinker

S SbFe

oIIs F,c-II-oo

Photoacid generator Quencher

Figure 1: Baseline resist components of xMT resist

3. RESULTS

The baseline material for the optimization efforts is the previously introduced xMT resist [7]. It is a blended formulation

of xMT resin, molecular epoxy crosslinker and a sulfonium photoacid generator mixed in 0.2:2:1 weight ratio with the

addition of a quencher in the form of a photo-decomposable nucleophile that controls epoxy crosslinking [8,9]. The

chemical structures of the components are shown in figure 1.

3.1 Enhancement to base molecular resin

We present enhancements to the base molecular resin itself (shown in Figure 1). One variant, EX2, is designed to stiffen

the molecule to reduce line edge roughness, whilst another variant, EX3 also includes additional functional groups

designed to increase sensitivity. The standard versions and EX3 version contain a quencher at 2.5% by weight. A

quencher is often required in a Chemically Amplified Resist to try to reduce LER, at the expense of lower sensitivity.

However, introducing a small amount of quencher into a system may introduce material stochastics, which will actually

increase the LER, especially at the very high resolutions which are now required for the future lithography nodes. The

EX2 version presented does not contain a quencher and thus relies on the Multi trigger effect described above for

reducing blurring effects at the edge of the patterned feature.

407µC/cm2, diameter 26.75nm 221µC/cm2, diameter 26.15nm 303µC/cm2, diameter 26.87nm

LER = 2.14nm LER 2.32nm LER 3.04nm

Figure 2: SEM top down images of isolated pillars patterned in standard resin (left hand side), enhanced xMT version 2 – EX2

(middle) and enhanced xMT version 3 – EX3 (right hand side)

Proc. of SPIE Vol. 10446 1044608-3Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 19 Apr 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 4: Multi-Trigger Resist for Electron Beam Lithography23249...Multi-Trigger Resist for Electron Beam Lithography Carmen Popescu,a,b Alexandra McClelland,c Guy Dawson,b John Roth,d Dimitrios

Figure 3: G

As can be se

that seen in th

3.2 High-Z A

Metal-based

have a highe

higher Z num

While many

well. Fundam

but electron s

electron blur.

different acce

the xMT resis

of the xMT b

have tested ab

increasing or

patterned with

raph showing p

en from the r

he standard ve

Additives

resists are cu

r absorption a

mber [11]. Hig

efforts focus

mental studies

scattering prop

. Additionally

elerating volta

st, to create a

but in further w

bout 20 differ

r decreasing t

h 100kV ebea

pillar diameter v

results, the no

ersion of the r

urrently receiv

at 13.5 nm w

gher absorptio

on the higher

are currently

perties are tho

y, it is interest

ages. Therefor

hybrid resist.

work we inten

rent high-Z sen

the sensitivity

am lithography

Figure 4: Ch

variation with e

on-quencher v

esist with que

ving much att

wavelength rad

on may signif

r absorption p

under way ab

ought to be an

ting to investig

re we have ex

In a first insta

nd to screen f

nsitizers, and

y depending o

y.

hanges in sensit

e-beam dose for

ersion is sign

encher, offerin

tention, partic

diation compa

ficantly impro

properties of

bout the differ

n important pa

gate whether

xamined speci

ance, this con

for the differe

seen a variety

on the choice

tivity and LER

r different resist

nificantly more

ng an all-round

cularly for EU

ared to organi

ove sensitivity

metals, there

rent nature of

art of this. For

the same effe

es of interest

ncept is shown

ences in resist

y of different b

e. The result

with added me

t formulations

e sensitive, bu

d better resist

UV lithograph

ic resist mater

y to enable h

is another asp

light-resist int

example, they

ects are seen u

via the blendi

n as a sensitize

– electron int

behaviours wi

ts below show

etal for pillars

ut has an LER

option.

hy exposures.

rials, primaril

igh volume m

pect to high-Z

teraction in th

y account for

using e-beam

ing of high-Z

er to increase

teraction as w

ith the high-Z

w one choice

R approaching

. Metals often

ly due to their

manufacturing

Z materials as

he EUV range

the secondary

lithography a

additives into

the sensitivity

well. So far we

Z addition both

of sensitizer

g

n

r

g.

s

e,

y

at

o

y

e

h

r,

Proc. of SPIE Vol. 10446 1044608-4Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 19 Apr 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 5: Multi-Trigger Resist for Electron Beam Lithography23249...Multi-Trigger Resist for Electron Beam Lithography Carmen Popescu,a,b Alexandra McClelland,c Guy Dawson,b John Roth,d Dimitrios

, « , , , , #a. - , , t , , a #, # a , # # , a a . e , 4

a « # a e , , _ ,e # « e « , # , , a a 4 4 # #

s a e ª a , , #a , + , , % s ,

# , , , a

s e e , Æ e e ,, , a , a . ,

° s , e , # :

# # a ,, , , a , 4

e= _=e w _w . _.300.00 ._we e ==e_ _ w_æI File Name = 1 P60 D18 02.tif Aperture Size =__ Time _a

s w w * a 40 or

s r 4. s s 4,* II its

100 nm ENT= 0.70 kV Signal A= lnLens Mag = 300.00 K)stage at, 0.0° WD =3.3 mm Date :18 Mar 2017

File Name = 3 P60 D10 02.tif Aperture Size =20.00 pm Time :21:12:13

r 1 . woes. . .e .J

,!

. 1S f !

1 i * i ft /

1

100 nm ENT= 0.70 kV Signal A= lnLens Mag = 300.00 K)stage at, 0.0° WD =3.3 mm Date :18 Mar 2017

File Name = 2 P60 D17 02.tif Aperture Size =20.00 pm Time :20:17:08

s f a ' ïr a a a sr i a : CI a s

: r 1 N1 *I Y °it a f 1 # . #

í 4 I4 r ! * + s w *

t s 0 0 0 0i i + o +k

a s s a d 6

s . , r . 41 *

tw . : . .

a a t . *.

or ,: a .100 nm ENT= 0.70 kV Signal A= lnLens Mag = 300.16 K)stage at, 0.0° WD =3.3 mm Date :18 Mar 2017

File Name = 4 P60 D10 02.tif Aperture Size =20.00 pm Time :22:03:32

xMT EX3

331µC/cm2, diameter 25.29nm, 60nm pitch, LER 2.35nm 261µC/cm2, diameter 25.04nm, 60 nm pitch, LER 3.14nm

xMT + sensitizer EX3 + sensitizer

321µC/cm2, diameter 26.79nm, 60nm pitch, LER 3.21nm 261µC/cm2, diameter 25.69nm, 60nm pitch, LER 3.93nm

Figure 5: SEM top down images of isolated pillars patterned with added high Z sensitizer, xMT (left), and EX3 (right)

The results show that adding the high Z sensitizer increases the sensitivity of the resist. In the case of xMT, it decreases

the dose required to get 26.0nm pillar diameter by 25%, from 375 µC/cm2 to 280 µC/cm2. For EX3, the improvement is

less marked, from 287 µC/cm2 to 278 µC/cm2. The LER increases when the high-Z sensitizer is added. For xMT, it

increases from 2.2 nm to 3.6 nm, and for EX3 it increases from 3.1 nm to 3.7 nm. The standard deviation of the

diameter of a set of pillars also increases when the high-Z sensitizer is added, from 1.0 nm to 1.5 nm for xMT, and from

1.2 nm to 1.4 nm for EX3.

The same resists were used to pattern dense lines with 1:1 spacing. A similar trend was seen here as for the pillars, as

shown in figure 6. The EX3 is faster than the xMT resist by 20%. This is as expected due to the increased number of

functional groups in EX3. Adding the high Z sensitizer decreases the dose to size by 18% for xMT, and by a lesser

amount, 13%, for EX3. The LER of the lines increases when the high Z sensitizer is added, from 4.9 nm to 6.8 nm for

xMT at 14 nm line width, and from 5.4 nm to 7.8 nm for EX3 at 14 nm line width.

Proc. of SPIE Vol. 10446 1044608-5Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 19 Apr 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 6: Multi-Trigger Resist for Electron Beam Lithography23249...Multi-Trigger Resist for Electron Beam Lithography Carmen Popescu,a,b Alexandra McClelland,c Guy Dawson,b John Roth,d Dimitrios

00 nm ENT= 0.70 kV

File Name =

Filarial A= lnLens Mag

1 P28 D18 01 .tif

Signal A=lnLens Mag

3 P28 D10 01 .tif

.tage at T =

Aperture Size = 20.00 pi

.

0.0

°W

D=

3.4

mm

Ap

ertu

re S

ize

= 2

0.0

0 p

i

l

Date :18 Mar 2017

im Time :18:09:04

Date :18 Mar 2017

im Time :21:13:33

EH, 0.70 kV Signal A= lnLens

File Name = 4 P28 DO8

EH, 0.70 kV Signal A= lnLens

File Name = 2 P28 D10

Mag =300.00K .tage atT= 0.0°

I 01 .tif AP

WD =3.3 mm Date :18 Mar 201

ierture Size =20.00 pm Tim. :21:ó8:(

WD =3.3 mm Date :18 Mar 201

ierture Size =20.00 pm Tim. :19:50:'

331µ

261µ

Fi

µC/cm2, CD 14.

x

µC/cm2, CD 14.

igure 7: SEM to

Figure 6: Chan

xMT

4nm, pitch 28n

MT + sensitiz

4nm, pitch 28n

op down images

nges in sensitiv

T

nm, LER 4.87nm

zer

nm, LER 6.43nm

s of dense patte

ity and LER wi

m 2

m 2

erned with adde

ith added metal

261µC/cm2, CD

E

261µC/cm2, CD

ed high Z sensit

l for dense lines

EX3

D 14.3nm, pitch

EX3 + sensitiz

D 14.6nm, pitch

tizer, xMT (left

s

h 28nm, LER 5.

zer

h 28nm, LER 7.

t), and EX3 (rig

.32nm

.12nm

ght)

Proc. of SPIE Vol. 10446 1044608-6Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 19 Apr 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 7: Multi-Trigger Resist for Electron Beam Lithography23249...Multi-Trigger Resist for Electron Beam Lithography Carmen Popescu,a,b Alexandra McClelland,c Guy Dawson,b John Roth,d Dimitrios

ENT= 0.70 kV

File Name =

f

IH

S

_ ISi

Etage atT= 0.0° WD= 2.8 mm

Aperture Size = 20.00 i.

WD=2.8mm Date :10May201i

erture Size =20.00Nm -rime :0:19:25

t

Signal A=lnLens Mag=300.00

= 6 P36 D01 .tif

Resist mu' (Rue

-"-c

Resist modal

OH OH OH

I I I

Si

Date :10 May 201]

P. Time :0:47:58

Ceo

bs-lirJm

[

OH

M.v

eLep

mm

=i

1

EH, 0.70 kV Signal A= lnLens

File Name = 7 P36 D01

1

j 2

3.3 Silane-b

We have inve

the silicon w

inorganic). A

group attach

themselves to

between the o

of the entire p

Figure 8: Sc

Dense lines a

investigate th

(left), which

interface (righ

Figure 9: SE

Isolated pilla

increase in th

comparison b

present on bo

collapsing. T

investigation

ased monolay

estigated the e

wafer. The int

A central silico

ed to silicon

o give an oli

organic and in

process from s

chematic of the

at 18 nm half

he pattern col

is spun on the

ht image in Fi

EM top down im

ars (25nm dia

he degree of fr

between the p

oth samples w

This may be d

needs to be u

yer interface

effect of introd

erface monol

on atom gives

n atom offers

gomeric struc

norganic surfa

surface modif

e resist processin

f pitch were p

llapse issue. F

e standard carb

igure 9).

mages of dense

ameter) were

reedom in the

pillars on sta

with the diffe

due to the incr

undertaken to u

ducing a silan

layer contains

s the molecule

s organic reac

cture and offe

aces promoting

fication to lith

ng showing the

patter

atterned on a

Figure 9 show

bon underlaye

pattern of xMT

also patterne

pillars pattern

andard carbon

erence that th

reased mechan

understand an

ne based mono

s molecules, w

e reactivity to

ctivity toward

fer integrity an

g the adhesion

ography and d

e surface hydrox

rning and devel

thicker xMT

wn bellow dem

er. The pattern

T on standard ca

ed on the ab

n, the pattern c

n underlayer

he features on

nical strength

nd improve the

olayer interfac

which have d

owards the sili

ds the resist.

nd stability to

n between the

development i

xylation, surfac

lopment

resist film on

monstrates th

n collapse is m

arbon underlaye

ove-described

collapse beco

and the silan

n the silane u

h of the featur

e collapse mec

ce between the

dual chemical

icon substrate

. The inorgan

o the structur

ese two dissim

is shown in fig

ce silanization, r

n the silane-b

he collapse oc

mitigated at th

er (left) and sila

d adhesion pr

mes even mor

ne-based unde

underlayer sho

res at the inte

chanism in pil

e organic resis

functionality

e, and an orga

nic entities c

re through ch

milar materials

gure 8.

resist deposition

based underlay

ccurring in th

he introduction

ane-based unde

romiting laye

re likely. Figu

erlayer. Patter

ow a preferre

rface with the

llars.

st material and

y (organic and

anic functiona

condense with

hemical bonds

s. A schematic

n, lithography

yer in order to

he first sample

n of the silane

erlayer (right)

r. Due to the

ure 10 shows a

rn collapse is

d direction o

e wafer. More

d

d

al

h

s

c

o

e

e

e

a

s

f

e

Proc. of SPIE Vol. 10446 1044608-7Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 19 Apr 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 8: Multi-Trigger Resist for Electron Beam Lithography23249...Multi-Trigger Resist for Electron Beam Lithography Carmen Popescu,a,b Alexandra McClelland,c Guy Dawson,b John Roth,d Dimitrios

00 nm EHT =0.70 kV &finalA= lnLens Mag = 300.00K tage at

File Name = 4 P50 D21 01.tif

WD =2.9 mm Date :10 May 2017

Aperture Size =20.00 pm Time :0:01:0

00 nm ENT= 0.70 kV &finalA= lnLens Mag = 300.00K tage at

File Name = 5 P50 D20 01.tif

WD=4.5 mm Date :9 May 2017

Aperture Size = 20.00 pm Time :19:37:35

Figure 10: SEM top down images of isolated pillars of xMT on standard carbon underlayer (left) and silane-based underlayer (right)

4. CONCLUSION

We have undertaken a series of studies to optimize the performance a negative tone molecular resist platform. These

include enhanced resist crosslinking, elimination of the nucleophilic quencher and the addition of high-Z additives to e-

beam resist (as a means to increase sensitivity and modify secondary electron blur) were conducted in order to optimize

the performance of this material. The optimized conditions allowed patterning 14 nm half-pitch (hp) lines with a dose of

248 µC/cm2 using 100kV ebeam lithography. Furthermore it was possible to pattern 26 nm diameter pillars on a 60 nm

pitch with dose of 221 µC/cm2 with an LER of 2.3 nm. The introduction of a silane-based interface between the resist

film and the wafer showed the mitigation of pattern collapse in 18 nm dense lines at aspect ratio above 1:1. Future work

will focus on driving the resist more fully towards Multi-Trigger behavior, e.g. without added quencher, and using

alternative crosslinkers for more selective crosslinking to improve LER and resolution.

ACKNOWLEDGEMENTS The authors would like to thank the Engineering and Physical Sciences Research Council (EPSRC) and the Nanoscience

Foundries and Fine Analysis for support of this project. This project has received funding from the EU-H2020 research

and innovation programme under grant agreement No 654360 having benefitted from the access provided by Paul

Scherrer Institute in Villigen, Switzerland within the framework of the NFFA-Europe Translational Access Activity. The

authors thank Irresistible Materials Ltd. and Nano-C for support and provision of resist materials. The Disco DAD 321

wafer dicer used in this research was obtained through the Birmingham Science City Creating and Characterizing Next

Generation Advanced Materials, with support from Advantage West Midlands (AWM) and part funded by the European

Regional Development Fund (ERDF). C.P. thanks the University of Birmingham for support. C.P. and A.P.G.R.

gratefully acknowledge the support and assistance of Professor R E Palmer.

REFERENCES

[1] Fujii, T., Matsumaru, S., Yamada, T., Komuro, Y., Kawana, D., Ohmori, K., “Patterning performance of chemically

amplified resist in EUV lithography,” Proc SPIE 9776, 97760Y (2016).

[2] Tsubaki, H., Nihasi, W., Tsuchihashi, T., Yamamoto, K., Goto, T., “Negative-tone imaging with EUV exposure

toward 13 nm hp,” Proc SPIE 9776, 977608 (2016).

[3] Stowers, J., Anderson, J., Cardineau, B., Clark, B., De Schepper, P., Edson, J., Greer, M., Jiang, K., Kocsis, M.,

Meyers, S., Telecky, A., Grenville, A., De Simone, D., Gillijns, W., Vandeberghe, G., “Metal oxide EUV

photoresist performance for N7 relevant patterns and processes,” Proc SPIE 9779, 977904 (2016).

[4] Hien, S., Rich, G., Molina, G., Cao, H. B., Nealey, P. F., “Collapse behavior of single layer 193 and 157 nm resists:

Use of surfactants in the rinse to realize the sub 130 nm nodes,” Proc SPIE 4690, 254-261 (2002).

Proc. of SPIE Vol. 10446 1044608-8Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 19 Apr 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

Page 9: Multi-Trigger Resist for Electron Beam Lithography23249...Multi-Trigger Resist for Electron Beam Lithography Carmen Popescu,a,b Alexandra McClelland,c Guy Dawson,b John Roth,d Dimitrios

[5] Frommhold, A., McClelland, A., Roth, J., Fallica, R., Ekinici, Y., Robinson, A. P. G., "Optimization and sensitivity

enhancement of high-resolution molecular resist for EUV lithography," Proc. SPIE 9776, 977614 (2016).

[6] Cardineau B, Early W, Fujisawa T, Maruyama K, Shimizu M, Sharma S, Petrillo K, Brainard R., “LER limitations

of resist thin films,” J Photopolym Sci Technol 25, 633-40 (2012).

[7] Frommhold, A., McClelland, A., Yang, D. X., Palmer, R. E., Roth, J., Ekinci, Y., Rosamund, M. C., Robinson, A. P.

G., “Towards 11nm half-pitch resolution for a negative-tone chemically amplified molecular resist platform for

extreme-ultraviolet lithography,” Proc. SPIE 9425, 942504 (2015).

[8] Lawson, R. A., Chun, J. S., Neisser, M., Tolbert, L. M., Henderson, C. L., “Methods of controlling cross-linking in

negative-tone resists,” Proc. SPIE 9051, 90510Q (2014).

[9] Frommhold, A., Yang, D. X., McClelland, A., Xue, X., Ekinci, Y., Palmer, R. E., Robinson, A. P. G., “Optimization

of Fullerene-based Negative tone Chemically Amplified Fullerene Resist for Extreme Ultraviolet Lithography,”

Proc. SPIE 9051, 905119 (2014).

[10] Fallica, R. A., Stowers, J. K., Grenville, A., Frommhold, A., Robinson, A. P. G., Ekinci, Y., “Dynamic absorption

coefficients of CAR and non-CAR resists at EUV,” Proc. SPIE 9776, 977612, (2016).

Proc. of SPIE Vol. 10446 1044608-9Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 19 Apr 2019Terms of Use: https://www.spiedigitallibrary.org/terms-of-use