jsalvia dissertation v36 - stanford universitynw906mc1017/... · mems oscillator, including...

206
MICRO-OVEN BASED TEMPERATURE COMPENSATION SYSTEMS FOR MEMS OSCILLATORS A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF DOCTOR OF PHILOSOPHY James C. Salvia June 2010

Upload: others

Post on 23-Jul-2020

2 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

MICRO-OVEN BASED

TEMPERATURE COMPENSATION SYSTEMS

FOR MEMS OSCILLATORS

A DISSERTATION

SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING

AND THE COMMITTEE ON GRADUATE STUDIES

OF STANFORD UNIVERSITY

IN PARTIAL FULFILLMENT OF THE REQUIREMENTS

FOR THE DEGREE OF

DOCTOR OF PHILOSOPHY

James C. Salvia

June 2010

Page 2: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

http://creativecommons.org/licenses/by-nc/3.0/us/

This dissertation is online at: http://purl.stanford.edu/nw906mc1017

© 2010 by James Christian Salvia. All Rights Reserved.

Re-distributed by Stanford University under license with the author.

This work is licensed under a Creative Commons Attribution-Noncommercial 3.0 United States License.

ii

Page 3: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

I certify that I have read this dissertation and that, in my opinion, it is fully adequatein scope and quality as a dissertation for the degree of Doctor of Philosophy.

Thomas Kenny, Primary Adviser

I certify that I have read this dissertation and that, in my opinion, it is fully adequatein scope and quality as a dissertation for the degree of Doctor of Philosophy.

Roger Howe

I certify that I have read this dissertation and that, in my opinion, it is fully adequatein scope and quality as a dissertation for the degree of Doctor of Philosophy.

Boris Murmann

Approved for the Stanford University Committee on Graduate Studies.

Patricia J. Gumport, Vice Provost Graduate Education

This signature page was generated electronically upon submission of this dissertation in electronic format. An original signed hard copy of the signature page is on file inUniversity Archives.

iii

Page 4: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

iv

Abstract

Almost all imaginable electronic devices in common use today, including cell phones,

laptops, music players, cameras, televisions, automobiles, appliances, and wristwatches, rely

upon timing references of some kind. Traditionally, the timing references used in all of these

applications have relied upon the same technology: quartz crystal oscillators. However,

Microelectromechanical Systems (MEMS) oscillators have become a viable option and are

replacing quartz in segments of the timing reference market. In part, this paradigm shift is

based upon the improved size, cost, and reliability of MEMS solutions. Unfortunately, the

temperature stability of MEMS oscillators is inferior to that of compensated quartz oscillators,

and this is one of several shortcomings that have precluded the use of MEMS references in

some high precision applications like wireless communication and navigation.

This thesis presents the fundamental concepts behind MEMS resonator and oscillator

operation as well as an overview of previously established temperature compensation schemes

for MEMS devices. Details are provided on the MEMS technology used throughout this work,

including Double Ended Tuning Fork (DETF) resonators, “epi-seal” encapsulation, and a

variety of associated nonideal behaviors. Measurement data from several MEMS prototypes is

also provided along with an overview of the concepts of phase noise and Allan variance.

Two MEMS interface circuits are demonstrated. The first is an integrated

transimpedance amplifier (TIA) designed specifically to interface with MEMS devices that

exhibit very large motional impedance. The TIA consists of a capacitive-feedback current

amplifier that drives current into an active load to obtain a 56 MΩ transimpedance gain, 1.8

MHz bandwidth, phase response near 0°, and 65 fA/√Hz input-referred noise. The TIA was

fabricated in 0.18 µm CMOS technology and dissipates 436 µW from a 1.8 V supply. The

second circuit is a printed circuit board (PCB) implementation of a fully functional 1.2 MHz

Page 5: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

v

MEMS oscillator, including automatic level control. This PCB-based oscillator was used to

flexibly test the MEMS prototypes used throughout the remainder of the thesis.

Two active temperature compensation schemes that significantly improve the

temperature stability of silicon MEMS oscillators are also demonstrated. Both schemes rely on

micro-oven based compensation, using micro-scale thermal isolation and heating to maintain a

MEMS resonator at a constant elevated temperature. The power consumption for the micro-

ovens used in this work was in the range of 9 to 15 mW for a 100 °C operation range.

The first temperature compensation scheme, called “Q(T)-based temperature

compensation,” uses resonator quality factor as a proxy for temperature in a closed loop

feedback system. This system achieved frequency stability of ±25 ppm over a temperature

range of 0 °C to 70 °C with a single-point calibration or ±1 ppm with a multi-point calibration,

but suffered from the limitations of considerable calibration overhead and poor long term

stability. In particular, the Q(T) system’s sensitivity to the analog gain of the components in

the temperature sensing feedback path proved to be a major hindrance to this system’s

performance.

The second scheme, called “∆f-based temperature compensation,” uses a phase lock

loop and an integrated micro-oven to achieve temperature compensation. The phase lock loop

monitors the difference frequency between two resonators with different temperature

coefficients. This difference frequency provides a high resolution measurement of the

resonators’ temperature and is compared to a reference frequency derived from one of the

resonators. Negative feedback is then used to drive the difference between the difference

frequency and the reference frequency to zero by applying heat to the micro-oven. This

procedure ensures that the micro-oven is held at a constant temperature despite variations in

ambient temperature, thereby allowing the ∆f system to maintain sub-ppm frequency stability

under transient temperature conditions from -20 °C to 80 °C and part-per-billion level Allan

deviation in an uncontrolled environment. Additional calibration is shown to reduce the

steady-state temperature stability to the range of ±60 ppb. It is hoped that this novel

temperature scheme may facilitate the use of low power, low cost, space saving MEMS

oscillators in a new arena of high precision timing reference applications.

Page 6: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

vi

Preface

I should begin by thanking my advisor, Tom Kenny, for his encouragement and

guidance. Tom’s knack for shielding his students from external pressures breeds a very

healthy and cooperative work environment, and I consider myself very fortunate to have been

a part of his group. His impact on me has also reached far beyond this dissertation—he has

helped to make these years at Stanford rewarding and fun, and has shaped my view of “the big

picture” in a very positive way. My coadvisor, Boris Murmann, has been a great source of

encouragement and advice, especially in Tom’s absence. He has been a wonderful teacher and

academic role model, and I hope that I can take with me from Stanford even a fraction of his

shrewdness, pragmatism, and expertise. Roger Howe has also been a great source of

encouragement, networking, and “outside the box” thinking. Thanks also to Shan Wang, my

defense chair, for his advice and support.

This work is the continuation of the research of a large number of former students to

whom I owe many thanks. Matt Hopcroft served as an informal advisor to me during my early

years in the group. His guidance and example (and MATLAB code!) were immensely helpful.

Renata Melamud also deserves special mention for her seemingly inexhaustible supply of

good advice, both technical and nontechnical. Other former students who have helped me a

great deal in my progress include Manu Agarwal, Saurabh Chandorkar, Bongsang Kim, Rob

Candler, and Chandra Mohan Jha. These students laid the foundation of much of the work in

this thesis.

On top of this foundation I continued to build, but I did not do it alone. Many of my

colleagues were there beside me in the trenches, and I think it’s likely that we learned as much

from each other as from anything else. Gaurav Bahl has been enormously helpful as a friend

and collaborator on many things around the lab. Matt Messana and Pedram Lajevardi have

also been fantastic teammates and deserve a great deal of credit for a number of sections of

Page 7: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

vii

this thesis. Scott Lord, Hyung Kyu Lee, Shasha Wang, Kuan Lin Chen, Violet Qu, Andrew

Graham, and Mohammad Hekmat all deserve special thanks for their support on a variety of

projects. Ginel Hill has also been an excellent source of advice, and Dan Soto’s selfless

assistance with all things computer-related has been hugely appreciated. I thank Wes Smith

for many fun times—I couldn’t have asked for a better officemate.

The Murmann and Howe groups are also full of students willing-and-able to lend a

hand or advice. Clay Daigle has been a tremendous friend and source of inspiration through

the years. Thanks also to Noam Dolev, Drew Hall, Ross Walker, Manar El-Chammas, Peter

Chen, Jay Provine, Maryam Ziaei-Moayyed, Wei Xiong, and Alex Guo. Several collaborators

from industry also helped throughout the development of this work, including Gary Yama,

Matthias Illing, Brian Stark, and Cyril Vancura. CC Gichane-Bell and Ann Guerra have been

terrific to me over the years—thanks for your help with all things administrative. Thanks to all

of the friends who have supported me through the years at Stanford: Karthik Vijayraghavan,

Keya Pandia, Nick Leindecker, Kristiaan De Greve, Joy Wu, Laura Prolo, Ruth Tennen, and

John Cunningham to name a few.

This research was financially supported by a variety of sources to whom I owe much

gratitude. Thanks to the National Science Foundation and to the Department of Defense

(NDSEG) for five years of graduate fellowships. Thanks also to the Defense Advanced

Research Projects Agency for several years of grant support through the HERMIT project,

CIEMS project, and other associated support. And thanks to Robert Bosch for additional

financial support and to TSMC for fabrication assistance.

I thank my family for all of their support and for encouraging me follow my dreams,

even when those dreams led me across the country. Thanks, Dad, for always checking in on

me. And thanks, Mom, for all of the care packages. I don’t think I’ll ever grow out of them.

And finally, I owe the biggest thanks of all to my wife Kim, who has been beside me through

it all. She has been the source of my strength and my happiness, my biggest fan, and my

escape. Thanks for more than I can say.

Page 8: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

viii

Contents

Abstract ........................................................................................................................ iv

Preface .......................................................................................................................... vi

Contents ...................................................................................................................... viii

List of Tables ................................................................................................................ xi

List of Figures ............................................................................................................. xii

1. Introduction .............................................................................................................. 1 1.1. A Brief History of Timing References ...................................................................................... 1 1.2. Modern Timing References ....................................................................................................... 2

1.2.1 Quartz ............................................................................................................................... 4 1.2.2 Microelectromechanical Systems ..................................................................................... 6

1.3. System Stability Requirements ................................................................................................. 7 1.3.1 Wired Serial Communication ........................................................................................... 8 1.3.2 Wireless OFDM Communication ....................................................................................11 1.3.3 Global Positioning ...........................................................................................................12 1.3.4 Other Timing Reference Requirements ...........................................................................13

1.4. Thesis Organization ..................................................................................................................15

2. MEMS Resonators .................................................................................................. 16 2.1. MEMS Resonators: Past and Present .....................................................................................16 2.2. Transduction Mechanisms .......................................................................................................18

2.2.1 Electrostatic Transduction ...............................................................................................20 2.3. MEMS Resonator Basics ..........................................................................................................22

2.3.1 Vibratory Modes .............................................................................................................23 2.3.2 Linear 1-Dimensional Resonator Model .........................................................................23 2.3.3 RLC Model .....................................................................................................................27

2.4. Nonideal Behavior .....................................................................................................................28 2.4.1 Quality Factor and Energy Loss ......................................................................................28 2.4.2 Feedthrough Capacitance ................................................................................................29 2.4.3 Spring Softening .............................................................................................................32 2.4.4 A-f Effect ........................................................................................................................34

Page 9: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

ix

2.4.5 Long-Term Stability ....................................................................................................... 35 2.4.6 Temperature Dependence ............................................................................................... 36

2.5. Epi-Sealed Silicon DETF Resonator ....................................................................................... 38 2.5.1 Fabrication ...................................................................................................................... 39 2.5.2 Resonant Frequency ........................................................................................................ 42 2.5.3 Quality Factor ................................................................................................................. 43 2.5.4 DETF Miscellany ............................................................................................................ 45

3. MEMS Oscillators .................................................................................................. 48 3.1. MEMS Oscillator Fundamentals ............................................................................................. 48 3.2. High-gain CMOS TIA .............................................................................................................. 51

3.2.1 Architecture Comparison ................................................................................................ 51 3.2.2 Implementation ............................................................................................................... 57 3.2.3 Test Circuits .................................................................................................................... 58 3.2.4 Measurement Results ...................................................................................................... 60 3.2.5 Discussion ....................................................................................................................... 64

3.3. PCB-based MEMS Oscillator .................................................................................................. 67 3.3.1 Stage 1: Integrating TIA ................................................................................................. 67 3.3.2 Stage 2: Integrating Amplifier ........................................................................................ 68 3.3.3 Stage 3: Variable Gain Amplifier ................................................................................... 70 3.3.4 Automatic Level Control ................................................................................................ 73 3.3.5 PCB Oscillator Miscellany ............................................................................................. 77

3.4. Acknowledgements ................................................................................................................... 79

4. Temperature Compensation .................................................................................. 80 4.1. Passive Temperature Compensation ....................................................................................... 80

4.1.1 Stress ............................................................................................................................... 80 4.1.2 Passive Electrostatics ...................................................................................................... 81 4.1.3 Alternate Elastic Moduli ................................................................................................. 81

4.2. Active Open-Loop Compensation ........................................................................................... 84 4.2.1 Active Electrostatics ....................................................................................................... 84 4.2.2 Variable Frequency Multiplication ................................................................................. 85 4.2.3 Phase Adjustment ........................................................................................................... 86

4.3. Active Closed-Loop Compensation ......................................................................................... 86 4.3.1 Oven Controlled Crystal Oscillator................................................................................. 87 4.3.2 MEMS Micro-Oven Controlled Oscillator ..................................................................... 88

5. Q(T) Based Oven Control ...................................................................................... 90 5.1. MEMS Micro-Oven .................................................................................................................. 90 5.2. Q(T)-based Temperature Sensing ........................................................................................... 92 5.3. System Implementation ............................................................................................................ 94

5.3.1 RMS Converter ............................................................................................................... 95 5.3.2 Heater Amplifier ............................................................................................................. 96 5.3.3 Controller ........................................................................................................................ 97

5.4. Multi-point Calibration ............................................................................................................ 98 5.5. Results ...................................................................................................................................... 100 5.6. Limitations............................................................................................................................... 101 5.7. Conclusions .............................................................................................................................. 104 5.8. Acknowledgements ................................................................................................................. 105

6. ∆f-Based Oven Control ........................................................................................ 106 6.1. MEMS Structure..................................................................................................................... 106

6.1.1 Hermetic Encapsulation ................................................................................................ 107 6.1.2 Tuning Fork Resonators ................................................................................................ 108

Page 10: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

x

6.1.3 Micro-Ovenization ........................................................................................................109 6.2. Temperature Compensation System .....................................................................................113

6.2.1 Closed Loop Control Model ..........................................................................................115 6.2.2 Noise Model ..................................................................................................................117 6.2.3 Residual Error Sources ..................................................................................................122 6.2.4 Optimal Bias Point ........................................................................................................129 6.2.5 Optional Multipoint Calibration ....................................................................................130

6.3. Measurement Results and Discussion ...................................................................................131 6.3.1 Implementation .............................................................................................................131 6.3.2 Temperature Stability ....................................................................................................132 6.3.3 Power Consumption ......................................................................................................135

6.4. Remaining Challenges ............................................................................................................136 6.5. Acknowledgements ..................................................................................................................141

7. Allan Variance ...................................................................................................... 142 7.1. Allan Variance Tutorial ..........................................................................................................142 7.2. Allan Deviation Measurements ..............................................................................................146 7.3. Acknowledgements ..................................................................................................................148

8. Phase Noise ............................................................................................................ 149 8.1. The Basics ................................................................................................................................149

8.1.1 Amplitude Noise vs. Phase Noise .................................................................................153 8.1.2 Phase Noise Plots ..........................................................................................................155

8.2. Application Examples .............................................................................................................156 8.2.1 Radio Receiver ..............................................................................................................156 8.2.2 Resonant Sensor ............................................................................................................157 8.2.3 Real Time Clock ...........................................................................................................159

8.3. Linear Phase Noise Model ......................................................................................................159 8.4. Nonlinear Phase Noise in MEMS Oscillators .......................................................................162 8.5. Phase Noise Measurement ......................................................................................................163

8.5.1 Open Loop Output Noise ..............................................................................................164 8.5.2 Measurement with a Spectrum Analyzer ......................................................................166 8.5.3 Quadrature Locked Phase Noise Measurement .............................................................168

9. Conclusions and Future Outlook ........................................................................ 177

References ................................................................................................................. 180

Page 11: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

xi

List of Tables

Table 1: RLC parameters for equivalent model of electrostatic MEMS resonator .................. 27

Table 2: Typical values for the DETFs used in this work ........................................................ 47

Table 3: TIA Current Consumption ......................................................................................... 62

Table 4: Comparison of CMOS TIA to prior art ...................................................................... 66

Table 5: Parameters used in automatic level control loop ........................................................ 77

Table 6: Comparison of the three prototypes. ........................................................................ 131

Table 7: Prototype B power consumption over the temperature range -20 °C to +80 °C. ..... 136

Table 8: Measurements of feedthrough between the two oscillators in Prototype A. ............ 139

Page 12: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

xii

List of Figures

Figure 1: Timing references throughout history. ........................................................................ 2

Figure 2: Time domain response of a resonator. ........................................................................ 3

Figure 3: Quartz tuning fork resonator commonly used in wrist watches (image from [1]). ..... 4

Figure 4: Frequency-temperarure characteristics for a variety of common quartz resonator cuts [2]. .............................................................................................................................................. 5

Figure 5: A variety of timing reference applications roughly arranged according to stability requirements. .............................................................................................................................. 8

Figure 6: USB interface. ........................................................................................................... 10

Figure 7: Illustration of the impact of frequency offset in an OFDM system. ......................... 12

Figure 8: Images of a few modern MEMS resonators. ............................................................ 18

Figure 9: Cross section of simple MEMS device using electrostatic actuation. ...................... 20

Figure 10: Different resonant modes of a simple beam............................................................ 23

Figure 11: One-dimensional spring-mass-damper model of a resonator.................................. 24

Figure 12: Magnitude and phase response of the force-to-displacement transfer function of a simple mass-spring-damper system with Q=4 and normalized ke = 1 N/m. ............................ 25

Figure 13: Magnitude and phase response of the force-to-velocity transfer function of a simple mass-spring-damper system with Q=4 and normalized ke = 1 N/m. ........................................ 26

Figure 14: Equivalent RLC model of electrostatic MEMS resonator. ..................................... 27

Figure 15: Equivalent RLC model for a MEMS resonator including feedthrough capacitance. .................................................................................................................................................. 30

Figure 16: Transfer function including feedthrough capacitance, where ω0CFTRM << 1. ........ 31

Figure 17: Transfer function including feedthrough capacitance, where ω0CFTRM = 0.5......... 32

Figure 18: Experimentally measured dependence of resonant frequency on drive amplitude from a MEMS resonator in [55]. .............................................................................................. 35

Figure 19: Measured frequency vs. temperature characteristic of a single crystal silicon DETF resonator. .................................................................................................................................. 38

Figure 20: DETF illustrations................................................................................................... 39

Figure 21: Simplified cross sectional view of the flow of the epi-seal process. ...................... 41

Figure 22: Simulation of the first antiparallel flexural mode of a DETF resonator. ................ 43

Figure 23: Q vs. pressure for two different silicon DETF resonators from [61]. ..................... 44

Figure 24: Quality factor vs. temperature for two DETF resonators. ....................................... 45

Figure 25: Cross sectional illustration of the feedthrough capacitance from the drive port to the sense port of an epi-sealed DETF resonator. ............................................................................ 46

Figure 26: Feedback system consiting of an electrostatic MEMS resonator and a transimpedance amplifier. ........................................................................................................ 49

Page 13: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

xiii

Figure 27: Bode plot of single pole system. ............................................................................. 50

Figure 28: Resistive feedback transimpedance amplifier. ........................................................ 51

Figure 29: Capacitive feedback integrating TIA. ..................................................................... 53

Figure 30: TIA introduced by Razavi in [75] and used in this work. ....................................... 53

Figure 31: (a) Differential transimpedance amplifier (b) input bias for amplifier. .................. 58

Figure 32: Photo of the TIA chip. The TIA occupies less than 280x180 µm2. ........................ 59

Figure 33: Test circuits and switches included on chip for TIA characterization. ................... 59

Figure 34: V2I converter used to test the TIA. ......................................................................... 60

Figure 35: Measured gain of the V2I converter vs. frequency is a constant 1.53 µS in the frequency range of interest. ...................................................................................................... 60

Figure 36: Measurements of the transimpedance gain and phase of the TIA. ......................... 61

Figure 37: Measured and simulated output noise of the TIA. .................................................. 61

Figure 38: Input-referred current noise spectrum. .................................................................... 62

Figure 39: Gain and phase response of the CMOS TIA with the unreleased resonator connected and disconnected. .................................................................................................... 63

Figure 40: Input referred noise with the unreleased resonator connected and disconnected. .. 64

Figure 41: Total simulated input referred noise compared to the simulated input referred noise from the biasing PMOS transistors. ......................................................................................... 65

Figure 42: Schematic of the first stage of the oscillator. .......................................................... 68

Figure 43: Simulated gain and phase response of the first stage of the oscillator. ................... 68

Figure 44: Schematic of the second stage of the oscillator. ..................................................... 69

Figure 45: Simulated gain and phase response of the second stage of the oscillator. .............. 69

Figure 46: Input and output waveforms for a clamping amplifier. .......................................... 71

Figure 47: Voltage controlled amplifier used in third stage from [80]. ................................... 72

Figure 48: Simulated gain and phase of the third stage of the oscillator with VGAIN = 0 V. ..... 72

Figure 49: Gain of VGA vs. VGAIN. ........................................................................................... 73

Figure 50: Complete oscillator system including automatic level control. .............................. 73

Figure 51: Gain and phase response of the three-stage oscillator with VGAIN = -2V. ............... 74

Figure 52: Integrating op amp stage used to implement the ALC controller. .......................... 75

Figure 53: Model of the automatic level control and oscillator loops. ..................................... 75

Figure 54: Simplified ALC loop model. .................................................................................. 76

Figure 55: Loop gain simulation of automatic level control system. ....................................... 76

Figure 56: Output noise density of the PCB oscillator with different cables attached to the input node. ................................................................................................................................ 78

Figure 57: Cross sectional view of composite Si-SiO2 resonator beam used to achieve passive temperature compensation. ....................................................................................................... 82

Figure 58: Frequency-temperature behavior of composite DETF resonators with varying bending stiffness ratios from [60]. ........................................................................................... 83

Figure 59: Active open-loop temperature compensation using electrostatic tuning. ............... 85

Figure 60: Active open-loop temperature compensation achieved by variable frequency multiplication. .......................................................................................................................... 86

Figure 61: Quartz OCXO. ........................................................................................................ 88

Figure 62: Micro-ovenized DETF resonator. ........................................................................... 92

Figure 63: Measured temperature change of the ovenized DETF resonator vs. the Joule heating power delivered to the micro-oven. ............................................................................. 92

Figure 64: Simplified schematic of the Q(T)-based closed-loop temperature compensation system. ...................................................................................................................................... 94

Figure 65: Block diagram of Q(T)-based temperature compensation system. ......................... 94

Figure 66: Photograph of the PCB implementation of the temperature compensated oscillator. .................................................................................................................................................. 95

Page 14: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

xiv

Figure 67: Circuit schematic of micro-oven and heater amplifier. ........................................... 97

Figure 68: The dynamic response of the micro-oven and Q-measurement electronics. .......... 98

Figure 69: Block diagram of Q(T)-based temperature compensation system including the multipoint calibration and look-up table. ................................................................................. 99

Figure 70: Steady-state frequency vs. temperature performance of the Q(T)-based temperature compensated oscillator with and without a multipoint calibration. ........................................ 100

Figure 71: Transient performance of the Q(T)-based temperature compensated oscillator with a multipoint calibration. ......................................................................................................... 101

Figure 72: Transient response of the system to a temperature ramp, shown for different integral controller bandwidths. ............................................................................................... 102

Figure 73: Measured frequency deviation of the Q(T) system during steady-state operation over a period of several days. ................................................................................................. 103

Figure 74: Long-term stability measurements of the oscillator system without Q(T)-based feedback. ................................................................................................................................ 104

Figure 75: Double-ended-tuning-fork resonators suspended using micro-oven isolation. ..... 107

Figure 76: Uncompensated resonant frequency vs. temperature characteristics of the two oxide-coated silicon resonators. ............................................................................................. 108

Figure 77: Measurement and simulation of the resonators’ temperature rise inside the micro-oven vs. micro-oven Joule heating power. ............................................................................. 109

Figure 78: Finite element models of the voltage and temperature distributions inside the micro-oven. ............................................................................................................................ 111

Figure 79: 3D color illustrations of the voltage and temperature distributions inside the micro-oven. ....................................................................................................................................... 112

Figure 80: Block diagram of prototype temperature compensation system. .......................... 114

Figure 81: The difference frequency f2−f1 and reference frequency f1/N as functions of temperature. ............................................................................................................................ 115

Figure 82: Control model of the phase lock loop. .................................................................. 116

Figure 83: Open loop frequency response of the temperature compensation system. ........... 117

Figure 84: A complete system model for noise calculations. ................................................. 117

Figure 85: Condensed system model showing the path from TAmbient to f1. ............................ 118

Figure 86: Simulation of the loop gain. .................................................................................. 118

Figure 87: The resulting gain of the system from TAmbient to f1 and f2. ..................................... 119

Figure 88: System model for computing the effects of noise and offset in the phase detector, loop filter, or heater amplifier. ............................................................................................... 119

Figure 89: Transfer function of noise from the loop filter or phase detector to the output at f1.

................................................................................................................................................ 120

Figure 90: System model for computing the effects of noise and drift in both oscillators..... 121

Figure 91: Transfer function of noise from oscillator 1 or oscillator 2 to the output at f1. ..... 122

Figure 92: Cross-sectional illustration of the shift of the resonantor beam inside the vacuum cavity. ..................................................................................................................................... 125

Figure 93: Displacement in the x-direction due to thermal expansion in the micro-oven when

the resonators are heated 100 °C above the temperature of the anchors. ............................... 126

Figure 94: Top-view illustration of the effects of thermal radiation from a DETF resonator inside the micro-oven. ............................................................................................................ 127

Figure 95: Temperature distribution along a DETF beam considering the effects of radiation heat loss. ................................................................................................................................. 129

Figure 96: Measurements of frequency error vs. temperature for Prototype B using different bias voltages on resonator 2. .................................................................................................. 130

Figure 97: Photographs of the prototypes. ............................................................................. 132

Page 15: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

xv

Figure 98: Real-time frequency measurements of three prototypes subjected to 5 °C/min ambient temperature ramps. ................................................................................................... 133

Figure 99: Measurements of steady state frequency deviation vs. ambient temperature for three prototypes and for a C4550 commercial quartz OCXO. ........................................................ 134

Figure 100: Frequency vs. temperature curves for a variety of academic and commercial resonators and oscillators. ...................................................................................................... 135

Figure 101: Output spectra from Prototype A. ....................................................................... 137

Figure 102: Simplified schematic of dual oscillator showing coupling modes. ..................... 138

Figure 103: Suggestion for a modified version of the ∆f system that would aid in the rejection of coupling between the two oscillators. ................................................................................ 140

Figure 104: Examples of measurement data. ......................................................................... 143

Figure 105: Using one dataset to generate a plot of Allan deviation vs. τ by binning measurements together. .......................................................................................................... 144

Figure 106: Three different examples of time domain data and the associated Allan deviation measurements. ........................................................................................................................ 145

Figure 107: Frequency deviation measurements of a silicon resonator based oscillator running freely on a lab bench. ............................................................................................................. 146

Figure 108: Frequency deviation measurements of a composite resonator based oscillator running freely on a lab bench. ................................................................................................ 147

Figure 109: Frequency deviation measurements of the ∆f system running freely on a lab bench. ..................................................................................................................................... 147

Figure 110: Allan deviation measurements of several different MEMS oscillators and a Maxim DS3231 quartz TCXO. .............................................................................................. 148

Figure 111: Ideal oscillator output with a) amplitude noise and b) phase noise. ................... 150

Figure 112: Frequency domain representation of amplitude modulation............................... 151

Figure 113: Frequency domain representation of phase modulation. .................................... 151

Figure 114: Amplitude and phase noise expansion with arbitrary frequency content. .......... 152

Figure 115: Removal of amplitude noise using a comparator. ............................................... 154

Figure 116: Typical phase noise plot. .................................................................................... 155

Figure 117: Typical spectrum of the output of an oscillator. ................................................. 155

Figure 118: Single Side Band vs. Double Side Band Phase Noise. ....................................... 156

Figure 119: A radio receiver uses a local oscillator to demodulate incoming signals. .......... 157

Figure 120: Phase noise in the local oscillator sets a limit for the detection of weak signals in the presence of strong interference. ........................................................................................ 157

Figure 121: An oscillator used in a resonant sensor application. ........................................... 158

Figure 122: The model of a simple oscillator used to derive an expression for phase noise. 160

Figure 123: Illustration of the infinite series of voltage noise terms that appear at the output of a simple oscillator. .................................................................................................................. 161

Figure 124: Graphical explanation of the Leeson phase noise model. ................................... 162

Figure 125: Measured output noise of 3-stage PCB-based oscillator vs. VGA gain voltage. 165

Figure 126: Measured output noise spectrum (dots) and simulated noise spectrum (dashed line) for VGAIN = -2 V. ............................................................................................................. 165

Figure 127: Direct estimation of an oscillator’s phase noise using a spectrum analyzer is limited by the analyzer’s dynamic range. ............................................................................... 166

Figure 128: Noise measurements of the MEMS oscillator using a spectrum analyzer. ......... 168

Figure 129: Illustration of using quadrature mixing to separate amplitude noise and phase noise in the frequency domain................................................................................................ 169

Figure 130: Quadrature locked phase noise measurement system. ........................................ 171

Figure 131: Schematic of quadrature locked phase noise measurement PLL. ....................... 172

Figure 132: Model of PLL. .................................................................................................... 173

Page 16: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

xvi

Figure 133: PLL loop gain. .................................................................................................... 174

Figure 134: Phase noise measurement of the MEMS oscillator with a bias voltage of 20 V and drive voltage of 110 mVRMS. .................................................................................................. 175

Figure 135: Phase noise measurements of the MEMS oscillator with different drive amplitudes and bias voltages. ................................................................................................................... 176

Page 17: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

1

1. Introduction

This chapter will introduce the concept of timing and frequency references and

discuss some of their common uses. It will also provide detailed case studies for three modern

applications: digital communication via universal serial bus (USB), radio frequency

communication via WLAN (wireless local area network), and global positioning via GPS

(global positioning system). Finally, the last section of this chapter will provide an overview

of the organization of the remainder of this thesis.

1.1. A Brief History of Timing References

Mankind has relied upon timing references of one kind or another for millennia. The

earliest manmade devices, sundials, relied upon the position of the sun in the sky to estimate

the time of day. As humanity progressed, the desire to estimate time with greater accuracy

(and in situations when the sun was not available, like nighttime or cloudy days) led to the

invention of the water clock. This device relied upon the constant flow rate of water through a

fixed opening. During the Renaissance, inventors developed mechanical timing references like

the spring wound watch or the pendulum clock that greatly outperformed the water clock and

sundial in terms of both portability and accuracy. Modified versions of these devices are still

used today, and improvements continue to be developed. For example, a pendulum clock’s

timekeeping is sensitive to temperature because temperature variations cause the pendulum’s

length to change via thermal expansion. This might cause one’s clock to run slowly in the

summer and quickly in the winter! One method to address this issue is to construct the

pendulum from two materials with different thermal expansion coefficients so as to cancel to

first order the total length variation. This “temperature compensation” technique, developed

Page 18: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

2

years after the first pendulum clock was invented, is particularly relevant to the discussion in

Chapter 4.

In the 1700’s, a British inventor developed the first marine chronometer: a timing

device accurate enough and portable enough to be used at sea to calculate a ship’s exact

longitude. This invention included temperature compensation techniques as well as clever

methods for removing the effects of a ship’s motion and led to England’s domination of the

seas for several years before their competitors were able to develop similar timing technology.

The marine chronometer’s combination of accuracy and portability is a perfect parallel to the

work presented in this thesis, except that the micromechanical timing references presented

here are orders of magnitude smaller and more accurate than the British seafaring device.

Figure 1: Timing references throughout history.

1.2. Modern Timing References

Timing references today span a broad range of technologies and applications. Most

are based upon resonator technology, much like the pendulum clocks of the Renaissance. The

time domain response of a resonator is shown in Figure 2. Whether it’s a mechanical device

like a pendulum or a bell, or an electrical device like a microwave cavity or inductor-capacitor

circuit, resonators tend to “ring” at a fixed frequency. That is, when they are struck by an

impulse, resonators output a decaying sinusoid with a fixed period. This period is often set by

Sundial

5000 BCWater Clock

1500 BC

Pendulum Clock

1650 AD

Spring Wound

Watch

1400 AD

Marine

Chronometer

1740 AD

Page 19: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

3

some predetermined quantities such as material properties or dimensions. For example, the

resonance period for a simple pendulum is determined almost entirely by its length. The

resonance period of a tuning fork is determined by the dimensions of its tines as well as the

elasticity and density of its constituent material. In a microwave cavity resonator, the period or

frequency is set by the dimensions of the conducting enclosure and the electrical properties of

the material inside the cavity. Because these properties are usually fixed and do not change

much with time, and because the relevant dimensions can be accurately machined and

controlled, a resonator’s period can be precisely set by a designer and should remain constant

throughout the device’s lifetime.

Figure 2: Time domain response of a resonator.

The role of a timing or frequency reference is to output a signal with a fixed period

indefinitely. This period can then used by subsequent system, whether mechanical or

electrical, in order to keep operations in sync. Usually a sustaining mechanism is employed

along with the resonator to transform the decaying sinusoid of Figure 2 into a constant-

amplitude sinusoid that is better suited for indefinite operation. In a mechanical clock, weights

or springs return energy to the resonating element in order to keep its amplitude from

decaying. In an electrical system, an electrical amplifier and resonator are arranged in a

feedback loop to form a closed-loop oscillator whose output is a steady-state sinusoid.

Among the large variety of resonators available to designers and engineers, one

particular technology dominates the market and is used in nearly every imaginable

application: quartz. However, new MEMS (miroelectromechanical systems) technology is

emerging that shows promise to supplant quartz from this dominant position. The next

sections will introduce and contrast these competing timing reference technologies.

time

Amplitude

Period = frequency-1

Page 20: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

4

1.2.1 Quartz

Quartz timing references demand special attention and discussion here, as they are by

far the most abundant type of timing reference in existence. The vast majority of the timing

reference market relies upon quartz technology, from low stability oscillators used in radios

and wrist watches to high stability devices used in military radar and navigation. A typical

quartz tuning fork resonator is shown in Figure 3. A device like this one serves as the core of

any quartz oscillator. Sometimes different geometries are used to achieve higher frequencies,

but the basic technology essentially remains the same. The resonant frequency of such a

device is set by the dimensions of the resonator as well as the material properties of quartz.

Because quartz is a crystalline material, its material properties are highly stable and repeatable

from one fabrication process to the next. Furthermore, quartz is a piezoelectric material, and

this facilitates transduction from the mechanical vibrations of the resonator to the electrical

domain.

Figure 3: Quartz tuning fork resonator commonly used in wrist watches (image from

[1]).

Quartz has an additional advantageous property related to its crystalline structure:

when cut at a certain angle, quartz crystals exhibit very little temperature dependence. As will

be shown throughout this thesis, temperature stability is a very important characteristic of a

timing reference, as temperature variations are to blame for the majority of most reference’s

frequency drift. Figure 4 shows the frequency-temperature sensitivity of quartz for a number

of different crystal angles. Notice that with proper design, the temperature sensitivity of a

quartz resonator can be set to zero at one or even two temperatures in a small range, and many

Quartz

Tuning

Fork

US

dime

Page 21: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

5

cuts maintain less than 200 ppm of frequency shift over a 200 °C range. This property of

quartz is extremely advantageous, as most other materials vary by thousands of ppm over a

similar temperature range. For this reason, very simple quartz crystal oscillators (called XO’s)

without any form of temperature compensation are sufficient to meet a wide array of timing

reference stability requirements.

Figure 4: Frequency-temperarure characteristics for a variety of common quartz

resonator cuts [2].

When more stringent stability specifications are required, additional temperature

compensation techniques can be added to a standard XO. A temperature compensated quartz

crystal oscillator (TCXO) combines a quartz resonator with some other component that varies

inversely with temperature in such a way that the total frequency variation with temperature is

reduced by one or two orders of magnitude. These devices achieve stability in the range of a

few parts per million and are commonly used in cell phones and other portable electronics. An

MCXO, or microcomputer compensated crystal oscillator, adds a layer of digital

Page 22: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

6

sophistication to the temperature compensation process. In these devices, a microcontroller

monitors temperature and applies a compensation signal to the oscillator to remove the effects

of temperature. This technique is more precise than that of the TCXO because it does not rely

upon the cancelation of temperature coefficients—any arbitrary function can be implemented

digitally to map between temperature and the compensation signal. As a result, MCXOs can

achieve temperature stability in the range of hundreds of parts per billion and are appropriate

for applications like navigation. The most temperature stable quartz oscillators employ

ovenization technology to hold the XO at a constant elevated temperature. These oven

controlled quartz crystal oscillators, or OCXOs, can achieve temperature stability on the order

of 10 ppb and are commonly used in high-end navigation, radar, and measurement

instrumentation.

1.2.2 Microelectromechanical Systems

Quartz resonators seem like ideal candidates for timing references. Indeed, there is

good reason that they have been the technology of choice for decades: they work very well!

However, the field of MEMS offers a few advantages that quartz cannot match: 1) size, 2)

cost, 3) frequency, 4) integration, and 5) aging.

1) Size: MEMS devices can be very small. The MEMS devices used in this work

have characteristic dimensions on the order of 100 µm, and these dimensions

shrink with increasing frequency. As the size of portable electronic systems like

smart phones and laptops continues to shrink, smaller timing references become

more and more desirable.

2) Cost: Because they are very small and can be manufactured using wafer-scale

batch fabrication technology, hundreds of thousands of MEMS devices can be

produced at one time on a single wafer. In addition, while quartz resonators often

require special packaging such as vacuum-sealed metal cans or low-stress ceramic

frames, MEMS technologies like the epi-seal encapsulation described in Section

2.5.1 achieve packaging at the wafer level. After fabrication, these devices can be

subjected to simple low-cost plastic injection mold packaging without sacrificing

stability. For these reasons, MEMS resonators are much cheaper to produce

compared to their quartz counterparts.

Page 23: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

7

3) Frequency: It is very difficult to reliably manufacture quartz resonators at

frequencies above a couple hundred megahertz. This is in part due to the very thin

quartz films that are necessary to achieve high frequency resonators. However,

MEMS devices have been demonstrated well into the gigahertz frequency range.

While high frequency resonators and timing references already have a large

assortment of potential applications [3], the demand will certainly increase as

communication frequencies continue to scale upward in order to sustain higher

data rates.

4) Integration: MEMS technologies often use the same materials as the IC industry:

silicon, silicon dioxide, and metal. Quartz is a fundamentally different material

and requires very special processes to manufacturer. Furthermore, many of the

same tools are used to create MEMS resonators and integrated circuits. This

commonality creates the possibility of integrating MEMS resonators with

standard CMOS or other integrated circuit technology on the same die, and may

thereby enable smaller system size, lower cost, or higher performance compared

to discrete quartz resonators. Furthermore, integration combined with MEMS’

small size allows for the possibility of including multiple mechanical resonators

or filters on a single die. This parallelism could enable multi-channel frequency

selection or other interesting architectures that are difficult to obtain with discrete

components.

5) Aging: Quartz devices require special packaging to ensure adequately small aging

rates. Even with this technology, though, quartz devices can drift by as much as a

few parts per million per year. Epi-sealed resonators can beat this level of

performance by an order of magnitude even in plastic packages [4].

1.3. System Stability Requirements

Resonant timing references are used in virtually every modern electronic system to

keep track of time and to coordinate digital and RF communication. Figure 5 shows an

assortment of consumer, commercial, and military applications that employ timing references.

Each application has its own requirements for the accuracy and stability of the employed

timing reference, and these requirements can dictate the appropriate resonator technology. For

example, a wristwatch is expected to remain accurate to within a minute or so over a period of

Page 24: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

8

1 year. This requires a resonator that is accurate and stable to a few parts per million, and is a

perfect application for a simple quartz tuning fork. The frequency reference used for channel

selection in a manually tunable AM radio might be of a much poorer accuracy, since the user

can always override its imprecision with a slight turn of a knob, so a tunable LC tank might

suffice. On the other hand, the US Navy maintains a timing standard that is used to precisely

set a host of important clocks around the globe. For this application, an atomic clock stable to

better than one part in 1013 is employed. This reference’s frequency is set by the emission

spectrum generated by electrons making discrete energy transitions—a very accurate and

stable process. The following sections will elaborate on the accuracy and stability

requirements for three common modern timing reference applications.

Figure 5: A variety of timing reference applications roughly arranged according to

stability requirements.

1.3.1 Wired Serial Communication

Serial communication over a wired connection is extremely commonplace today.

Applications include USB (universal serial bus) or Firewire connections that are used for

computer peripherals like printers, cameras, or external storage; Ethernet connections for local

internet access; Serial ATA (Advanced Technology Attachment) and PCI Express (Peripheral

Component Interconnect) connections to a computer’s components like storage, modem,

sound card, or optical drive; and SONET (synchronous optical networking) connections that

deliver high speed communication over optical fibers. Serial communication links generally

require a timing reference at both the transmit and receive sides of the channel. As an

example, consider the high-speed USB 2.0 standard, which transmits data at 480 Mb/s [5]. A

timing reference used in a high-speed USB system is allowed to vary by as much as 500 ppm

>100 ppm < .01 ppm1 ppm

Page 25: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

9

including the effects of fabrication, voltage, temperature, etc. To understand why, one must

consider the practical limitations of the front-end buffer at the send/receive interface, the

maximum packet size, and the system latency requirements.

Data is transmitted over USB in packets. Each packet begins with a header followed

by a series of data bytes and ends with an error checksum. The maximum packet size

including the header and checksum is 9644 bits. The sender transmits this data as a bit stream

over a differential pair of wires. On the receive side, the bit stream is collected by a data

recovery circuit and stored in a buffer. The receiver reads the data from the buffer (Figure 6a).

The clocks in the transmitter and receiver may be different by as much as 1000 ppm

(since each is allowed to have 500 ppm of tolerance), so using the local clock on the receive

side for data recovery is not an option. Even if the two clocks begin the transaction in phase

lock so that data is read from the center of the eye diagram, after 500 bits the phase between

the two clocks could drift such that the receiver was aligned with the edge of the eye, and the

data read operation would fail (Figure 6b). To solve this problem, the data recovery circuit on

the receive side includes a phase lock or delay lock loop that synchronizes the read operations

with the transmitter’s clock edges. Thus, data is inserted into the buffer by the data recovery

circuit using timing information from the transmitter, but data is removed from the buffer

using timing information from the receiver. Notice that over the course of a long packet (9644

bits), the transmitter may end up being 9.6 bits ahead of or behind the receiver in adding data

to or removing data from the buffer.

Page 26: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

10

Figure 6: USB interface.

a) Simplified schematic of USB transmit/receive interface. b) Illustration of read error that

would occur if the receiver used the local clock to recover data from the transmitted bitstream.

To avoid this error, the receiver uses a local phase locked loop inside the data recovery circuit

to synchronize the read operation with the data’s phase.

To facilitate asynchronous reading and writing from the buffer, the buffer is made to

be 24 bits long, and reading from the buffer begins when it is half full. This arrangement

ensures that over the course of a long packet the buffer never overflows (from a fast

transmitter + slow receiver) or underflows (from a slow transmitter + fast receiver). The buffer

interface sets the bottleneck for clock stability in high-speed USB communication.

Why not make a larger buffer, say 240 bits, and relax the timing reference

requirements by a factor of ten? To prevent overflow and underflow, the receiver can’t read

from the buffer until it is half full. Therefore, enlarging the buffer increases the latency of the

system. High-speed USB interfaces may include a number of hubs and repeaters, and each one

would incur this latency penalty. To reduce the overall bus turnaround time, USB

specifications limit the maximum latency of each repeater to 36 cycles, and preloading the

buffer accounts for a significant portion of this latency [6]. As a result, high-speed USB 2.0

devices require timing references that remain accurate and stable to within ±500 ppm over the

operating temperature range.

24-bit Buffer

Transmit

Bus

Receiver

Read Read Read Read

Data

Receiver

Clock

Data Recovery

Read

a)

b)

Page 27: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

11

1.3.2 Wireless OFDM Communication

OFDM, or Orthogonal Frequency Division Multiplexing, is a communication scheme

used by a variety of wireless technologies. The most prominent example of an OFDM system

is WLAN (wireless local area network), which is used to provide internet connectivity to

laptops, smart phones, and other devices. OFDM divides data transmission among many

orthogonal subcarrier frequencies, each of which can be separately modulated. For example,

the WLAN standard 802.11a uses carriers in the range of 4.9 to 5.8 GHz. This range is divided

into many channels, and each channel consists of 52 subcarriers for which the separation is

312.5 kHz. The RF receiver selects a channel and then down-converts the subcarriers to

baseband where they can be digitally interpreted using Fast Fourier Transforms (FFTs). The

FFT operation assumes that the 52 subcarriers remain orthogonal after down-conversion. That

is, each should be at a frequency k×312.5 kHz, where k is an integer, to ensure that each bin in

the FFT receives input from only one subcarrier [7].

If there is a frequency offset between the transmitter and receiver in an OFDM

system, then the down-converted subcarriers do not remain orthogonal to one another. For

example, 802.11a specifies that the transmitter frequency should be accurate to within ±20

ppm. If the receiver exhibits similar frequency inaccuracy, down-converting from a 5 GHz

carrier results in as much as 200 kHz of offset for the baseband signals, as shown in Figure 7.

This offset completely invalidates the assumption of orthogonality between the down-

converted subcarriers and causes the subcarriers to “leak” into neighboring bins in the FFT,

thereby severely degrading the channel’s signal to noise ratio [8].

Page 28: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

12

Figure 7: Illustration of the impact of frequency offset in an OFDM system.

Frequency offset between the transmitter and receiver corrupts the orthogonality of the down-

converted subcarriers.

Dynamic techniques can be employed to detect and correct for the frequency offset

between the transmitter and receiver. For example, one can extract phase information from a

known “preamble” in a WLAN packet and use this information to correctly decode the

subsequent data. However, these techniques become difficult when the initial offset is

comparable to the subcarrier frequency spacing (312.5 kHz) [9]. As a result, 802.11a radios

employ frequency references that must remain accurate and stable to within ±20 ppm over the

operating temperature range.

1.3.3 Global Positioning

The global positioning system (GPS) consists of dozens of satellites in known orbits

around the earth. Each satellite broadcasts a beacon signal that includes information about the

satellites’ positions and the exact time that the signal was broadcast. The beacon signal

consists of a pseudorandom bit stream, and timing information is contained within the phase

of this bit stream. To detect the signal’s phase (and thereby determine the precise transit time),

a receiver creates its own identical local bit stream and varies its phase in an attempt to

maximize the correlation between the local and transmitted version of the signal. The receiver

can estimate the distance to each satellite by multiplying the transit time by the speed of the

radio signal (i.e. the speed of light). Position can then be determined by combining this

distance estimation with information about the location of each satellite. Of course, this

Subcarriers

Ideal Receiver

Offset Receiver

Orthogonal SignalsNot Orthongonal!

Freq.

Amp.

Freq.Freq.

Amp. Amp.

Page 29: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

13

calculation requires that both the satellite and receiver have accurate clocks to determine the

transmit time and the receive time, since errors in this timing calculation will be multiplied by

the speed of light to produce errors in the position estimate. GPS satellites use atomic clocks

in orbit plus additional high-stability earthbound clocks and correction algorithms to maintain

the required accuracy. However, portable GPS receivers cannot support such large, costly, and

power-intensive solutions.

To solve this problem, during normal operation the error in the receiver’s timing

reference can be measured and removed by collecting information from at least 4 satellites (3

are required for position and the fourth can be used to estimate receiver timing error).

Additionally, the receiver’s timing reference can be updated periodically or even maintained

in frequency lock or phase lock with the GPS signal [10]. Under these conditions, the steady-

state frequency error of the receiver’s reference, such as the effect of fabrication tolerance and

temperature, is inconsequential. However, during startup a GPS receiver must perform a “sky

search” to identify the available satellite signals, and for this operation the system has no

knowledge of its own timing inaccuracy. This search is further complicated by the Doppler

Effect—both the satellites and the receiver are often in motion, and this also impacts the

received frequency. As a result, the receiver must step through a huge array of potential phases

and frequencies until it identifies the correct combinations and can synchronize itself with the

GPS time reference. Even with a reference clock that is stable to ±1 ppm, this search can take

tens of minutes [11]. Therefore, the accuracy of the timing reference used in a GPS receiver

has a direct impact on the receiver’s ability to quickly attain lock with the GPS satellites. GPS

receivers typically employ frequency references that remain stable to better than ±1 ppm over

the operating temperature range [12].

1.3.4 Other Timing Reference Requirements

This thesis is focused on one important aspect of timing reference performance:

frequency stability across temperature. However, there exists a huge set of timing reference

requirements that span across a broad range of categories, all of which must be considered

when identifying the ideal technology for a given application. These requirements include

cost, size, power consumption, accuracy, stability, phase noise, lead time, jitter, rise and fall

time, duty cycle, startup time, output drive strength and output driver type, and sensitivity to

electromagnetic interference, shock, vibration, or humidity. Frequency stability in particular

Page 30: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

14

has prevented the successful commercialization of MEMS timing references until recent years.

However, as this thesis describes, much progress has been made toward improving frequency

stability, especially for the types of devices used in this work. Moving forward, phase noise

and jitter performance (combined with low power consumption) remain the largest obstacles

preventing these devices from supplanting quartz oscillators in the majority of the timing

reference market.

For example, as wireline serial communication systems like USB target higher speeds,

they become less tolerant of noise in the timing information provided by the system clock.

Decades of research and development in quartz technology have yielded low power, low cost

quartz timing references with short term and long term jitter performance of less than a few

picoseconds. Current MEMS oscillators have difficulty meeting this target over short periods

because of limitations in drive current (described in more detail in Chapter 8) and over long

periods because of shortcomings in temperature sensitivity. While the technology described in

this thesis helps to address the temperature sensitivity limitations (indeed, the Allan deviation

performance shown in Chapter 7 is competitive with quartz), it does not help to improve short

term jitter. This topic remains an active area of MEMS research.

Similarly, wireless communication systems like OFDM continue to progress to higher

frequencies or higher bandwidths and therefore demand timing references with improved

phase noise performance. Navigation systems like GPS also require low phase noise to meet

tightening positional accuracy requirements. At the same time, battery life is especially

important for mobile devices like cell phones, laptops, and GPS receivers. Additionally, RF

communication and navigation systems demand very accurate references for the reasons

outlined in the previous sections. This combination of requirements is particularly challenging

for MEMS technology. Because MEMS devices are so small, achieving absolute frequency

accuracy at the ppm-level during fabrication is extremely difficult—it would require reducing

three dimensional fabrication variations to the level of single atomic layers. To address this

constraint, accuracy is currently achieved using additional electronic compensation circuitry

between the MEMS device and its host system. However, this additional layer of

compensatory circuitry requires large power consumption in order to meet the phase noise

requirements of an RF system. In particular, the required power consumption is much larger

than that of similarly performing quartz oscillators, since a high-Q quartz resonator, which is

mechanically trimmed to the required accuracy at the time of fabrication, can be used directly

to generate an output waveform. Even if MEMS accuracy were improved so as to eliminate

Page 31: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

15

the need for compensatory circuitry, the small size of MEMS resonators often limits the

amount of energy that can be stored in the devices during each vibration cycle. This limitation,

which is often set by material properties, imposes strict limits on the achievable phase noise

performance of a MEMS oscillator. This topic also remains an active area of MEMS research

and is discussed further in Chapter 8.

1.4. Thesis Organization

Chapter 1 provided an introduction to the timing reference field, including an

overview of the technology and application requirements. Chapter 2 will discuss MEMS

resonators in more detail, and will introduce the MEMS resonator technology that is used

throughout the remainder of the thesis. In particular, this chapter will introduce the problem of

temperature stability in MEMS resonators. Chapter 3 will present the basics of MEMS

oscillators. It will then delve into the technical details of two MEMS oscillator circuits. The

first is an integrated CMOS amplifier designed to interface with high impedance integrated

MEMS resonators. The second is a MEMS oscillator implemented with discrete components

at the circuit board level. This oscillator was used for the work covered in Chapters 5-8.

Chapter 4 will give an overview of the temperature compensation techniques that have been

developed for MEMS oscillators and will stress the significance and potential of active closed-

loop temperature control. Chapters 5 and 6 will describe two closed-loop temperature

compensation systems in detail. Both schemes rely on micro-oven based compensation, using

micro-scale thermal isolation and heating to maintain a MEMS resonator at a constant

elevated temperature with minimal power consumption. The first scheme uses resonator

quality factor as a proxy for temperature in a closed loop feedback system. The second uses a

phase lock loop based compensation system that relies on two resonators with different

temperature coefficients of frequency to maintain sub-ppm frequency stability over a range of

100 °C. Chapter 7 will discuss Allan variation, a statistical quantity used to characterize

oscillators. This discussion will include measurements from several MEMS oscillators.

Finally, Chapter 8 will provide an overview of the concept of phase noise in oscillators with a

focus on MEMS applications, and will conclude with measurement data from a MEMS

oscillator.

Page 32: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

16

2. MEMS Resonators

The field of MEMS has produced a large diversity of resonators. Different modes,

materials, geometry, actuation methods, and applications are all still very active areas of

research. This chapter will begin with an overview of a few classical MEMS resonators as

well as a potpourri of recent designs. The chapter will then delve into the basic concepts

governing resonator operation and talk in detail about the specifics and non-ideal behaviors of

the resonators used in this work.

2.1. MEMS Resonators: Past and Present

The first MEMS resonator was introduced by Nathanson et al. in 1965 [13]. It

consisted of a FET (Field Effect Transistor) whose metal gate electrode had been suspended

over the channel as a flexible cantilever. When driven with a voltage at the mechanical

resonance frequency of the gate electrode, electrostatic forces caused the gate to vibrate up

and down and modulate conduction through the FET channel, thereby allowing for high-Q

(~500) filtering in a conventional integrated circuit technology. With this demonstration, the

field of MEMS resonators was born, but it would have to wait decades to catch on.

An excellent review of the gradual progress of MEMS through the 60’s, 70’s, and

early 80’s is given by Petersen in [14]. Through this time period, MEMS pressure sensors and

inertial sensors came to the forefront; MEMS resonators didn’t seriously resurface until the

mid 80’s as their potential for various sensor applications became clear. Howe and Muller

describe resonant polysilicon devices for chemical vapor detection in [15]. Just as in

Nathanson’s resonant gate transistor, Howe’s device relied upon electrostatic transduction to

actuate and sense a suspended mechanical element. However, the new device was coated with

Page 33: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

17

a polymer film that could both absorb and adsorb molecules of a particular chemical vapor.

This process added mass to the mechanical structure depending on the chemicals present in

the resonator’s environment, thereby changing its resonant frequency. Hence, the

microresonator functioned as a chemical sensor.

Resonant sensors for flow, vibration, force, pressure, rotational rate, and acceleration

all began appearing in the late 80’s and early 90’s. A review of resonant silicon sensors during

this time period is given by Stemme in [16]. A classic example of such a sensor is the Draper

Labs silicon tuning fork vibratory gyroscope [17]. This MEMS resonator had two main

resonant modes: one in-plane and one out-of-plane. The structure was driven to steady-state

oscillation in the in-plane mode. When rotated about an in-plane axis, energy was transferred

to its out-of-plane mode in proportion to its rate of rotation. By detecting motion in the out-of-

plane mode, the system was able to measure rotational rate.

MEMS resonators entered the communications arena in the 90’s following the

development of RF resonators for filtering [18] and the first MEMS integrated MEMS

oscillator [19]. These devices relied upon lateral polysilicon resonant structures with comb

finger electrostatic transduction electrodes, and achieved much higher Q values than

Nathanson’s first resonator. Today, MEMS resonators often exhibit quality factors in excess

of 1 million.

The modern field of research in MEMS resonators is quite expansive, and includes all

of the sensing and communication applications mentioned above plus many more. Here are a

few brief examples from recent literature. In [20], Weinstein and Bhave used internal

dielectric transduction to push the boundaries for frequency and quality factor, achieving a Q

of 11k at 4.5 GHz, a record-high f-Q product in silicon. Shortly afterward, Hwang and Bhave

topped this f-Q product with a 3.7 GHz silicon resonator that achieved a Q of 18k using PN-

junction actuation [21]. At slightly lower frequencies, Piazza et al. use piezoelectric contour-

mode ring resonators to achieve motional impedance values near 50 Ω for devices in the range

of hundreds of MHz [22]. Resonators are also under development for scavenging vibration

energy from the ambient environment; Mitchenson et al. provide an overview in [23]. Bulk

mode silicon resonators are also being used to provide atomic force microscopy (AFM)

measurements [24]. MEMS resonators can also be integrated into optical networks and

systems, as demonstrated by Sridaran at Bhave in [25]. MEMS resonators are even being used

to detect DC magnetic signals by resonant modulation of the Lorentz force, as described by

Herrera-May in [26].

Page 34: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

18

Figure 8: Images of a few modern MEMS resonators.

a) internal dielectric transduction resonator [20] b) bulk mode ring resonator for AFM [24] c)

contour-mode ring resonator [22] d) opto-acoustic modulator [25].

2.2. Transduction Mechanisms

MEMS resonators aim to address limitations of purely electrical systems by exploiting

properties of the mechanical domain. For example, filters with very high quality factor are

useful in many communication systems, but quality factors above 10 are very difficult to

achieve with integrated electronics. On the other hand, quality factors in excess of 106 are

achievable in mechanical systems. In order for these mechanical properties to be useful in an

electrical application, though, one must find a way to convert the electrical signal into a

mechanical one and back again. This conversion or transduction is divided into two steps: 1)

actuation or drive describes the conversion of an electronic signal into a mechanical motion,

and 2) sensing describes the conversion of mechanical motion back into an electronic signal.

(a) (b)

(d)

Page 35: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

19

In addition to the electrostatic resonators mentioned above, a variety of other actuation

and sensing methods abound. The piezoelectric effect is a popular and effective transduction

method that can be used to create and sense mechanical vibrations in MEMS devices (for

example, [22]). Because silicon is not a piezoelectric material, piezoelectric transduction

requires alternative materials (such as aluminum nitride, quartz, or lead zirconate titanate) and

generally entails more advanced fabrication techniques compared to electrostatic structures.

Thermal expansion can also be used as an actuation mechanism for MEMS structures (for

example, [27]). Because the thermal effects are often generated electrically, this method is

termed electrothermal actuation. Electrothermal actuation relies upon heating a structure

comprised of two materials with different coefficients of thermal expansion in order to create

differential expansion which yields a bending moment. Though the choice of appropriate

materials for electrothermal actuation is much larger than piezo based actuation, this method

still requires multiple materials and the associated fabrication steps. Furthermore,

electrothermal actuation is often power intensive and is limited to relatively low frequencies

by thermal time constants in the range of microseconds. Magnetic actuation using

magnetostatic forces is an appropriate option for MEMS structures that can easily incorporate

magnetic materials or large currents (for example, [28]).

Resonant motion can be detected by a large variety of means as well. For example,

piezoresistivity is a common property of doped silicon. This property can transform strain

created by resonant motion into an electrical signal by modulating the structure’s resistance

(for example, [29]). While convenient in some processes, using piezoresistance generally

requires careful patterning of the doped regions which can be difficult in three dimensional

MEMS devices. Optical techniques, such as sensing modulation in a laser beam that is

reflected off the surface of a vibrating structure, can be highly accurate for sensing the motion

of MEMS structures (for example, [30]). However, these techniques require the large

overhead of photon emitting and photon detecting structures and are rarely used outside the

laboratory. As mentioned above, the piezoelectric and electrostatic methods are also effective

means of sensing MEMS motion.

Because of its convenience, electrostatic actuation and sensing remains the most

common transduction mechanism in the MEMS community today. The experiments and

devices described in this thesis rely exclusively upon electrostatic transduction, which has no

material limitations and requires very simple electronic systems. The only fabrication

requirement imposed by electrostatic transduction is the need for small capacitive gaps (on the

Page 36: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

20

order of 1 µm), but these are often straightforward to achieve in most MEMS processes. The

details of electrostatic transduction will be covered in section 2.2.1.

2.2.1 Electrostatic Transduction

Figure 9: Cross section of simple MEMS device using electrostatic actuation.

Consider a simple cross section of a MEMS device consisting of a moveable element

sandwiched between two fixed elements, shown in Figure 9. The fixed element on the left can

be treated as the drive electrode and the element on the right can be treated as the sense

electrode. If the spacing between these elements is small, then a meaningful capacitance

appears on both the drive side and the sense side of the moveable element. Assuming that the

cross-sectional area of the overlap between the fixed electrodes and the moveable element is

A, the gaps between the electrodes are d, and the permittivity of the material in the gaps is ε,

this capacitance is given by

, (2.1)

where x is the displacement of the moveable element from the center of the two fixed

elements. It is assumed that x << d for this analysis. If a voltage is applied across these

capacitors by holding the moveable element at an elevated DC voltage (VBIAS), electrostatic

forces will attract the moveable element to both the drive and sense electrodes. This actuation

force is

Fix

ed

Fix

ed

CDRIVE CSENSE

VBIAS

vAC

iAC

Page 37: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

21

12 , 12 (2.2)

and can be derived by using the concept of virtual displacement [31]. In a balanced system,

the force is equal in opposite directions and therefore cancels out. Even in an imbalanced

system, the resulting force is at DC and is usually negligible, since one is often interested in

effects at the resonant frequency of the resonator. If a small AC excitation voltage (vAC) is now

applied to the drive electrode, this voltage will modulate the drive-side attractive force

according to

12 12 2

12

(2.3)

where it is assumed that |vAC| << |VBIAS|. Again, the DC force on the drive and sense capacitors

cancel out leaving an AC actuation force of

(2.4)

In this way, voltages can be directly transformed into the mechanical domain as forces acting

on a moveable MEMS structure.

Now consider the sense side of the simplified MEMS system. Assuming that the

forces on the drive side are sufficient to generate motion, there now appears a fixed voltage

VBIAS across a varying capacitance CSENSE. As a result, a current iAC must flow out of the sense

electrode according to the relationship

! " (2.5)

Under the assumption x << d, this becomes

(2.6)

This approximation can also be achieved by taking a Taylor Series expansion of the

expression for the capacitance and dropping all terms above first order. Notice that the

Page 38: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

22

expression relating the drive voltage to the actuation force is very similar to the expression

relating the output current to the velocity, dx/dt = # . For convenience, an electrostatic

transduction coefficient η can be defined as

$ (2.7)

so that in a balanced system where the drive and sense capacitances are equal one finds

$ (2.8)

and

$# (2.9)

To complete the transfer function from force to velocity, one needs to examine some of the

basic principles behind the mechanical resonant system. This analysis will be outlined in the

next section.

Electrostatic transduction can also be accomplished using a moveable element and a

single fixed element, as in [32]. In this case, the DC bias voltage and AC drive signal can both

applied to one element while the other remains at ground. The analysis and results are similar

to the case derived above. The major difference between using one electrode vs. using two

electrodes is that both the voltage and the capacitance between the moveable element and the

fixed element vary with time in the one-electrode system. As a result, the output current

contains both mechanical and electrical terms. Devices built in this way therefore suffer from

large feedthrough capacitance, the effects of which will be discussed in section 2.4.2.

2.3. MEMS Resonator Basics

It should be evident from the discussion at the beginning of this chapter that MEMS

resonators can come in a variety of shapes, sizes, materials, and modes of operation. Even so,

almost all MEMS resonators share some common properties. This section will describe some

of the important and universal MEMS resonator basics.

Page 39: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

23

2.3.1 Vibratory Modes

Every resonator geometry has a nearly infinite number of ways in which it can vibrate.

For example, a simple beam can vibrate in many different flexural modes and extensional

modes, in-plane and out-of-plane. A few example modes for a simple beam are shown in

Figure 10. Each of these resonant modes has a unique frequency and quality factor associated

with it. Most MEMS resonators are designed so that one dominant mode is used while the

others are ignored or rejected by the system that interfaces with the resonator.

Figure 10: Different resonant modes of a simple beam.

(a) beam resonator (b) first in-plane flexural mode (c) bulk extensional mode (d-f) first

through third out-of-plane flexural modes.

Closed-form analytical solutions exist for only the simplest geometries [33]. In most

cases, finite element simulations are required to predict the mode shapes and their associated

resonant frequencies. The modeling and descriptions in the following subsections all assume

that a single mode has been selected and is being analyzed.

2.3.2 Linear 1-Dimensional Resonator Model

In most cases a simplified linear 1D model is sufficient to describe most of a MEMS

resonator’s behavior, even for high order modes or complex mode shapes. This simplified

model assumes that the distributed mass of the resonator can be lumped into a single mass of

value me (called the effective mass). Similarly, the resonator’s mechanical stiffness can be

lumped into a single spring of value ke (the effective spring constant), and all energy loss

mechanisms can be lumped into a single damper of value be (the effective damping constant).

The one-dimensional model is shown in Figure 11.

(a)

(b)

(c)

(d)

(e)

(f)

Page 40: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

24

Figure 11: One-dimensional spring-mass-damper model of a resonator.

The equation of motion for the system in Figure 11 is

%&' (&# )& (2.10)

where x is the displacement of the mass, and FACT is an actuation force applied to the system.

Using the Laplace Transform, this system’s transfer function from the input force to the output

displacement can be written as

*+*+ )&,-!1 ++." * / +0+.1

(2.11)

where ω0 is the resonant frequency of the system in radians/second defined as

+. 2)&%& (2.12)

and Q is the system’s quality factor, defined as

0 +.%&(& 3%&)&(& )&+.(& (2.13)

There are a few important properties to recognize in Equation (2.11). First of all, when

the system is driven by a low frequency force (ω << ω0), the system dynamics can be

neglected and the response is predicted almost entirely by the spring constant and Hooke’s

Law, F = kx. When driven at resonance (ω = ω0), the displacement lags 90° behind the drive

force and is amplified by the quality factor. This amplification of displacement by Q at

resonance is one of the most attractive features of a resonator. Finally, when driven by

e

FACT

Page 41: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

25

frequencies well above the resonant frequency (ω >> ω0), the displacement approaches zero as

1/ω2 and is 180° degrees out of phase with the drive force. A plot of Equation (2.11) is given

in Figure 12.

Figure 12: Magnitude and phase response of the force-to-displacement transfer function

of a simple mass-spring-damper system with Q=4 and normalized ke = 1 N/m.

Values for the effective mass and effective spring constant are often determined from

finite element simulation. For example, one can apply an appropriate actuation force in

simulation and measure the displacement of a point of interest. The effective spring constant

can be estimated by dividing the force by the displacement. The effective mass can then be

calculated from Equation (2.12) using the simulated resonant frequency and effective spring

constant. The effective damping constant can be calculated using Equation (2.13) if the

system’s quality factor is known. However, quality factor is often difficult to simulate or

predict a-priori. As a result, a ballpark estimate of Q is often used for design estimation, and a

more accurate calculation of the effective damping coefficient must often wait until Q can be

measured in the real system. Quality factor is discussed in more detail in sections 2.4.1 and

2.5.3

In order to complete the transfer function of the MEMS system in transducing from

the electrical domain to the mechanical domain and back again using Equations (2.8) and

10-1

100

101

10-2

10-1

100

101

ω / ω0

|x /

F|

10-1

100

101

-180

-135

-90

-45

0

ω / ω0

phase(

x /

F )

[degre

es]

Q

Page 42: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

26

(2.9), Equation (2.11) should simply be multiplied by jω in order to give the transfer function

from force to velocity

#*+*+ *+*+*+ *+)&,-!1 ++." * / +0+.1

(2.14)

This relationship is plotted in Figure 13 for the same resonator parameters as those used in

Figure 12.

Figure 13: Magnitude and phase response of the force-to-velocity transfer function of a

simple mass-spring-damper system with Q=4 and normalized ke = 1 N/m.

Now Equations (2.8), (2.9), and (2.14) can be combined to create a complete electrical

impedance transfer function for the electrostatic MEMS resonator from voltage input to

current output

*+*+ *+$)&,-!1 ++." * / +0+.1

(2.15)

10-1

100

101

10-1

100

101

ω / ω0

| velo

city /

F|

10-1

100

101

-90

-45

0

45

90

ω / ω0

phase(

velo

city /

F )

[degre

es]

Page 43: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

27

2.3.3 RLC Model

Notice that Equation (2.15) defines the resonator transfer function as an impedance.

For convenience, an equivalent circuit model of the resonator is often used in place of the

mechanical transfer function. The second order transfer function can be modeled using a

simple series RLC as shown in Figure 14. The parameters for the RLC model are given in

Table 1.

Figure 14: Equivalent RLC model of electrostatic MEMS resonator.

Table 1: RLC parameters for equivalent model of electrostatic MEMS resonator

Circuit Element Mechanical Equivalent

RM )&0+.$ 3%&)&0$ 3%&)& 0

LM %&$ %&

CM $)& )&

The motional resistance of the resonator, RM, is often the most important of these

parameters, since this parameter defines the ratio of the output signal to the input signal when

the device is driven at its resonance frequency. Researchers continue to struggle to reduce this

resistance value from the typical range of kiloOhms or even MegaOhms to hundreds of Ohms

[34]. Smaller values of motional impedance are often important for impedance matching or for

achieving low attenuation in filter applications [35]. Large values of motional resistance can

complicate the design of sustaining amplifiers in oscillator applications. This topic will be

discussed in more detail in Chapter 3.

Fix

ed

Fix

ed

CDRIVE CSENSE

VBIAS

vAC

iAC

Equivalent

vAC

iAC

RMLM

CM

Page 44: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

28

2.4. Nonideal Behavior

The simple linear one-dimensional model presented above is often sufficient for

predicting the fundamental behaviors of MEMS resonators. However, there are many second-

order effects that can complicate these seemingly simple devices. A few of these

considerations are discussed below.

2.4.1 Quality Factor and Energy Loss

Quality factor, introduced above as a dimensionless constant related to the damper in

the linear model, is more formally defined as two pi times the ratio of the energy stored in a

resonator to the energy lost in each oscillation cycle

0 24 5 Energy StoredEnergy Dissipated per cycle (2.16)

Most applications employing a resonator benefit from a large Q. For example, timing and

frequency references employ high-Q resonators in order to lessen the impact of close-to-

carrier phase noise. Resonant sensors also benefit from the amplification and frequency

stability offered by high-Q devices, and filters often require high-Q to achieve high frequency

selectivity. As a result, the loss mechanisms that determine a device’s Q remain an active area

of research. Weinberg et al. give an excellent review of energy loss mechanisms in [36]. A

brief overview is provided here.

Generally speaking, multiple energy loss mechanisms can contribute to a device’s

overall quality factor. One can calculate the total quality factor by combining the quality factor

contributions of individual mechanisms similar to parallel resistors

0GHGIJ,- 0-,- 0,- 0K,- L (2.17)

In many cases, one energy loss mechanism in particular proves to dominate, and the others

can often be ignored.

At lower frequencies and ambient pressures at and around atmospheric, air damping is

often the dominant effect that limits resonator Q [37]. In many systems that do not benefit

from a large Q, air damping can even be used to maintain Q < 1. Air damping comes in two

primary forms: squeeze film damping and Couette damping. Squeeze film damping occurs

when a thin film of fluid is squeezed between two moving surfaces. This type of behavior is

Page 45: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

29

especially common in electrostatically transduced resonators that require small capacitive

gaps. Couette damping occurs when two surfaces move parallel to one another and generate

shear forces on the intervening fluid. Even at low pressures the effects of air cannot be entirely

neglected. The collision of air molecules with a suspended MEMS device can be a source of

mechanical noise, and the adsorption and desorption of air molecules from the surface of a

resonator can perturb its resonant frequency.

At moderate frequencies and low pressures, thermal effects begin to appear.

Thermoelastic dissipation is the dominant energy loss mechanism in the silicon resonators that

are used throughout this thesis, and is discussed in more detail in section 2.5.3. This

dissipation effect stems from the mechanical vibration creating thermal gradients in the

microstructure. As heat flows from hot regions to cold regions, energy is lost from the system

[38-40]. At higher frequencies, the Akhieser effect (a quantum behavior linked to non-

equilibrium phonon distributions) can dominate a resonator’s quality factor [41].

The design of the mechanical anchors in a MEMS resonator can also have a large

impact on Q, as acoustic energy can leak out of the device into the surrounding substrate. This

type of loss can be difficult to model, though it can often be reduced substantially through

proper design of differential structures or the use of quarter-wavelength impedance matched

anchors [42, 43].

Electrical effects like resistive damping and force feedback can also contribute to

damping in a MEMS resonator. For example, Nguyen and Howe use an electronic system to

control the quality factor of a MEMs resonator, thereby making it independent of ambient

pressure [44]. Resistive losses in the silicon carbide suspension and anchor dominated the

single-ended quality factor of the devices in [45].

Devices with many internal boundaries or defects can also exhibit losses from internal

effects [16]. These loss mechanisms can often be neglected in single crystal silicon, but can

play a role in polycrystalline or composite structures [46]. Finally, in devices with large

surface-area-to-volume ratios, surface effects can contribute to the overall loss [47].

2.4.2 Feedthrough Capacitance

The simple RLC model presented above is missing a component that, depending upon

the design of the resonator and interface, might play an important role in describing the

system’s behavior. Stray capacitance between the drive and sense terminals forms a

Page 46: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

30

feedthrough capacitor CFT that can be added in parallel to the RLC model, as shown in Figure

15.

Figure 15: Equivalent RLC model for a MEMS resonator including feedthrough

capacitance.

An example transfer function for this system is shown in Figure 16. Notice that the

feedthrough capacitance adds an anti-resonance peak and an additional phase transition from -

90° to +90°. When the feedthrough capacitance is small compared to 1/ ω0RM, as it is in Figure

16, its effects can sometimes be neglected. For example, notice that the magnitude and phase

conditions at and around the original resonance frequency are nearly identical in Figure 16 and

Figure 13. However, in many MEMS applications this condition cannot be easily satisfied.

Fix

ed

Fix

ed

CDRIVE CSENSE

VBIAS

vAC

iAC

Equivalent

CFT

vAC

iAC

RMLM

CM

CFT

Page 47: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

31

Figure 16: Transfer function including feedthrough capacitance, where ω0CFTRM << 1.

Feedthrough capacitance can be a limiting factor for the useful operation of high

frequency MEMS devices (since the admittance of the feedthrough capacitance increases with

frequency). If the resonance and anti-resonance occur too close to one another, the resonator

phase may not transition fully from +90° to below 0° as shown in Figure 17, and this can

significantly complicate the design of a sustaining oscillator. The use of differential structures

or of shielding between the drive and sense electrodes is often effective in reducing the total

feedthrough capacitance to manageable levels. In addition, some circuit techniques, such as

square wave drive [48], feed-forward cancelation [49], 2f drive [50], or mixed-mode

measurement [51] have been developed to extend the useful range of these devices to higher

frequencies.

10-1

100

101

10-2

10-1

100

101

ω / ω0

| i A

C /

vA

C |

10-1

100

101

-90

-45

0

45

90

ω / ω0p

hase(

i AC

/ v

AC

) [

degre

es]

Page 48: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

32

Figure 17: Transfer function including feedthrough capacitance, where ω0CFTRM = 0.5.

2.4.3 Spring Softening

The one-dimensional mechanical model lumps all of the mechanical spring effects

into a single parameter, ke. However, there are additional electrical effects that can add

effective elasticity to the system, thereby modifying the spring constant and the resonant

frequency. Termed “electrostatic spring softening,” this effect can be advantageous for

systems that seek to tune the resonant frequency electronically [52, 53], and disadvantageous

for systems that aim to achieve constant resonant frequency in spite of electronic variations.

Notice from Equation (2.2) that the electrostatic force acting on the moveable element

in a MEMS system is inversely proportional to d, the gap between the fixed and moveable

elements. As the device vibrates back and forth, this gap changes, thereby modulating the

electrostatic force. In other words, the electrostatic force is dependent upon position and can

therefore be described using Hooke’s spring law F=kvx, where kv is the electrostatic spring

constant. kv can be derived by taking the Taylor series expansion of the electrostatic force with

respect to the displacement at the position x=0

10-1

100

101

10-1

100

101

ω / ω0

| i A

C /

vA

C |

10-1

100

101

-90

-45

0

45

90

ω / ω0p

hase(

i AC

/ v

AC

) [

degre

es]

Page 49: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

33

M N 12 M N 16 KK M NK L

(2.18)

The first term in this expression is a DC force which, as mentioned above, can often be

neglected. The second term creates the electrostatic spring softening, and the higher order

terms create additional nonlinear effects that will be discussed briefly below, and are

expanded upon in [32, 54, 55]. Focusing on the first-order term yields

)P Q M NRST. (2.19)

This calculation applies equally to the drive and sense electrodes, so in a balanced system the

total electrostatic spring constant is

)P 2 (2.20)

The total spring constant consists of the combination of the mechanical and electrical spring

constants. Including this electrostatic effect, the resonant frequency becomes

) )& )P, +. 2)& )P%& U)& 2%& (2.21)

Notice that the resonant frequency now exhibits some dependence upon the bias voltage. This

dependence is often rewritten as

+. V1 WXY2)& Z2)&%& (2.22)

The sensitivity of resonant frequency to small fluctuations in bias voltage can be determined

from the first derivative

Page 50: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

34

[. 2[.)& \ (2.23)

where ΓBIAS is the sensitivity of frequency to bias voltage and has units of Hz/V.

2.4.4 A-f Effect

Just as the first-order term in the electrostatic force expression can create an effective

spring constant that has an impact on the resonant frequency, so too can higher order terms in

a force expression modulate the resonant frequency. In many systems, these higher-order

terms can be both electrical and mechanical in nature. For example, the expression F=kx is

often only valid for small x. When x becomes large, the simple linear spring model breaks

down and higher order spring terms can no longer be neglected. This is evident in the

electrostatic spring equation (2.18), but is also true for mechanical systems such as cantilever

beams [56]. As a result, the spring constant and therefore the resonant frequency of MEMS

have some dependence on the amplitude of vibration. This relationship is called the

amplitude-frequency (A-f) effect.

Qualitatively, this dependence can be understood in the case of the nonlinear

electrostatic force by imagining the forces acting on the moveable element during a vibration

cycle. When the element is near the sense electrode, electrostatic spring softening effects are

maximized because they depend inversely on the separation between the fixed and moveable

element. When the element is equidistant between the drive and sense electrodes, the

electrostatic effect is minimized. When the element is near the drive electrode, the effect is

again maximized. Furthermore, with larger vibration amplitude, the moveable element gets

closer and closer to the drive and sense electrodes; therefore, the electrostatic effects increase

with vibration amplitude. Because electrostatic nonlinearities tend to reduce the overall

stiffness, larger vibration amplitudes tend to reduce the resonant frequency of a device when

electrostatic nonlinearities dominate. A thorough quantitative derivation can be found in [32,

54, 55]. Mechanical nonlinearities can be either softening or stiffening, so the overall

amplitude-frequency sensitivity will depend on the details of the resonator’s material

construction, geometry, and transduction mechanism.

The effects of a softening-type electrostatic nonlinearity are shown in Figure 18.

Notice that the nonlinearity causes the resonant peak to bend to the left as the amplitude of

vibration increases. Stiffening type nonlinearities tend to bend the resonant peak to the right

Page 51: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

35

with increasing vibration amplitude. The phase relationship also follows this bending behavior

to the left or right in order to maintain phase = 0° at the amplitude-dependent resonant

frequency.

Figure 18: Experimentally measured dependence of resonant frequency on drive

amplitude from a MEMS resonator in [55].

2.4.5 Long-Term Stability

For resonators used in timing and frequency reference applications, long-term stability

is extremely important. Unfortunately, many factors can contribute to long-term drift or aging

in MEMS devices. For example, as mentioned earlier when discussing the resonant sensor

applications of MEMS, resonant frequency is sensitive to the adsorption or absorption of

chemicals or organic molecules. When a MEMS device is operated in an environment that

contains chemicals that can vaporize from or attach to the device’s surface (e.g. water!), the

resonant frequency may drift with time. Because MEMS devices can be quite small,

sensitivity to individual molecules is not insignificant. For example, the resonant frequency of

the nano-scale double-clamped silicon beam resonator in [57] would shift by about 4 Hz (4

ppb) if a single molecule of water were to land upon it! The sensitivity of the micro-scale

devices used in this work are several orders of magnitude lower, but the effects of mass

Page 52: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

36

loading are still non-negligible. For this reason, proper packaging is absolutely vital for

MEMS timing references.

Avoiding material fatigue or stress relaxation effects is also important for long term

stability. Many amorphous materials tend to exhibit hysteresis or inelastic deformation after a

large number of cyclic loading events (e.g. resonant operation). Devices fabricated from these

materials sometimes fail to maintain a constant stiffness with time, thereby degrading the

stability of their resonant frequency with time. For example, the first MEMS resonator, the

resonant gate transistor, used metal to define the resonant beam [13]. However, this device

suffered from frequency drift related to instabilities in the flexible metal gate. Single crystal

materials such as silicon and quartz tend to exhibit very stable long term material properties

and are therefore ideal candidates for use in timing and frequency reference applications. One

must still consider the stability and influence of the other materials which are used to provide

mechanical packaging and electrical interconnect to these single crystal devices, as stresses in

the substrate or packaging can also lead to poor long-term stability [58].

2.4.6 Temperature Dependence

Temperature can affect the operation of a MEMS resonator in many ways. If the

device is comprised of or packaged with materials that have different thermal expansion

coefficients, then temperature changes can induce stress concentrations that may affect the

resonant frequency [58]. However, this effect can be mitigated by proper device and package

design. For example, using one anchor can help to isolate a MEMS device from the package

and substrate, and using a single material or symmetric layers can remove the stress related

effects of thermal expansion mismatch. Temperature variations can also affect the electrical

properties of the device or surrounding material, such as the permittivity of a capacitive gap or

the resistance of an interconnect, and these variations can alter the device’s performance.

However, these variations are often small and do not impact the resonant frequency to first

order. Temperature also influences the pressure of the surrounding gas and the thermal

behavior and thermal loss mechanisms in the system, thereby affecting the quality factor.

However, the resonant frequency in high-Q systems is insensitive to small changes in Q, so

these effects are usually negligible as well. Parasitic vibratory modes in the structure or the

package can also depend strongly temperature. If the frequency of any of these modes

becomes close to the frequency of the intended mode of operation, then the device may

behave strangely or not function at all. This behavior sometimes occurs in quartz oscillators

Page 53: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

37

and is known as “activity dips” [59]. However, activity dips are less common in MEMS

devices and can be avoided through proper simulation and design of the resonant structure and

package.

The dominant intrinsic mechanisms by which temperature affects a MEMS

resonator’s resonant frequency are through thermal expansion and the temperature dependence

of elastic modulus. For example, as a flexural beam expands thermally, its moment of inertia

changes slightly. This change in the moment of inertia translates directly into a modification

of the beam’s stiffness and therefore its resonant frequency. Similarly, most materials tend to

become more compliant as temperature increases, and this decrease in the elastic modulus

directly impacts the device’s resonant frequency. For simple single-material systems, the

temperature coefficient of frequency can be approximated as

][ 12]^ 12_ (2.24)

where TCE is the temperature coefficient of the elastic modulus and α is the coefficient of

thermal expansion. As an example, for single crystal silicon TCE ≈ -60 ppm/°C and α ≈ -2.6

ppm/°C. Therefore, silicon MEMS resonators tend to exhibit native temperature dependence

of frequency on the order of -31 ppm/°C, and this dependence is dominated by the temperature

coefficient of the elastic modulus. For complex systems involving multiple materials, higher

order temperature dependencies, or the anisotropy of the silicon lattice, please refer to [60]

and [61]. A measurement of the temperature dependence of resonance frequency in an epi-

sealed silicon DETF (double ended tuning fork) resonator is shown in Figure 19. This

resonator will be discussed in detail in section 2.5.

Page 54: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

38

Figure 19: Measured frequency vs. temperature characteristic of a single crystal silicon

DETF resonator.

2.5. Epi-Sealed Silicon DETF Resonator

For the majority of the work in this thesis, single crystal silicon single-anchored

double ended tuning fork (DETF) resonators encapsulated using “epi-seal” technology were

used as prototypical MEMS devices. One can think of these devices as the microscale version

of two back-to-back macroscale tuning forks, similar to the device that might be used to tune a

musical instrument. The single-anchored version is chosen here in order to isolate the structure

from stresses in the substrate. DETFs tend to be easy to design, operate, and understand, and

therefore make excellent test vehicles. This section will give some details about silicon DETF

resonators, an example of which is shown in Figure 20.

-20 0 20 40 60 80

-1500

-1000

-500

0

500

1000

1500

∆∆ ∆∆f

/ f 0

[p

pm

]

Temperature [°°°°C]

TCf = -31 ppm/°°°°C

Page 55: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

39

Figure 20: DETF illustrations

a) Analogous macroscale representation of a DETF b) Cartoon drawing of a single-anchored

DETF resonator (courtesy of Dr. M. Hopcroft), c) cutaway drawing of encapsulated DETF

(courtesy of Dr. R. Candler).

2.5.1 Fabrication

Detailed descriptions of the fabrication process can be found in [60-62]. A very brief

overview of the fabrication process is repeated here for completeness. Figure 21 shows a

simplified fabrication flow. The process begins with commercially available single crystal

silicon-on-insulator (SOI) wafers with a device layer thickness on the order of 20 µm and a

buried oxide layer thickness of roughly 2 µm (Figure 21a). Photolithography and deep reactive

ion etching (DRIE) are used to define the MEMS device and electrostatic transduction

Silicon

Handle

SiO2

SiO2

SiO2

Silicon

AlAl

Poly Si

20µm

20µm

2µm

Double Ended

Tuning Fork Resonator

d = 1.5µm

Anchor

50 μm

a) b)

c)

Page 56: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

40

electrodes (Figure 21b). A sacrificial layer of tetraethyl orthosilicate (TEOS) silicon dioxide is

then deposited. This layer is patterned using photolithography and reactive ion etching to

define electrical contacts to the device layer (Figure 21c). Next, a layer of silicon between 2

and 20 µm thick is epitaxially grown on top of the sacrificial oxide (Figure 21d). Vent holes

are defined using photolithography and DRIE (Figure 21e), and a vapor hydrofluoric acid etch

step is used to remove the sacrificial oxide around the MEMS structures (Figure 21f). An

additional layer of epitaxial silicon is then used to seal the vents and encapsulate the devices

(Figure 21g). Then, photolithography and DRIE is used to define isolation trenches for the

electrical contacts (Figure 21h). An insulating layer of silicon dioxide is added to the surface

and openings are defined for electrical contacts (Figure 21i). Finally, aluminum traces are

deposited and patterned (Figure 21j). After fabrication, a lengthy thermal annealing step in a

nitrogen ambient is used to allow the high pressure hydrogen trapped inside the cavity to

diffuse out of the wafer leaving behind a low pressure (< 1 Pa) environment. A modification

to this process flow, described in [60, 63], can be used to add a thermally grown coating of

silicon dioxide to the structures in the device layer.

Page 57: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

41

Figure 21: Simplified cross sectional view of the flow of the epi-seal process.

This process is named “epi-seal” because of the important sealing step shown in

(Figure 21g). The vent holes are sealed in an epitaxial reactor, and this environment defines

the ambient inside the cavity after sealing. Fortunately, an epitaxial reactor is one of the

cleanest environments that man can create: the sealing occurs at roughly 1100 °C in an

ambient consisting mostly of hydrogen. In these conditions, organic particles, humidity, and

even silicon’s native oxide coating cannot survive—these materials are desorbed, leaving only

a pristine, uncontaminated, oxygen-free environment behind. This is the perfect environment

Device Layer

Substrate

Silicon

Silicon Dioxide

Aluminum

a)

b)

c)

d)

e)

f)

g)

h)

i)

j)

Page 58: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

42

for resonators intended for timing applications. In fact, previous work has shown that

resonators fabricated using the epi-seal process achieve excellent long-term stability [4, 62].

The epi-seal process also offers the advantages of being wafer scale: high volume, low cost

production of large numbers of packaged devices can be achieved using standard CMOS

processing equipment [4, 64].

2.5.2 Resonant Frequency

DETFs are flexural resonators and are most commonly operated in their first anti-

parallel mode, shown in Figure 22. The device’s resonant frequency can be predicted by

examining the behavior of a single beam resonator. A thorough derivation is provided in [60].

The resulting analytical expression for the resonant frequency is

[. 4.7324 de 2 ^12f (2.25)

where E is elastic modulus, w is the width of the beam in the direction of motion, ρ is the

density of the beam, and L is the length of the beam. For example, for a silicon beam with

dimensions w = 5.25 µm and L = 200 µm, Equation (2.25) predicts f0 = 1.15 MHz (using E =

169 GPa for a beam oriented along the <100> direction). Measurements of a DETF with these

lithographic dimensions show f0 = 1.05 MHz (the discrepancy is likely dominated by

fabrication tolerance). Typically designers will simply scale a known or measured device

frequency by the appropriate w/L2 ratio to predict the frequency of a similarly sized device.

For example, knowing that a 5.25 µm x 200 µm device has a frequency of 1.05 MHz, one

would expect a device of 6.75 µm x 200 µm to have a frequency of 1.05 MHz x 6.75/5.25 =

1.35MHz. This is very close to the measured value of 1.34 MHz.

Page 59: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

Figure 22: Simulation of the first antiparallel flexural mode of a DETF resonator.

Strain is shown color.

2.5.3 Quality Factor

Although many sources of energy loss in MEMS resonators can be difficult to predict

in advance, the dominant energy loss mechanisms in e

resonators, is currently well understood and can be predicted fairly accurately with appropriate

simulation software. This prediction capability is based in large part upon the work described

in [40, 65]. As mentioned in section

DETF resonators is limited by thermoelastic

analysis of these effects is given in

At high pressures, the DETF resonators used in thi

This is evident from measurements of the quality factor of these devices taken over a large

range of pressures, shown in

epi-seal encapsulation leaves a residual pr

device. This is well below the knee in the Q vs. pressure curve for a DETF at or above 1 MHz.

At this low pressure, energy losses from the temperature gradients created inside

due to the strain profile shown in

of thousands.

43

imulation of the first antiparallel flexural mode of a DETF resonator.

Quality Factor

Although many sources of energy loss in MEMS resonators can be difficult to predict

in advance, the dominant energy loss mechanisms in epi-sealed devices, particularly DETF

resonators, is currently well understood and can be predicted fairly accurately with appropriate

simulation software. This prediction capability is based in large part upon the work described

As mentioned in section 2.4.1, the quality factor of epi-seal encapsulated silicon

DETF resonators is limited by thermoelastic dissipation (TED). A thorough investig

analysis of these effects is given in [40, 65, 66], but the key points will be summarized here.

At high pressures, the DETF resonators used in this work are limited by gas damping.

This is evident from measurements of the quality factor of these devices taken over a large

range of pressures, shown in Figure 23. However, the nitrogen annealing steps performed after

encapsulation leaves a residual pressure of less than 1 Pa surrounding the MEMS

device. This is well below the knee in the Q vs. pressure curve for a DETF at or above 1 MHz.

At this low pressure, energy losses from the temperature gradients created inside

profile shown in Figure 22 (i.e. TED) limit the devices’ quality factors to tens

imulation of the first antiparallel flexural mode of a DETF resonator.

Although many sources of energy loss in MEMS resonators can be difficult to predict

sealed devices, particularly DETF

resonators, is currently well understood and can be predicted fairly accurately with appropriate

simulation software. This prediction capability is based in large part upon the work described

seal encapsulated silicon

. A thorough investigation and

, but the key points will be summarized here.

s work are limited by gas damping.

This is evident from measurements of the quality factor of these devices taken over a large

. However, the nitrogen annealing steps performed after

essure of less than 1 Pa surrounding the MEMS

device. This is well below the knee in the Q vs. pressure curve for a DETF at or above 1 MHz.

At this low pressure, energy losses from the temperature gradients created inside the resonator

limit the devices’ quality factors to tens

Page 60: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

44

Figure 23: Q vs. pressure for two different silicon DETF resonators from [61].

The red plusses indicate measurement data from a silicon DETF with resonant frequency near

1.3 MHz, which is similar to the devices used in this work.

Evidence that TED is the limiting effect in these resonators is twofold: 1)

measurements of quality factor vs. resonant frequency and beam dimensions agree with TED

theory [39], and 2) measurements of quality factor vs. temperature also agree with TED theory

[66]. Because the second item is particularly relevant to the temperature compensation scheme

described in Chapter 5, it is worthwhile to explore this relationship in more detail.

Zener first described TED in the 1930’s [67]. From his work, one can derive an

analytical formula for the thermoelastic-dissipation-limited quality factor of a rectangular

beam in the first flexural mode as

0 M[. [[.[ N ghf_].^ [ 4)Gi2ghfd

(2.26)

where f0 is the mechanical resonance frequency given by (2.25), kth is thermal conductivity, cp

is the specific heat, T0 is absolute temperature, α is the thermal expansion coefficient, and ρ is

density (mass per unit volume) [61].

Page 61: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

45

At first glance, one might assume that the relationship between temperature and QTED

is simple and is given by the T0 that appears in the denominator of Equation (2.26). However,

all of the terms in (2.26) are functions of temperature. In particular, kth, cp, and α are strong

functions of T0 at room temperature. As a result, the quality factor of the silicon DETFs used

in this work tends to vary as T0-β, where β is typically in the range 1 to 5 [66]. The quality

factors of two DETF resonators that will be used extensively in chapter 6 are plotted against

temperature in Figure 24.

Figure 24: Quality factor vs. temperature for two DETF resonators.

Resonator 1 has beam dimensions w × L × h = 5 × 180 × 20 µm3 and resonator 2 has

dimensions 10 × 250 × 20 µm3. Both resonators also have a uniform 0.35 µm SiO2 coating that

provides passive temperature compensation, but this has only a minor impact on Q [60].

2.5.4 DETF Miscellany

The epi-seal encapsulation process combined with the DETF design in Figure 20

provides an excellent combination for the minimization of feedthrough capacitance from the

drive electrodes to the sense electrode. As shown in Figure 25, as long as the silicon cap,

substrate, and resonator beams are held at fixed potentials by low impedance sources (such as

VBIAS or ground), the sense electrode is effectively surrounded by a Faraday cage which

practically eliminates all feedthrough effects inside the resonator cavity. The residual

-20 0 20 40 60 80 1007000

8000

9000

10000

12000

15000

20000

25000

30000

35000

Temperature [°C]

Qualit

y F

acto

r

Resonator 1

Resonator 2

Fit 1, Q ∝ T-3.5

Fit 2, Q ∝ T-2.6

Page 62: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

46

feedthrough capacitance that is measured in practice for a packaged DETF resonator is on the

order of tens of femtofarads or smaller and likely results from feedthrough at the interconnect

or package level.

Figure 25: Cross sectional illustration of the feedthrough capacitance from the drive port

to the sense port of an epi-sealed DETF resonator.

Capacitors CF1, CF2, and CF3 do not contribute to the feedthrough because they terminate on

low impedance nodes. Only capacitors CF4, which originate outside the encapsulation, add to

the device’s feedthrough capacitance.

Typical values for a variety of parameters for MHz-range silicon DETF are listed in

Table 2. These values are estimates and are appropriate for use in order-of-magnitude

calculations.

Silicon SiO2 Aluminum

CF2

CF4

CF3

CF1

CF2

CF3

CF1

CF4

Sense

Dri

ve

Driv

e

Page 63: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

47

Table 2: Typical values for the DETFs used in this work

f0 Resonant frequency 0.8 – 1.5 MHz

Q Quality factor 5k – 40k

h Height of resonator beam 20 µm

VBIAS Bias voltage 4 – 40 V

w Width of resonator beam 4 – 12 µm

L Length of resonator beam 100 – 400 µm

d Space between beam and drive/sense electrodes 1 – 2 µm

me Effective mass 50 – 500 ng

ke Effective stiffness 5 – 50 kN/m

be Effective damping 50 – 500 nN-s/m

RM Motional resistance 30 kΩ – 3 MΩ

LM Motional inductance 1 – 10 kH

CM Motional capacitance 0.5 – 10 aF

CFT Feedthrough capacitance 1 – 100 fF

η Electrostatic transduction coefficient 0.05 – 1 µN/V

ΓBIAS Sensitivity of frequency to bias voltage -50 to -500 ppm/V

Page 64: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

48

3. MEMS Oscillators

Resonators are necessary parts of most modern timing references, but they are not

sufficient. Many applications require a steady state sinusoidal or square wave output signal at

a particular, constant frequency. This type of signal can be achieved by combining a resonator

with a sustaining circuit: the resonator’s inherent mechanical or electrical characteristics select

and maintain the frequency of oscillation, and the sustaining circuit provides appropriate

stimulus to the resonator to maintain a constant amplitude of vibration. This chapter will

describe the basic concepts of MEMS oscillator design and operation. It will then discuss two

example sustaining circuits in detail. The first is a high gain CMOS transimpedance amplifier

designed to interface with especially high-impedance MEMS resonators. The second is a

printed circuit board (PCB) implementation of a MEMS oscillator that was used extensively in

the work in Chapters 5 and 6.

3.1. MEMS Oscillator Fundamentals

The MEMS resonators used here function as series impedance elements. Section 2.3.3

described a series RLC model that provides a simple and effective electrical equivalent for the

MEMS device. This model takes a voltage as an input and provides a current to ground as an

output. It therefore follows that a series element that takes a current to ground as its input and

provides a voltage as its output would be appropriate for completing the feedback loop shown

in Figure 26. A transimpedance amplifier (TIA) satisfies this requirement.

Page 65: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

49

Figure 26: Feedback system consiting of an electrostatic MEMS resonator and a

transimpedance amplifier.

Three criteria are required for this feedback system to maintain steady-state

oscillations:

1. The total gain around the loop must be greater than or equal to one

2. The total phase around the loop must be 0°

3. Some nonlinear mechanism must be present that limits the oscillation amplitude

From the analysis in section 2.3, it is clear that the transimpedance amplifier will meet the first

two criteria if its phase response is near 0° at frequency f0 and its gain is greater than or equal

to RM. While this sounds easy in principle, achieving these goals in practice can be quite

difficult. For instance, achieving small motional impedance in electrostatic resonators often

requires very small transduction gaps (< 2 µm ). However, sub-micron gaps are not readily

available in all processes, particularly in processes with high aspect ratios. As a result, it is not

uncommon to have RM > 1 MΩ in processes that have not been designed specifically for high

performance MEMS resonators. Achieving transimpedance gains in excess of 1 MΩ can

become very difficult at high frequencies, especially with the added constraint of maintaining

phase near 0°.

Consider the phase response of a simple single pole system, shown in Figure 27.

Notice that the phase response deviates from 0° at frequencies significantly below the

Fix

ed

Fix

ed

VBIAS

vAC iAC

Transimpedance Amplifier

Gain ≥ RM

0° Phase shift

Measure iACApply vAC

Page 66: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

50

system’s bandwidth. Consequently, a TIA’s bandwidth should be significantly larger than f0 in

order to ensure an appropriate phase response near f0. Furthermore, as discussed in section

2.4.2, feedthrough capacitance can further degrade the phase performance near f0 and may

actually prevent the resonator’s phase from passing through 0°. This feedthrough capacitance

can come from the resonator, the transimpedance amplifier, and any of the associated

interconnects, and it tends to be particularly troublesome in systems with large motional

impedance at high frequencies.

Figure 27: Bode plot of single pole system.

The third criterion is always met in practice in any system. That is, there is always

some effect that limits oscillation amplitude to a finite value. In some MEMS oscillators, this

effect comes from the nonlinear dynamics of the MEMS device itself. However, oftentimes a

designer does not want to push the MEMS device to its limits, as this can degrade device

performance or even cause the device to break. Therefore, nonlinear limiting elements are

usually included in the electronic path. Examples include clamping or limiting amplifiers that

saturate at a known voltage amplitude or automatic gain control systems that employ active

feedback to maintain constant oscillation amplitude.

10-2

10-1

100

101

102

-40

-20

0

Magnitude [

dB

]

10-2

10-1

100

101

102

-100

-50

0

ω / ω0

Phase [

degre

es]

Page 67: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

51

3.2. High-gain CMOS TIA

This section describes the design, analysis, and measurement of an integrated CMOS

TIA intended for use with MEMS resonators that exhibit motional impedances in excess of 10

MΩ. These high-impedance resonators are not uncommon in CMOS-MEMS processes (i.e.

MEMS processes that use simple etching steps to integrate mechanical devices directly into

CMOS wafers) [68]. With large motional impedances, signal levels also tend to be small, and

signal-to-noise ratios can suffer as a result. For these reasons, TIAs with large gain and small

input-referred noise are desirable. The integrated TIA presented here achieves a large gain,

low input-referred noise, and a bandwidth suitable for closed-loop oscillation up to 200 kHz or

for sensing up to and above 1 MHz.

3.2.1 Architecture Comparison

The TIA topology most commonly used for MEMS applications consists of a voltage

amplifier and a feedback resistor to set the gain, as shown in Figure 28 [19, 69-71].

Figure 28: Resistive feedback transimpedance amplifier.

Assuming that the amplifier has input capacitance CP and exhibits a single pole

response with DC gain A0>>1 and bandwidth BW, the frequency response of the

transimpedance gain of this topology can be computed as:

jklml no1 l+.0 l+.

(3.1)

where +.0 pq rstprqt- and +. pq rrts [72].

iSIG

vOUT

RF

CF

CP

(a)

i

Page 68: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

52

If an appropriately sized feedback capacitor CF is added to achieve a maximally flat

frequency response, the closed-loop bandwidth is ω3dB = ω0. Furthermore, the input-referred

current noise including the contributions of RF and the amplifier input-referred voltage noise,

vN2, is

4)]no M 1no +o uN (3.2)

where ω is frequency, k is the Boltzmann constant and T is temperature. Notice that a larger

RF is desirable for maximizing gain and minimizing noise, but a smaller RF is desirable for

maximizing bandwidth and closed-loop stability. This tradeoff is intrinsic to the resistive

feedback topology and deserves further investigation. Consider a numeric example:

Suppose one wanted to design a resistive feedback TIA that achieves a gain of 100

MΩ and a bandwidth of 2 MHz. Suppose also that the parasitic capacitance at the input node

(CP) due to the amplifier, interconnect, and MEMS device were 1 pF. These requirements are

comparable to those of the CMOS-MEMS system developed in this section. The most

straightforward approach to achieving a gain of 100 MΩ is to use RF = 100 MΩ. However,

plugging these values into Equation (3.1), one soon finds that maintaining stability with a 2

MHz bandwidth is nearly impossible. In addition, the implementation of a 100 MΩ resistor in

CMOS is not easy—passive polysilicon or Nwell implementations are huge and possess many

parasitics, and active implementations using MOSFETs can be highly nonlinear and noisy. As

a result, one is forced to use a smaller value, say RF = 10 MΩ, and follow this TIA with a

gain-of-ten voltage amplifier. Even this implementation is not easy, as meeting the bandwidth

requirements will still necessitate a feedback capacitance CF less than 1.5 fF, and the parasitics

associated with the amplifier and 10 MΩ resistor might easily exceed this value. Even so,

assuming that one can satisfy these requirements, one is left with an input referred noise

current of 41 fA/√Hz from the 10 MΩ resistor alone. A smaller resistance value might

simplify the implementation of RF or lighten the bandwidth and stability requirements, but it

will worsen the input referred noise.

Another topology used in MEMS applications is shown in Figure 29 [73]. While

capacitive feedback instead of resistive feedback eliminates the noise contribution of the

feedback network, this TIA adds a 90° phase shift to the signal path and therefore requires

phase correction in subsequent stages if it is to be used in closed-loop oscillator applications

[48, 74]. This topology will be explored in more detail in section 3.3.

Page 69: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

53

Figure 29: Capacitive feedback integrating TIA.

Now consider the TIA topology used here, first demonstrated by Razavi and shown in

Figure 30 [75]. In this TIA, the amplifier maintains a virtual ground at the input node by

adjusting the voltage vX so that iSIG flows through capacitor C1. Hence, vX = -iSIG/sC1. This

voltage necessitates a current through C2 equal to svXC2, which is supplied by source follower

M1. Consequently, the amplifier, M1, and C1 and C2 form a current amplifier that produces an

output current iOUT = iSIG(1+C2/C1). Loading the drain of M1 with a resistor RD yields a TIA

with gain RD(1+C2/C1).

Figure 30: TIA introduced by Razavi in [75] and used in this work.

Treating M1 as a transconductance gm and making the simplifying assumptions

C2>>C1 and A0C1>>CP, the frequency response of the current gain can be computed as

iSIG

vOUT

CF

CP

(b)

OUT

(c)

iSIG

CP C2

C1M1

RD

vOUT

vx

iOUT

CLOAD

ISS

Page 70: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

54

jklml - v1 l- .Wwx

1 l+.0 l+. (3.3)

where +.0 ypqz|yts/qt~| 1 and +. ypqzyts| .

This response exhibits one very high frequency zero, which can be neglected, and two

poles. To obtain a maximally flat frequency response (and ensure closed-loop stability with a

safe phase margin), one should equate the real and imaginary parts of the poles. The resulting

quadratic equation has two solutions and can be simplified by assuming either (a) BW2 >>

(gm/C2) 2 or (b) BW

2 << (gm/C2) 2. For case (a), one finds

- u2.- Ww (3.4)

Or, for case (b),

Ww - u2.- ! " (3.5)

The closed-loop 3 dB bandwidth for case (a) is

+K Ww√2 (3.6)

While for case (b) the TIA bandwidth is

+K √2 .Ww -√2- u (3.7)

In this work, case (a) was chosen in order to minimize the current through M1. By

requiring a smaller bias current in the output branch, case (a) reduces the noise contribution of

ISS and also allows for maximum RD and, hence, a larger gain. While this choice is ideal for

achieving maximum gain and minimum noise and may have been appropriate for an academic

prototype, it is not without tradeoffs. First of all, case (b) allows for a larger TIA bandwidth.

For this reason, Razavi uses case (b) in [75]. Notice from Equations (3.6) and (3.7) that case

(b) sets the TIA bandwidth near the gain-bandwidth product of the amplifier, while case (a)

keeps the TIA bandwidth significantly lower (less than BW).

Page 71: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

55

Furthermore, since Equation (3.4) or (3.5) must be satisfied in order to ensure stability

in case (a) and case (b), respectively, it is worthwhile to consider the variation of the terms in

these equations with process, voltage, and temperature. Case (a) takes the form gm = K1BW/A0,

where K1 is a ratio of capacitances. Assuming a simple model for the amplifier where BW =

1/ROUTCOUT and A0 = gmAROUT, this relation becomes gm = K2ROUT2/gmA, where K2 is again a

ratio of capacitances. Case (b) is of the form gm = K3A0BW. With the same assumptions as

above, this becomes gm = K4gmA. While it is entirely reasonable to expect gm and gmA to track

one another across process, voltage and temperature corners, gm = ROUT2/ gmA is much less

likely to remain true. Therefore, one expects case (b) to be a much more robust TIA

implementation. Even so, case (a) was used in this work for the noise and gain benefits

mentioned above.

The full response of the TIA is the product of Equation (3.3) and the impedance at the

drain of M1,

jklml jklml 5 n1 lnj (3.8)

The input referred noise for this architecture can be calculated in parts, starting with

the contribution of RD. This resistor adds current noise equal to 4kT/RD directly to the output

branch. Referring it to the input yields

1/- 11 4)]n (3.9)

Similar analysis for the amplifier’s input noise voltage vN2 shows that the input referred

current noise from this source is

+u - (3.10)

This architecture has several additional noise sources compared to the resistive feedback

topology. Two will be considered here: current noise from source ISS (iNSS2) and drain current

noise from transistor M1 (4kTγgm). For iNSS2, notice that M1 looks like a common gate or

cascode device. Therefore, iNSS2 passes directly through M1 and appears at the output. When

referred to the input, it is scaled down by the current gain. The transfer function for the drain

current noise of M1 is a bit less straight-forward. At first glance it may appear that this noise

can be neglected, similar to the noise from a cascode device [76]. However, for case (a) the

Page 72: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

56

TIA bandwidth extends well beyond the frequency of the dominant pole associated with the

source of M1, and this spoils the cascode approximation. Small signal analysis shows that in

case (a) the input referred noise from the drain current of M1 is approximately

y 4)]/1 21128 ++K

M1 8 ++K N (3.11)

Summing the contribution of RD, ISS, gm, and vN2, the input-referred noise becomes

1/1 -1 4)]n 32)] ++K

M1 8 +2+3W2 N +- u (3.12)

Notice that this noise expression is similar to (3.2). The major difference is that additional

terms from M1 and ISS have been added. However, these terms add noise to the output branch

along with the noise from RD, so their contribution to the input noise is scaled down by

(1+C2/C1) when referred to the input. As a result, this topology is capable of achieving lower

input-referred noise despite the addition of several new noise contributors.

For MEMS applications, this topology offers several advantages over the resistive

feedback TIA in Figure 28. First, in the resistive feedback TIA a large transimpedance gain

requires a large resistor, which can be difficult to realize on-chip. The TIA presented here

augments the gain of the resistor by the current gain (1+C2/C1) thereby allowing for larger on-

chip gain. Furthermore, in many applications the midband input-referred noise of the resistive

feedback TIA is dominated by noise from RF. In this work, the noise from RD is attenuated by

(1+C2/C1) when referred to the input. Finally, the pole from RDCLOAD appears outside the loop,

and as long as RD is less than the drain-source resistance of M1, this TIA’s stability is not

determined by the value of RD. This property allows for a different set of tradeoffs between

gain, noise, and bandwidth compared to the resistive feedback TIA.

Let’s return to a numerical example. Suppose once again that the TIA requires a gain

of 100 MΩ and a bandwidth of 2 MHz with a 1 pF input capacitance. Choosing reasonable

values like C2 = 10 pF and C1 = 100 fF allows the gain requirement to be met with RD = 1

MΩ. This value of resistance is much easier to implement on chip compared to the 10 MΩ or

100 MΩ resistors that were considered for the resistive feedback TIA. Furthermore,

Page 73: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

57

combining RD = 1 MΩ with the bandwidth requirements specifies that CLOAD should be less

than 80 fF. Again, this amount of load capacitance is not difficult to achieve, especially if a

buffer is used at the TIA output. Finally, consider the noise contribution of RD. While a 1 MΩ

resistor generates 130 fA/√Hz of current noise, this noise is added at the output node. When

referred to the input, it amounts to 130/(1+C2/C1) = 1.3 fA/√Hz, which is significantly smaller

than the noise contribution calculated for the resistive feedback TIA.

3.2.2 Implementation

In applications where the TIA output is applied back to the MEMS resonator input to

sustain oscillations, the resonator’s parasitic feedthrough capacitance can limit the TIA’s

bandwidth because it appears in parallel with the TIA’s resistive gain. One way to mitigate

this problem is to use a differential design (both resonator and TIA) in which the feedthrough

capacitance of the positive and negative branches cancel. To this end, a differential TIA was

implemented as shown in Figure 31a.

The amplifier consists of an NMOS differential pair with active loads designed to

achieve a gain of 160 and a 3 MHz bandwidth with a load capacitance around 200 fF. Source

followers M2A and M2B buffer the outputs at the drains of M1A and M1B in order to reduce the

load capacitance on these nodes. Resistors RD are implemented as active PMOS loads in order

to maximize the gain of the TIA. Active loads allow one to meet the competing requirements

of 1) delivering sufficient current to M1 and ISS, 2) allowing sufficient headroom for output

signal swing, and 3) maintaining high gain. As a disadvantage, PMOS loads exhibit much

larger current noise compared to equivalent passive resistors. They also contribute 1/f noise,

while passive resistors generally do not.

Page 74: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

58

Figure 31: (a) Differential transimpedance amplifier (b) input bias for amplifier.

In order to establish a DC bias at the input of the amplifier, the inputs are connected to

a stack of diode-connected PMOS transistors through long channel devices operating in

subthreshold as shown in Figure 31b. The input common-mode of M1A and M1B is set by the

common-mode feedback (CMFB) of the amplifier, which is implemented as in [77]. Likewise,

since resistors RD are implemented as active PMOS loads, a second similar CMFB loop

stabilizes the voltages at the drains of M1A and M1B by adjusting their bias currents. The

amplifier input and load devices are sized to obtain an input-referred offset of less than 1 mV,

which is easily tolerated by the subsequent source follower stages.

3.2.3 Test Circuits

Figure 32 shows a die photo of the prototype fabricated in a four-metal one-poly 180

nm CMOS process with VDD=1.8V. A voltage-to-current converter (V2I), a buffer, and a

MEMS resonator were fabricated along with the TIA to facilitate testing. CMOS switches S1,

S2, and S3 allow for a variety of connections between these subcircuits (Figure 33). At the time

of this dissertation’s completion, the MEMS resonator had not been released and therefore

served only as an optional load capacitor at the input and output of the TIA. It was

disconnected from the TIA for the measurements in this work.

iSIG

-+

iOUT-

+iOUT

vOUT

+vOUT

-

C1 C1

C2(HALF)

5.5 pF

110 fF110 fF

RD RD

M1A M1B

M2A M2B

Amp-

Amp+

(a) (b)

Page 75: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

59

Figure 32: Photo of the TIA chip. The TIA occupies less than 280x180 µm

2.

Figure 33: Test circuits and switches included on chip for TIA characterization.

The V2I is a differential element with small transconductance and very large output

impedance. It was designed to drive small currents into the input of the TIA in order to

facilitate measurements of the TIA gain, RTIA. The V2I consists of an NMOS differential pair

with active loads. The output devices have very long channels, and separate low frequency

feedback loops stabilize the DC voltages at the output of the V2I in order to maintain large

output impedance in spite of mismatch and offset. Figure 34 shows a schematic of the V2I.

Measurements of the V2I gain are shown in Figure 35. The buffer consists of a pseudo-

differential pair of PMOS source followers and was used to drive off-chip loads.

MEMS Resonator (Unreleased)

V2I TIA

Buffer

Coupling Capacitor

200 μm

V2ITIA

Off-chip

Off-chip

Off-chip

S1

S2

S3

Off-chip

MEMS Resonator

(unreleased)

6 pF

Coupling

Capacitor

Buffer

Page 76: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

60

Figure 34: V2I converter used to test the TIA.

The low-frequency feedback loops utilize 10 µF off-chip capacitors and maintain an optimal

bias point and high output impedance in the presence of offset and mismatch. Simulations

indicate an output impedance near 700MΩ || 25 fF.

Figure 35: Measured gain of the V2I converter vs. frequency is a constant 1.53 µS in the

frequency range of interest.

3.2.4 Measurement Results

Measurements of the TIA gain (RTIA) were performed in two steps. First, the V2I

converter’s transconductance (gV2I) was measured by routing its output off-chip using S1. Next,

the V2I converter’s output was routed to the TIA’s input through a coupling capacitor and

their combined voltage gain was measured. RTIA was then extracted by dividing the total

voltage gain by gV2I, which was a constant 1.53 µS over the measured frequency range. Figure

36 plots RTIA vs. frequency. The output noise is plotted in Figure 37. The V2I was turned off

for this measurement. To compute the input-referred current noise shown in Figure 38, the

VCMset

BW ~ 100Hz

VCMset

BW ~ 100Hz

140 nA

Long

Channels

Long

Channels

VIN+ VIN-

IOUT

103

104

105

106

107

0

0.5

1

1.5

2

2.5

3V2I converter gain

Frequency [Hz]

Gain

S]

Page 77: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

61

measured output noise was divided by RTIA. A breakdown of the TIA power consumption is

listed in Table 3.

Figure 36: Measurements of the transimpedance gain and phase of the TIA.

Figure 37: Measured and simulated output noise of the TIA.

103

104

105

106

107

108

120

140

160

1.8 MHz40 MΩ

56 MΩ

85 MΩ

Tra

nsim

pe

da

nce

[d

]

Measured

Simulated

103

104

105

106

107

108

-360

-270

-180

-90

0

6 kHz-10°

200 kHz-10°

-3.5°,30 kHz

Frequency [Hz]

Ph

ase

[d

eg

ree

s]

Measured

Simulated

103

104

105

106

107

10-6

10-5

Frequency [Hz]

Outp

ut N

ois

e [ V

/ √

Hz ]

Measured

Simulated

Page 78: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

62

Figure 38: Input-referred current noise spectrum.

Table 3: TIA Current Consumption

Simulated Measured

Amplifier 153 µA -

CMFB for Amplifier 30 µA -

M1A, M1B 6 µA -

CMFB for M1A, M1B 12 µA -

M2A, M2B 26 µA -

Biasing 13 µA -

Total 244 µA 242 µA

Finally, although the integrated MEMS device was not fully released and therefore

could not function as a resonator, the impact of its parasitic capacitance at the input and/or

output of the TIA could be easily evaluated using switches S2 and S3. Figure 39 plots the gain

and phase of the TIA with and without the additional 1 pF parasitic capacitors attached. Figure

40 plots the input referred noise. During the measurement, it was noticed that adding the load

capacitance to the output of the TIA (and not the input) had no noticeable impact on the gain

or noise performance. This indicates that the NMOS source-follower buffer (M2A and M2B) has

been sized and biased adequately to drive the additional load. The additional load capacitance

at the input of the TIA impacts the bandwidth and the midband gain by a small amount. This

behavior is not predicted by Equation (3.3) because this expression assumed A0C1 >> CP.

While this assumption still appears valid (increasing CP by 1 pF has only a small impact on

performance), these measurement data serve as a good reminder of the limitations of hand

analysis. Notice also that the additional capacitance at the input of the TIA severely worsens

the high frequency input-referred noise. This is precisely the behavior one would expect based

on Equation (3.12)—increasing CP directly scales the input referred noise from the amplifier.

103

104

105

106

107

102

103

Frequency [Hz]

Inp

ut N

ois

e [ fA

/ √

Hz ]

65 fA/√Hz

41 fA/√Hz

Measured

Simulated

Page 79: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

63

Figure 39: Gain and phase response of the CMOS TIA with the unreleased resonator

connected and disconnected.

The additional parasitic capacitance at the TIA input reduces the midband gain by about 5%

and reduces the bandwidth from 1.8 MHz to 1.5 MHz.

103

104

105

106

107

108

110

120

130

140

150

160

Frequency [Hz]

Ga

in [d

]

103

104

105

106

107

108

-360

-270

-180

-90

0

90

Fequency [Hz]

Ph

ase

[d

eg

]

Resonator

Disconnected

Resonator Connected

Resonator

Disconnected

Resonator Connected

Page 80: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

64

Figure 40: Input referred noise with the unreleased resonator connected and

disconnected.

The data here are less noisy than the data shown in Figure 38 because more averaging was

used in this measurement.

3.2.5 Discussion

It is believed that the discrepancy between simulation and measurement of the TIA

gain (Figure 36) stems from inaccurate modeling of the output impedance of the active loads

used to implement RD. Even so, the measured 56 MΩ transimpedance gain is extremely

large—it would be difficult to achieve this gain on chip with a resistive feedback topology.

The TIA’s 1.8 MHz bandwidth yields a phase response between -3.5° and -10° from 6 kHz to

200 kHz. This small phase shift is acceptable for many oscillator applications, since it allows

the oscillator to operate very near a resonator’s peak response. Furthermore, although MEMS

devices designed for communications applications are often designed for the megahertz or

gigahertz frequency ranges, the kilohertz range is appropriate for a wide variety of MEMS

resonators and oscillators, especially those involved in sensing applications like

accelerometers, gyroscopes, and strain or chemical sensors. Combined with the input-referred

current noise of 65 fA/√Hz (Figure 38), this topology enables low-power, low-noise

measurement or closed-loop oscillation of MEMS resonators with exceedingly large motional

impedances.

Despite this notable performance, this TIA is far from optimal. Several mistakes were

made in the design which, if corrected, could yield much higher performance. For example,

according to Equation (3.12), the midband input referred noise current should be determined

by RD, ISS, and gm. However, a mistake in the design and layout of the input biasing network in

Figure 31b resulted in much larger noise contribution from the PMOS biasing devices than

103

104

105

106

107

102

103

Frequency [Hz]

Nois

e D

ensity [

fA /

√H

z]

Resonator

Disconnected

Resonator Connected

Page 81: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

65

was intended. This mistake was compounded by several factors: 1) the design timeline for this

project was rushed and very few post layout simulations were run prior to tapeout 2) different

designers worked on the biasing and amplifier blocks separately, and they were not integrated

until the final stages of the tapeout, 3) the deep triode PMOS devices used to implement large

biasing resistors (Figure 31b) were far too small making them highly sensitive to mismatch 4)

the Nwell area around each of these small transistors was minimum size, further increasing the

likelihood of mismatch or inaccurate threshold voltage prediction. The result of these errors is

that triode resistances that should have been much more than 100 MΩ were probably closer to

10 MΩ in practice, and these devices contribute current noise directly to the input of the

amplifier. Figure 41 shows the expected contribution of these devices to the input referred

noise from updated post layout simulation. Unfortunately, this simulation indicates that the

TIA’s midband input referred noise is likely dominated by the biasing devices instead of other

more fundamental terms.

Figure 41: Total simulated input referred noise compared to the simulated input

referred noise from the biasing PMOS transistors.

A second mistake in this design involved instabilities in the common mode feedback

loop that is used to stabilize the voltage at the drain of M1A and M1B. When biased at its

nominal operating point, the fabricated TIA’s common mode output frequently exhibits self-

oscillations. Fortunately these oscillations can be squelched by increasing the global bias

current; unfortunately it requires an increase of about 60%. As a result, the data shown here do

103

104

105

106

107

101

102

103

Frequency [Hz]

Inp

ut N

ois

e [ fA

/ √

Hz ]

Simulated

Biasing

Page 82: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

66

not represent the designed-for operating point, resulting in a significant increase in power

consumption and reduction in gain compared to the original design.

Table 4 compares the TIA to prior art. The first column summarizes the performance

of a single-ended photodiode TIA upon which the differential TIA in this work was modeled.

As is evident from Table 4, the TIA in this section was scaled to achieve much higher gain,

lower noise, and lower power at the cost of reduced bandwidth. The second column describes

a capacitive feedback TIA designed for biosensor applications. This TIA achieves excellent

noise performance at the cost of much higher power consumption. Even so, the topology used

in [78, 79] seems to be a promising alternative to the TIA topology considered here. The third

column is a resistive feedback TIA that was optimized for MEMS applications similar to those

mentioned in this section. While the TIA in [69] achieves a large dynamic range and

respectable power consumption, the noise measurement results are questionable. In particular,

discussions with the author indicate that noise and gain measurements were conducted under

different conditions on different chips and therefore may not be a reliable representation of

overall performance. Also, simple hand calculations indicate that the stated input-referred

noise is half of the theoretical minimum value of the passive components used in the feedback

network.

Table 4: Comparison of CMOS TIA to prior art

[75] [78, 79] [69] This work

Gain 8.7 kΩ 65 MΩ 1.6 MΩ 56 MΩ

Bandwidth 550 MHz 5 MHz 230 kHz 1.8 MHz

Power 30 mW 25 mW 400 µW 436 µW

Input noise 4.5 pA/√Hz 3 fA/√Hz 88 fA/√Hz 65 fA/√Hz

Technology 0.6-µm CMOS 0.35-µm CMOS 0.6-µm CMOS 0.18-µm CMOS

One of the major limitations of the TIA architecture explored here is dynamic range.

In particular, the lack of DC feedback around the amplifier means that a significant offset

voltage appears at the gates of M1A and M1B. This reduces the available signal swing at the

output node—a swing that is already confined by three significant drain-source voltage drops

(M1, RD, & ISS). Several ways to avoid this problem have been proposed and should be

considered in more detail for future implementations. Most involve feeding the output current,

IOUT, into a low impedance node rather than directly into resistor RD. For example, one could

follow M1A and M1B with a resistive feedback TIA or a common gate stage (similar to a folded

cascode amplifier). The signal at the drains of M1A and M1B would then be current rather than

voltage, thereby reducing the need for voltage headroom at this node.

Page 83: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

67

3.3. PCB-based MEMS Oscillator

The TIA in the previous section was designed specifically for differential integrated

CMOS-MEMS resonators in the 100 kHz range. For the DETF devices used throughout the

remainder of this work, a printed circuit board (PCB) TIA was developed that allows for much

higher flexibility and quick prototyping. This section describes the design and implementation

of the PCB oscillator circuitry.

As mentioned in section 3.1, the requirements for this circuitry are 1) gain greater than

RM, 2) phase response near 0°, and 3) amplitude control. An additional goal of this research

was to reduce the power consumption of the PCB prototype compared to previous versions in

[55]. In particular, the system described in Chapter 5 makes use of this oscillator and was to

consume less than 100 mW. Section 3.2.1 outlined the shortcomings of the resistive feedback

topology and introduced capacitive feedback as a low noise alternative. The PCB prototype

presented here relies upon a capacitive feedback topology similar to the circuit in Figure 29.

This architecture introduces 90° of phase shift, so two additional stages are added in order to

provide sufficient gain, bring the phase response to 0° and to implement automatic level

control.

3.3.1 Stage 1: Integrating TIA

The role of the first stage of the oscillator is to convert the output current of the

resonator into a voltage with large transimpedance gain and low noise. The integrator stage

shown in Figure 42 meets these requirements. Capacitor CF1 sets the gain of the integrator

stage. Resistor RF1 is added to allow for DC feedback and remove the effect of op amp offset.

Its value is large so that it contributes negligible current noise to the input node and so that the

feedback pole has minimal impact on the phase response near f0, the resonant frequency of the

DETF (f0 ≈ 1.2 MHz for most DETF devices in this work). A JFET input op amp with

sufficient bandwidth (OPA656, AD8033, or ADA4817) provides low input referred current

noise—this is important, as the input current noise of this amp adds directly to the input node.

Resistor RB1 and capacitor CB1 form a lowpass bias network allowing bias voltage VB1 to be

applied to the resonator’s sense electrode. They are chosen to be large enough so that the

current noise from RB1 and the phase shift from CB1 can be neglected. Large values affect

startup time, but startup time was not important for this academic prototype.

Page 84: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

68

Figure 42: Schematic of the first stage of the oscillator.

The gain and phase response of the first stage is plotted in Figure 43.

Figure 43: Simulated gain and phase response of the first stage of the oscillator.

The star indicates the operating point for the DETF resonator.

3.3.2 Stage 2: Integrating Amplifier

The second stage serves to further amplify the signal and to compensate for the 90°

phase of the first stage. For this task, a differentiator [48] or integrator [55] will function

equally well. An integrator was chosen in this work because of the precedent set by Agarwal

[55]. Figure 44 shows a schematic of this stage. Resistor RS2 and capacitor CF2 set the

VB1

500 kΩ

4 pF1 MΩ

1 µFResonator

RF1

CF1RB1

CB1

103

104

105

106

107

108

50

100

150

Frequency [Hz]

Gain

[dB

Ω]

103

104

105

106

107

108

90

135

180

Frequency [Hz]

Phase [

degre

es]

Page 85: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

69

integrator gain. The role and requirements for resistor RF2 match those of RF1 in the first stage.

Capacitor CS2 is used for DC decoupling between stages 1 and 2. This reduces the gain at low

frequencies and removes the effects of flicker noise (discussed in section 8.4). Any low noise

op amp with sufficient bandwidth is appropriate for use in this stage. The gain and phase are

plotted in Figure 45.

Figure 44: Schematic of the second stage of the oscillator.

Figure 45: Simulated gain and phase response of the second stage of the oscillator.

The star indicates the operating point for the DETF resonator.

75 kΩ

22 pF500 Ω

1 µF

RF2

CF2

RS2

CS2

103

104

105

106

107

108

-20

0

20

40

Frequency [Hz]

Gain

[dB

]

103

104

105

106

107

108

-270

-225

-180

Frequency [Hz]

Phase [

degre

es]

Page 86: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

70

3.3.3 Stage 3: Variable Gain Amplifier

In order to electronically control the vibration amplitude of the resonator during

steady-state oscillation, some control over amplitude is needed. In [55], this control is

achieved using a clamping amplifier that provides hard limits to the resonator drive amplitude.

However, commercially available clamping amplifiers tend to require hundreds of milliwatts

of power, and their performance often degrades at small clamping levels. Experiments were

also conducted with commercially available comparators—these devices can function as

clamping amplifiers whose output clamp level is set by the comparator supply rails. While

several comparators were able to function properly in this role and sustain oscillation,

overcoming the built-in hysteresis proved difficult during startup. Furthermore, the delay of

the comparators was found to exhibit significant temperature dependence, which can add to

the temperature sensitivity of the oscillation frequency by varying the circuit’s phase.

In addition, the nonlinearity inherent in clamping amplifiers presents a practical

problem in a laboratory environment. Consider the waveforms shown in Figure 46. In an ideal

noiseless case, a clamping amplifier is effective at controlling the drive amplitude of the

resonator (Figure 46a). However, if there is some noise present in the signal, this noise can

cause the output waveform to be severely distorted (Figure 46b). Because the first two stages

in the oscillator system have large gain in the range 10-100 kHz, it is not uncommon for noise

from the laboratory environment (temperature chambers, monitors, function generators,

vacuum pumps, etc.) to couple into the system and appear with large amplitude at the input of

the third stage. This behavior creates noise-dependent amplitude modulation of the signal at f0.

This in turn can create noise dependent frequency drift through the A-f effect. Noise

dependent frequency changes are highly undesirable for a system that is supposed to create

and characterize stable frequency references. For these reasons, a variable gain amplifier was

used in place of a clamping amplifier in this work.

Page 87: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

71

Figure 46: Input and output waveforms for a clamping amplifier.

(a) with no noise present (b) with low frequency noise present.

There are many commercially available variable gain amplifiers that would be

suitable options for this stage, such as the AD603 or LMH6503. However, these devices

generally consume significantly more power than the amplifier from [80]. In an effort to

reduce overall power consumption, the “home-made” variable gain amplifier (VGA) shown in

Figure 47 was chosen for stage 3. This amplifier provides a variable gain based upon the

control voltage VGAIN. Just as in the first stage, RB2 and CB2 form a bias-T that allows one to set

the DC bias voltage on the resonator drive electrode. CS3 provides AC coupling from the

previous stage, and CJA and CJB allow the JFETs to be biased by VSS = -5 V to prevent

conduction through the gate junction. The gain and phase for VGAIN = 0 are plotted in Figure

48. A plot of the measured midband gain of stage 3 vs. VGAIN is shown in Figure 49. It is worth

noting that this architecture suffers from poor noise performance and reduced bandwidth as

the gain control voltage gets close to VSS, and this limits the effective range of this variable

gain amplifier to values well below those mentioned in [80]. Although this architecture proved

suitable as a test platform in this work, future designers without power consumption goals are

encouraged to use commercially available variable gain amplifiers.

0 0.2 0.4 0.6 0.8 1

-2

0

2

Input

0 0.2 0.4 0.6 0.8 1

-2

0

2

Outp

ut

Time

0 0.2 0.4 0.6 0.8 1

-2

0

2

Input

0 0.2 0.4 0.6 0.8 1

-2

0

2

Outp

ut

Time

(a) (b)

Page 88: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

72

Figure 47: Voltage controlled amplifier used in third stage from [80].

Figure 48: Simulated gain and phase of the third stage of the oscillator with VGAIN = 0 V.

The star indicates the operating point for the DETF resonator.

3 kΩ

500 Ω10 nF

RF3A

CJA

RS3ACS3

VB2

1 MΩ

1 µF Resonator

RB2

CB2

500 ΩRS3B

CJB

3 kΩRF3B

VGAIN

VSS

103

104

105

106

107

108

-20

0

20

Gain

[dB

]

Frequency [Hz]

103

104

105

106

107

108

-360

-270

-180

-90

0

Frequency [Hz]

Phase [

degre

es]

Page 89: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

73

Figure 49: Gain of VGA vs. VGAIN.

3.3.4 Automatic Level Control

A block diagram of the complete system is shown in Figure 50. Stages 1, 2, and 3

form a closed-loop oscillator with the resonator—their complete gain and phase response is

plotted in Figure 51. To control the resonator amplitude, an automatic level control (ALC)

loop consisting of a filter, root-mean-square (RMS) converter, and controller measures the

drive amplitude and feeds back to the gain-control port of stage 3. The RMS converter is a

commercially available component (AD8361) that outputs a DC voltage proportional to the

RMS value of the AC voltage at its input. The filter is used to ensure that the AC voltage

being measured by the RMS converter consists only of the oscillation frequency (i.e. one does

not want this level control system to be affected by environmental noise). The controller is an

integrating op-amp stage, shown in Figure 52.

Figure 50: Complete oscillator system including automatic level control.

-5 -4 -3 -2 -1 00

2

4

6

VGAIN

[V]G

ain

[V

/V]

Filter

RMS

Controller

Stage 1 Stage 2 Stage 3

Resonator

VSET

Page 90: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

74

This system contains two nested feedback loops. Cagdaser gives a thorough

explanation of the dynamics of this system in [81]. A shortened version is included here. First,

one can model the system as shown in Figure 53. Notice that the transfer function of the

resonator is first-order in the amplitude domain. That is, amplitude modulation of the voltage

signal at the resonator’s drive port experiences first order low pass filtering before being

converted to an output current at its sense port. This low pass filtering occurs with time

constant τres = 2Q/ω0 and low frequency gain RM. This behavior is intuitive if one considers

the envelope of exponential decay that surrounds a resonator during “ring-down.” Higher Q

lengthens this ring-down; hence, higher Q increases τres.

Figure 51: Gain and phase response of the three-stage oscillator with VGAIN = -2V.

The dashed line is simulated data and the solid line is measurement data. The star indicates the

operating point for the DETF resonator.

103

104

105

106

107

108

40

60

80

100

120

140

160

180

Gain

[dB

Ω]

103

104

105

106

107

108

-90

0

90

180

270

360

Frequency [Hz]

Phase [

deg]

Page 91: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

75

Figure 52: Integrating op amp stage used to implement the ALC controller.

Oscillator stages 1, 2, and 3 have been modeled as a transimpedance gain element

with gain RM, because during steady-state oscillation the oscillator loop gain must be exactly

one. For this system, the filter can be treated as a unity gain buffer because it passes the

oscillation signal of interest without modifying its amplitude. The VGA dynamics have been

linearized by assuming that a small change in the control voltage VGAIN will result in a small

change in the VGA’s output amplitude such that

∆ m 5 ∆m (3.13)

where KVGA is the slope of the curve in Figure 49 in units of (V/V) per Volt, and VDRV is the

steady-state resonator drive amplitude. The RMS converter, implemented here with an

AD8361, has a DC gain of KRMS = 7.5 V/VRMS and includes a single pole low pass filter.

Figure 53: Model of the automatic level control and oscillator loops.

VSET

Stages 1-3

Resonator

VSET Σ

KRMS

1+sτRMS

HFILT(s)

+

+ -

1+sτZ

sτP

RM-1

1+sτres

Σ

KVGAVDRV

RM

+

ControllerRMS

Filter

VGA

Drive Amplitude

Page 92: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

76

By applying a bit of system theory and algebra to the oscillator loop model, this

system can be simplified to the one shown in Figure 54. The open-loop gain and phase of the

system is plotted in Figure 55 for the parameters listed in Table 5.

Figure 54: Simplified ALC loop model.

Figure 55: Loop gain simulation of automatic level control system.

Oscillator

VSET Σ

KRMS

1+sτRMS

+

-

1+sτZ

sτP

1+sτres

sτres

KVGAVDRV

VGAController

RMS

Drive

Amplitude

101

102

103

104

105

106

-50

0

50

Freq [Hz]

Magnitude [

dB

]

101

102

103

104

105

106

-180

-135

-90

Freq [Hz]

Phase [

deg]

Page 93: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

77

Table 5: Parameters used in automatic level control loop

f0 1.2 MHz

Q 15k

τres 4 ms

KRMS 7.5 V/Vrms

τRMS 0.2 ms

VDRV 100 mV

KVGA 1 (V/V) /V

τZ 0.1 ms

τP 0.01 ms

3.3.5 PCB Oscillator Miscellany

For low noise operation, avoiding parasitic capacitance to ground at the input node is

vital—the dominant noise source in this system is the voltage noise of the op amp in the first

stage, and this noise is amplified by the parasitic capacitance of the input node. For this

reason, a long connection such as a cable between the resonator and the first stage should be

avoided. Figure 56 plots measurements and simulations of the output noise of an early

prototype of the three stage oscillator with different lengths of BNC cable attached to the input

node. Notice that the noise power density at f0 is more than 200 times larger with the 10-foot

cable attached compared to the case with no cable attached.

Page 94: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

78

Figure 56: Output noise density of the PCB oscillator with different cables attached to

the input node.

Measured values (solid lines) and hand calculations (dashed lines) are included.

Another practical point worthy of discussion is the stability of this system for large

gain values. The first and second stages exhibit large gains in the 10 to 100 kHz frequency

range, and the system contains many poles and zeroes spread throughout the frequency

spectrum. As a result, small coupling effects among the stages can easily lead to self-

oscillations. For example, if the low frequency gain of the system is increased by a factor of 4

beyond the values shown here, capacitive coupling from the output of stage 3 to the input of

stage 1 on the order of tens of femptofarads (i.e. resonator feedthrough capacitance) can lead

to instability and self oscillation. This behavior sets a practical limit for the gains that can be

safely sustained on this PCB prototype.

Finally, for frequency measurement, the output of the system can be taken from the

output of stage 2 or stage 3. However, because of the low frequency noise spectrum created by

the two integrators (Figure 56), it is often useful to pass this output through a highpass or

bandpass filter before feeding it into a counter. Otherwise, low frequency environmental noise

can cause behavior similar to that shown in Figure 46 inside the counter, thereby corrupting

the frequency measurement.

102

103

104

105

106

107

108

-130

-120

-110

-100

-90

-80

-70

-60

-50

-40

Frequency [Hz]

Outp

ut

Density [

dB

m/H

z]

Noise out hand calc vs. measurement

No cable

3ft Cable

10ft Cable

Page 95: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

79

3.4. Acknowledgements

The CMOS TIA described in Section 3.2 was a joint effort among several students. In

particular, Pedram Lajevardi was an equal co-author in the work to whom I owe a great deal

of gratitude. Pedram led the efforts regarding the CAD tools as well as the transistor-level

design, simulations, and layout of several main circuit blocks. Mohammad Hekmat also

contributed to the architecture search and discussions, as well as some design and layout tasks.

The PCB-based oscillator described in Section 3.3 was based on previous work by

Manu Agarwal. Gaurav Bahl and Hyung-Kyu Lee assisted in its development.

Page 96: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

80

4. Temperature Compensation

The epi-seal process has proven to be effective at removing frequency variation and

drift due to long-term aging phenomena. Unfortunately, temperature is a pervasive

characteristic that cannot be removed by high quality encapsulation, and temperature plays a

large role in determining the variability of the output frequency of an oscillator. This is

especially true for MEMS oscillators, which typically have large temperature coefficients of

frequency. This chapter provides an overview of many ways one can reduce, or compensate

for, this temperature dependence.

4.1. Passive Temperature Compensation

Passive methods include any compensation schemes implemented during the design

or fabrication of a resonator in order to reduce its inherent dependence on temperature. Passive

compensation is desirable because it often requires no additional power consumption or

complex circuits or systems. The disadvantages are that passive compensation techniques

generally require more elaborate processing steps, complex mechanical design, or the

introduction of new materials. Also, it is nearly impossible to reduce the temperature

dependence of a device by several orders of magnitude using passive techniques alone,

because these techniques generally rely upon the first order cancelation of two opposing

temperature dependencies, and higher order terms remain. A few examples of passive

compensation are described below.

4.1.1 Stress

Resonant frequency is a strong function of stress, especially in flexural mode devices.

The classic example of this behavior is a guitar string. A guitar can be tuned (i.e. its strings’

Page 97: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

81

resonant frequencies can be adjusted) by turning knobs that change the amount of stress

present in each string. Similar techniques can be used to adjust the resonant frequency of a

MEMS resonator. If this stress adjustment occurs as a function of temperature, the stress

effects can be used to cancel out some of the resonator’s inherent temperature dependence.

One example of this technique is described in [63]. This method relies upon the

difference in the thermal expansion coefficients of silicon and aluminum to generate a

temperature-dependent stress in a silicon microresonator. The temperature-dependent stress

then induces a temperature dependent frequency shift which partially cancels the inherent

temperature dependence of the silicon resonator. A second example is demonstrated by Hsu et

al. in [82]. In this work, the difference in the thermal expansion of two beams of different

length is used to generate a temperature-dependent stress in a flexural mode nickel-plated

microresonator. Both of these passive stress compensation examples achieve frequency

stability in the range of several hundred ppm over 100 °C.

4.1.2 Passive Electrostatics

The dependence of resonant frequency on bias voltage in electrostatic resonators was

outlined in Section 2.4.3. This dependence can be utilized passively to compensate for

temperature-induced variations in resonant frequency.

One way to achieve compensation is through the use of a temperature dependent

electrostatic gap, as in [83]. With this approach, a fixed bias voltage applied to an electrostatic

electrode generates a frequency shift through the electrostatic spring softening effect. This

frequency shift depends on the applied voltage as well as the gap between the electrode and

the vibrating device. Through proper mechanical design, the gap is made to be temperature

dependent so that the electrostatic spring softening effect varies with temperature. The

resulting temperature-dependent frequency shift can partially cancel the resonator’s inherent

temperature dependence. Using this approach, Hsu et al. achieved frequency stability in the

range of tens of ppm over 100 °C.

4.1.3 Alternate Elastic Moduli

The temperature dependence of the elastic modulus of the material used to construct a

microresonator is often the dominant source of the resonator’s frequency-temperature

Page 98: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

82

dependence. One way around this problem is to select a material whose elastic modulus

changes as little as possible with temperature. Quartz is one such material: when cut at a

certain angle with respect to its crystal orientation, quartz exhibits an elastic modulus that is

very stable with temperature. Properly cut quartz resonators achieve less than 100 ppm

frequency deviation over 100 °C [84]. This is one of several reasons that quartz has become a

popular material for frequency references. Unfortunately, quartz is difficult to integrate at the

microscale.

An alternative approach to selecting a single material with a stable elastic modulus is

to select two materials whose elastic moduli vary with temperature in opposite directions.

Most materials on earth are like silicon in that they tend to become less stiff at elevated

temperatures. However, there are a few materials that exhibit the opposite temperature

dependence. For example, silicon dioxide and germanium dioxide both become stiffer at

elevated temperature [85]. As it is very convenient to grow silicon dioxide on top of silicon,

the combination of these two materials is an obvious choice to achieve passive temperature

compensation of a microresonator. This method is described in [63]. Because this passive

technique is essential to the active compensation system demonstrated in Chapter 6, the key

points will be summarized here.

Figure 57: Cross sectional view of composite Si-SiO2 resonator beam used to achieve

passive temperature compensation.

(a) Cartoon drawing (b) Cross section scanning electron microscopy from [86].

Flexural mode double ended silicon tuning fork resonators lend themselves readily to

passive compensation using a thin coating of silicon dioxide because their resonant frequency

depends upon the bending stiffness of a rectangular beam. Because the stress in a bending

Si

SiO2

Coating

(a) (b)

Page 99: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

83

beam is maximized at the outer edges of the beam, the elastic modulus of a thin coating added

to the beam’s surface can have a disproportionate impact on the beam’s stiffness. The first

order temperature coefficient of frequency for a composite beam can be estimated as

][- 12_ 12] -,j| ] -,1 (4.1)

where αSi is the thermal expansion coefficient of silicon, ] -,j| and ] -, are the first

order temperature coefficients of SiO2 and silicon, respectively, and r is the ratio of the

bending stiffnesses of the silicon and SiO2 portions of the beam, defined as

WWj| X Xj| j| (4.2)

where E is the elastic modulus of the material and I is the moment of inertia associated with

the portion of the resonator beam composed of that material. Cancelation of the first order

temperature coefficient near room temperature requires r values on the order of three. For a

rectangular silicon beam with width on the order of 5 µm, a coating of SiO2 on the order of

several hundred nanometers is sufficient to produce r ≈ 3 and achieved frequency stability of

tens of ppm over 100 °C [63].

Figure 58: Frequency-temperature behavior of composite DETF resonators with varying

bending stiffness ratios from [60].

Page 100: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

84

4.2. Active Open-Loop Compensation

Active compensation schemes include any methods that measure temperature and then

apply a compensatory stimulus to the resonator or oscillator to counteract the impact of

temperature variations on resonant frequency. “Open-loop” refers to the fact that these

compensation schemes do not rely on negative feedback to hold the output frequency at a

constant value. Instead, they rely upon a feed-forward scheme in which the resonator’s

dependence on temperature and the additional feedforward stimulus are both known in

advance and are assumed to remain constant with time. Active open-loop compensation

systems often perform better than passive methods simply because of the additional layers of

complexity that can be added to cancel out higher order temperature dependencies. However,

unlike passive methods, these techniques consume power. Furthermore, they rely upon

accurate characterization or calibration (possibly at several temperature points), which may

have to be repeated for each individual device. In this section, several open-loop methods are

described.

4.2.1 Active Electrostatics

Similar to passive electrostatic methods, active electrostatic compensation relies upon

the dependence of a resonator’s frequency on the spring softening effect. This allows one to

adjust frequency by changing the resonator’s bias voltage. In this case, the bias voltage can be

adjusted by a temperature sensing circuit in such a way as to compensate for temperature

dependent frequency variations. For example, in [52], a temperature sensing circuit generates

a voltage that is proportional to the square root of temperature, and this voltage is combined

with the resonator’s bias voltage to remove temperate effects. Because resonant frequency

depends on the square of bias voltage, this system is able to remove the first order dependence

of frequency on temperature and achieves stability of tens of ppm over 100 °C.

In [53], Lee et al. add an additional layer of digital intelligence to further compensate

the temperature dependence. In this system, a temperature sensor is read by a data acquisition

system and an appropriate bias voltage is chosen from a lookup table. The system is able to

achieve frequency stability of several ppm over 100 °C.

Page 101: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

85

Figure 59: Active open-loop temperature compensation using electrostatic tuning.

Both of these active open loop examples require that the frequency range achievable

via electrostatic tuning be larger than the frequency deviation created by the native

temperature sensitivity of the MEMS device. In [52], a very large electrostatic tuning range

was achieved by using sub micron electrostatic actuation gaps. In [53], a reduced native

temperature dependence was achieved by using passively compensated Si-SiO2 composite

resonators as in [63].

4.2.2 Variable Frequency Multiplication

The temperature compensation option used by SiTime (Sunnyvale, CA,

www.sitime.com) in their commercial MEMS oscillators looks beyond the MEMS for a

solution [64]. This system allows the single crystal silicon MEMS resonator to drift naturally

with temperature and then corrects the drift electronically. The MEMS signal is used as the

frequency reference in a high resolution fractional-N PLL. Because the temperature

dependence of the resonators is well known and repeatable, a lookup table can be built that

identifies an appropriate rational modulus by which to multiply based upon the reading from

an electronic temperature sensor. The output frequency is then given by the input MEMS

frequency times the rational modulus and can be made stable to ±10 ppm over a temperature

range of 70 °C [87].

MEMS

Oscillator

fMEMS

Temperature

Sensor

Bias

Generator

VBIAS

Temp.

Page 102: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

86

Figure 60: Active open-loop temperature compensation achieved by variable frequency

multiplication.

4.2.3 Phase Adjustment

Active open-loop temperature compensation can also be accomplished by adjusting

some elements of the oscillator circuit, such as resistors or capacitors, thereby tuning the phase

condition as a function of temperature. This allows one to change the oscillation frequency

without modifying the resonant frequency of the MEMS device. However, the tuning range is

limited to a range comparable to the resonator’s bandwidth, and therefore becomes impractical

in high-Q systems. This technique is employed in [88] in combination with variable frequency

multiplication to compensate a silicon resonator to ±5 ppm over a temperature range of 50 °C.

4.3. Active Closed-Loop Compensation

While many open-loop techniques in the previous section relied upon temperature

measurement, a closed-loop system that can reject temperature effects requires both

measurement and control of temperature. In these systems, the device is kept at a constant

temperature using some sort of heating or cooling mechanism. Closed loop temperature

compensation systems often require significantly more power than open-loop and passive

techniques because temperature control is a power-intensive operation. However, the

advantages of using negative feedback for temperature compensation are plentiful:

1. The effectiveness of the compensation system now depends largely upon the

accuracy of the temperature sensing operation rather than the MEMS temperature

characteristics

2. No detailed calibration steps or look-up table are required

MEMS

Oscillator

fMEMS

Temperature

Sensor

Lookup

Table

foutx M

N

M,N

Temp.

Page 103: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

87

3. While some passive and active open-loop techniques remove only first order

temperature dependencies, closed loop systems can remove temperature

dependencies of arbitrarily high order because the system maintains a constant

temperature (i.e. one is only concerned with the temperature characteristics near

this constant-temperature point)

Active closed-loop temperature compensation techniques are common in many fields.

For example, achieving consistent results in an IC fabrication facility requires precise control

of temperature at a number of steps in the process [89]. Many biological and chemical

operations are strongly dependent on temperature and therefore require active temperature

control [90]. At the integrated electronics level, the LM199 voltage reference relies upon

active temperature control to maintain its output voltage to within 175 ppm over 175 °C [91],

and the wind sensor described in [92] uses active temperature control as a means of measuring

the speed of wind blowing across its surface. High performance oscillators also depend upon

active closed-loop temperature compensation to maintain their stability. For example, atomic

clocks, even those at the chip-scale, require precise temperature control mechanisms [93]. This

section describes several examples of active closed-loop temperature compensation systems

for oscillator applications

4.3.1 Oven Controlled Crystal Oscillator

An Oven Controlled Quartz Crystal Oscillator (OCXO) is an excellent example of a

timing reference that relies upon active closed-loop temperature compensation to improve

upon the native temperature stability of its reference resonator by several orders of magnitude.

OCXO’s are commonly used in laboratory test equipment, high-end navigation systems,

internet routing and network base stations, military and space hardware, and many other

applications. These devices use quartz crystal resonators with inherent temperature stability of

tens of ppm over 100 °C to create frequency references that are contained inside small metal

cans. In addition to serving as a robust package, the metal container also functions as an oven,

inside which the oscillator can be held at an elevated temperature by a heater, temperature

sensor, and temperature control system. This closed-loop active temperature compensation

system maintains the quartz resonator’s temperature at a stable value, thereby holding the

output frequency stable to less than ±1 parts-per-billion over a range of 70 °C [94].

Page 104: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

88

Figure 61: Quartz OCXO.

(a) Image of C4550 OCXO. (b) Schematic diagram of an OCXO.

OCXO’s performance is quite impressive, but it does come at a cost. First of all, as

shown in Figure 61, OCXOs are large and are not appropriate for small portable devices.

Second, heating the OCXO consumes several Watts of power [94]. Third, these devices

require complex assembly and testing and therefore remain expensive. (As of this writing, a

typical OCXO with ±10 ppb stability costs upward of $100.) Finally, because OCXOs are

large, a “warm-up” time on the order of several hours is often required before the device

settles to a stable output frequency. As a result, researchers have sought ways to mimic OCXO

performance in a small, low power, low cost manner using MEMS technology.

4.3.2 MEMS Micro-Oven Controlled Oscillator

The first ovenized MEMS oscillator was introduced by Nguyen et al. in 1993 [95].

This device consisted of a MEMS resonator suspended on a thermally isolated platform.

Heating resistors built into the platform allowed the MEMS device to be heated using DC

current. Good thermal isolation and vacuum operation allowed the system to achieve thermal

efficiency on the order of 90 °C per milliwatt, meaning that less than 1 mW of heating power

would be required to cover an ambient temperature range of 70 °C. Furthermore, the device’s

small size yielded warm-up times on the order of tens of milliseconds. A thermistor built into

the MEMS platform was used as a temperature sensor in an active closed-loop temperature

compensation system. Unfortunately, unlike the ultra-stable OCXO, this preliminary MEMS

micro-oven demonstration achieved only moderate improvements in frequency stability over

uncompensated MEMS resonators. The final system was stable to ±75 ppm over 70 °C.

(a) (b)

HeaterTemperature

Controller

Thermometer

Oven

Resonator

Amp

Page 105: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

89

The MEMS micro-oven was revisited by Hopcroft et al in 2004 and refined over the

next several years [61, 96, 97]. Preliminary results showed that this technology might achieve

frequency stability in the range of tens of ppb over 70 °C [97], making it a potential smaller,

lower power, and lower cost rival to the quartz OCXO. These results showcase the potential

for active closed-loop temperature compensation of MEMS oscillators. However, Hopcroft’s

system relied upon a host of expensive external equipment and elaborate calibration. The next

chapter explains in detail an attempt to simplify and shrink the compensation system to a

reasonable level of size and complexity.

Page 106: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

90

5. Q(T) Based Oven Control

Closed-loop temperature compensation requires two fundamental operations:

temperature sensing and temperature adjustment. In a classic oven-controlled oscillator,

discrete components can be used to achieve these tasks. For example, a high-performance

temperature sensor such as a platinum RTD (resistor, temperature dependent) and a ceramic

heating element might be implemented inside an ovenized oscillator package. While a similar

solution could be used for an ovenized MEMS reference, the resulting product would offer

few advantages over the traditional quartz implementation. For this reason, efforts to design

closed-loop temperature compensation systems for MEMS references have focused on ways in

which MEMS technology might reduce or remove many of the disadvantages of closed-loop

oven control, such as warm-up time, power consumption, and cost. Doing so requires looking

to the MEMS device for both temperature sensing and temperature adjustment operations.

This chapter will describe these concepts in the context of a PCB implementation of a closed-

loop compensation system for an epi-sealed silicon MEMS resonator. The compensated 1.3

MHz prototype oscillator, implemented on a single printed circuit board, achieves temperature

stability of ±1 ppm from 0 °C to 70 °C after multipoint calibration or ±25 ppm after single

point calibration. Drawbacks and limitations of the compensation schemes are discussed. This

chapter is based upon the work in [98].

5.1. MEMS Micro-Oven

As mentioned earlier, the completed epi-seal process leaves the MEMS device in a

low pressure cavity sealed inside a silicon wafer. Although originally designed to reduce air

damping effects and ensure acceptable long-term stability, this vacuum encapsulation also

Page 107: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

91

provides a level of thermal isolation between a MEMS device and the environment. For

example, the thermal resistance seen by a MEMS resonator in the epi-seal encapsulation is

dominated by thermal conduction through the silicon device layer—the thermal resistance of

structures fabricated in this layer is typically in the range of 1k to 100k K/W, while the

thermal resistance due to conduction and convection through the surrounding low-pressure

hydrogen cavity is on the order of 107 K/W [99]. A MEMS designer can make use of this

thermal isolation to design MEMS micro-ovenization into the device layer. For example,

consider the structure shown in Figure 62, which consists of a DETF resonator isolated from

its anchors by a folded beam suspension. The suspension serves several purposes: 1) the long

and thin folded beams provide a large thermal resistance between the DETF and the ambient,

2) if electrical current is flowed through the suspension from one anchor to another, the

electrical resistance of the structure will deliver Joule heating power to the resonator, thereby

allowing one to electrically actuate the resonator’s temperature, and 3) although the resonator

has multiple anchors, the flexible suspension serves to isolate the resonator from stress effects

in the substrate.

A MEMS micro-oven like this one offers several advantages over the classic

ovenization used in quartz oven controlled oscillators. First of all, the MEMS solution is

significantly smaller (on the order of cubic millimeters instead of cubic centimeters). Second,

the MEMS can be batch fabricated at the wafer scale, making it significantly cheaper than a

discrete implementation. Third, the thermal time constant associated with this micro-oven is in

the range of 10 ms, which is several orders of magnitude faster than the thermal time constants

typically associated with OCXOs. Finally, the thermal impedance presented by the micro-oven

can be several orders of magnitude larger than that of an OCXO. This allows for temperature

compensation using only a fraction of the heating power (e.g. milliwatts instead of Watts).

Details of the thermal properties if this micro-oven can be found in [99]. Figure 63 plots the

measured response of the micro-oven used in this chapter.

Page 108: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

92

Figure 62: Micro-ovenized DETF resonator.

Figure 63: Measured temperature change of the ovenized DETF resonator vs. the Joule

heating power delivered to the micro-oven.

From this plot, the effective thermal resistance of the structure can be estimated at 11 K/mW.

5.2. Q(T)-based Temperature Sensing

The MEMS micro-oven achieves one of the required operations for closed-loop

control: namely, temperature actuation. However, the micro-oven significantly complicates

the tasks of temperature sensing. For closed-loop temperature control, the feedback system

needs to sense the temperature of the resonator, not of the ambient environment (as in open-

loop control). Unfortunately, the micro-ovenized resonator is now isolated inside a microscale

vacuum cavity, so its temperature cannot be easily sensed using traditional methods.

Temperature sensing must be somehow integrated into the device itself. For example, diode

Tuning Fork

Beam Dimensions

Length: 220 μmWidth: 8 μm

Height: 20 μm

Micro-oven

Isolation

Transduction Gap: 1.5 μm

Frequency: 1.3 MHz

Q: ~10,000

Double Ended

Tuning Fork

Anchor

0 2 4 6 8

0

20

40

60

80

∆T

em

pe

ratu

re [

K]

Power [mW]

Page 109: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

93

temperature sensors are common in electronic systems. One could implant a diode junction in

the resonator beams and sense the resonator’s temperature by monitoring the junction

potential. However, creating diodes in the device layer requires process modification and

some level of control over doping profiles throughout the encapsulation process, which is not

a trivial task. Another option is to monitor the electrical resistance of the resonator, since

silicon is known to have a temperature dependent electrical resistivity. However, when the

DETF is heated to elevated temperatures, large temperature gradients appear along the silicon

interconnects that connect the resonator to its anchors. These gradients would also appear

along any conductive path that was used to access the resonator’s electrical resistance, thereby

making the resistance measurement a function of both the resonator’s temperature as well as

ambient temperature. This complication would make accurate measurement of the resonator’s

temperature for closed-loop control nearly impossible.

Instead of these classical approaches to electronic temperature measurement, consider

the relationship between the resonator’s quality factor and temperature presented in Section

2.5.3. Quality factor is a strong function of temperature. If a method were developed to allow

for measurement of resonator Q in a closed-loop oscillator, one could deduce the resonator’s

temperature without making use of an additional temperature sensor. In fact, tracking

resonator Q in a closed-loop oscillator is fairly straightforward if one considers the relations

presented in Section 2.3. Motional impedance, which is the ratio of the drive voltage to the

output current, is inversely proportional to Q. By using ALC to ensure that the resonator drive

voltage remains constant, one can deduce RM by measuring the amplitude of the output from

the resonator. From amplitude one deduces RM; from RM, Q; and from Q, temperature. The

feedback system can be completed as shown in Figure 64 simply by monitoring the

resonator’s output amplitude and adjusting the Joule heating power delivered to the micro-

oven in order to keep this output amplitude constant. Such a feedback system achieves active

closed-loop temperature compensation by ensuring that the resonator’s temperature is held

constant despite changes in the ambient environment.

Page 110: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

94

Figure 64: Simplified schematic of the Q(T)-based closed-loop temperature

compensation system.

5.3. System Implementation

An analog implementation of the system shown in Figure 64 would be fairly

straightforward. However, previous experience from the work in [61] indicated that this

system would likely require additional calibration in order to achieve sub-ppm level frequency

stability across a large range of temperatures. In order to accommodate future calibration

routines and maintain flexibility, the mixed-signal implementation shown in Figure 65 was

used. A photograph of the PCB is shown in Figure 66.

Figure 65: Block diagram of Q(T)-based temperature compensation system.

Heater

Temperature Controller

Micro-Oven

TIA

Amplitude Detector

µProcessorClocked by oscillator

Constant

Set Point

Σ

Integral

Controller

+ _RMS

DetectADC16 bit

Measure VO

2 kHz Sampling

VO

Oscillator1.3 MHz

TIA

Auto

Level Control

DAC16 bit

Apply Vheat

2 kHz Update

Amp

Vheat

Page 111: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

95

Figure 66: Photograph of the PCB implementation of the temperature compensated

oscillator.

The oscillator TIA and ALC were described in Section 3.3. The amplitude of

oscillation is monitored by an AD8361 RMS converter (as in Section 3.3.4, a filter between

the oscillator and RMS converter ensure that the measured amplitude is independent of

environmental noise). The RMS converter output (VO) is converted to a digital signal for the

MSP430 microcontroller by an AD7792 analog-to-digital converter (ADC). The controller

generates an error signal based upon the difference between VO and a desired set-point. This

error is integrated and fed back to the micro-oven by a DAC8550 digital-to-analog converter

(DAC) and a heater amplifier (described in Section 5.3.2). When working properly, the system

is designed to hold the resonator at a constant temperature (roughly 90 °C) inside the micro-

oven, thereby eliminating the effects of external temperature variations on the oscillator’s

output frequency.

5.3.1 RMS Converter

Several RMS converter options were evaluated prior to the selection of the AD8361,

including the LTC1968, AD637, and several different “super diode” peak detectors [100].

While many of the performance parameters for these options could be read directly from

datasheets or simple hand calculations, determining the most important parameter for this

application, namely accuracy across temperature, required experimental investigation. An

evaluation board with six different RMS detection topologies was built to allow for direct

Inc

he

s

mm DAC &

Heater Amp

µµµµProcessor

Silicon

Resonator

Oscillator

RMS & ADC

Page 112: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

96

comparison among them. The AD8361 was found to display the best tradeoff between

temperature stability, noise, and power consumption for this application: for a 200 mVRMS

input sinewave, the AD8361 achieved roughly 5 mVRMS input referred error across a

temperature range of 0-70 °C, 90 µVRMS input referred noise with a 20 µs averaging time, and

consumed 3.6 mW from a 3.3 V supply.

5.3.2 Heater Amplifier

Delivery of the desired heating power to the MEMS micro-oven is not a trivial task—

one must ensure that voltages applied to the anchors do not disturb the resonator’s bias

voltage, since resonant frequency is a function of bias voltage in these devices (Section 2.4.3).

The heater amplifier’s function is to amplify the single-ended output of the DAC and apply

this voltage differentially to the micro-oven without disturbing the resonator’s bias voltage. To

simplify this task, the resonator is biased by maintaining its beams at ground while a DC bias

voltage is applied to the transduction electrodes through the bias-T networks described in

Sections 3.3.1 and 3.3.3. The sensitivity of the tuning fork resonator to variations in bias

voltage is on the order of 200 ppm/V. As such, system stability in the sub-ppm range requires

that the resonator be held to within a few millivolts of ground over the entire range of heater

voltage values (1 V to 9 V). Inside the dashed outline in Figure 67 is a simple electrical

diagram of the micro-oven. Holding the resonator’s bias constant to within a few millivolts

while applying 9 V differentially between points A and B would require that the

micromachined folded beam heating resistors, RA and RB, match to better than 0.1%. This is

unrealistic for most fabrication processes, so the heater amplifier senses the voltage of the

resonator beams and uses feedback to ensure that the bias is held constant. Contacts C and D

are used for this purpose (only one is required, but two are used for mechanical symmetry and

are shorted together off chip).

Page 113: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

97

Figure 67: Circuit schematic of micro-oven and heater amplifier.

Nodes A, B, C, and D represent the resonator’s four anchor points.

Figure 67 shows a schematic of the heater amplifier. The forward signal path is

implemented with two op amp stages, OA1 and OA2, with transfer functions VA = Vin+VFB and

VB = -Vin+VFB, respectively. VFB is generated by an integrating op amp stage in the feedback

path. This stage compares the voltage from sense nodes C and D to ground and provides a

negative feedback signal to OA1 and OA2 in order to maintain VCD = 0 V. The resulting

circuit amplifies Vheat by a factor of two and applies it differentially between nodes A and B

while holding nodes C and D (and therefore the resonator beams) firmly at ground.

Measurements of the amplifier show that even with 10% mismatch between heater resistors RA

and RB, the feedback path provides more than 100 dB isolation between the applied heater

voltage (VA-VB) and the resonator bias point (VCD) at low frequencies. This translates into less

than 90 µV of deviation in the bias voltage when 9 V is applied to the heater resistors.

5.3.3 Controller

The system controller was implemented digitally inside the MSP430 microcontroller.

Integral control was found to be appropriate given the degree of precision required and the low

bandwidth of ambient temperature fluctuations. The integral controller was stable for closed-

loop system bandwidths less than about 15 Hz. System identification measurements of the

transfer function from Vheat to VO were taken at a range of temperatures. They are plotted in

Figure 68.

Resonator

A

RB

RC

RD

B

C

D

RA

Vheat

R R

R

R

R

R

RR

OA1

OA2OA3

Micro-Oven

VCD

VA

VB

VFB

Page 114: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

98

Figure 68: The dynamic response of the micro-oven and Q-measurement electronics.

Measurements were taken in 10 °C steps from 0 to 70 °C. The controller’s response is not

included in these measurements. The dominant pole around 15 ms is the thermal time constant

of the micro-oven.

5.4. Multi-point Calibration

The feedback path of the control system contains many elements whose transfer

characteristics vary with temperature. For example, the TIA, RMS converter, and ADC all

exhibit some degree of gain and offset variations with temperature. During operation,

variations in these feedback components with temperature are misinterpreted by the integral

controller as variations in the resonator’s temperature. For example, suppose that ambient

temperature variations cause the RMS converter gain to increase by 1%. In response to this

increase, the integral controller will apply additional heating power to the micro-oven so that

the output amplitude from the oscillator decreases by 1% in order to maintain VO at a fixed

value. This operation will result in the resonator’s temperature varying away from the desired

constant set-point and will generate frequency errors. In addition to the electronic components

varying with temperature, the MEMS micro-oven itself is somewhat sensitive to ambient

temperature variations, since the design used in this chapter allows both voltage and

temperature gradients to appear across the DETF structure when it is heated above ambient

10-1

100

101

102

-60

-50

-40

-30

-20

-10

Mag(

VO

/ V

heat )

[dB

]

10-1

100

101

102

-90

0

90

180

Phase [

°]

Frequency [Hz]

Measurements

Fit, 3rd Order System

Measurements

Fit, 3rd Order System

Measurement

noise floor

Page 115: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

99

[61]. As a result, some additional compensation is required to overcome these residual error

sources.

A simple brute-force solution to this problem is to implement a foreground calibration

and digital look-up table in the microcontroller. To achieve this calibration, the system is

stepped through a series of temperatures inside a temperature chamber with the complete

Q(T)-based feedback loop running. At each temperature step, the frequency deviates from a

desired constant value because of the effects described in the previous paragraph. This

frequency deviation is measured using a counter and a desktop computer. To correct these

effects, the amplitude set-point VO is varied slightly to bring the frequency back to the desired

value. Once the frequency error has been removed, the system saves the values of VO and Vheat

into a look-up table (Vheat is used as a proxy for temperature, since Vheat tracks temperature

while the closed-loop system is running). Interpolation is used between measured VO -Vheat

pairs. Once the lookup table has been constructed, the microcontroller is capable of applying

the correction on its own without the assistance of the counter or computer. A block diagram

of the system including the look-up table is shown in Figure 69. Power consumption for the

entire system was approximately 80 mW, dominated by the oscillator TIA.

Figure 69: Block diagram of Q(T)-based temperature compensation system including the

multipoint calibration and look-up table.

Stars indicate the components whose gain and offset variations create systematic errors that

must be removed by the look-up table.

µProcessor

RMS

Detect

Calibration

Set Point

Σ

Integral

Controller

ADC16 bit

_+

Oscillator

DAC16 bit

Apply Vheat

Measure VO

Amp

TIA

VO

Vheat Automatic

Level Control

Feedback path is sensitive to temperature

Add calibration &

look-up table

Page 116: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

100

5.5. Results

The steady state performance of the system with and without a multipoint calibration

is plotted in Figure 70. As shown in this plot, with a single point calibration the compensation

system reduces variations in the output frequency to ±25 ppm over a temperature range of 0 to

70 °C. This error can be blamed upon temperature dependent variations in the RMS converter,

TIA, and micro-oven characteristics. The multipoint calibration is designed to remove these

dependencies. Indeed, the system achieves ±1 ppm stability over a temperature range of 0 to

70 °C with a multipoint calibration. One may wonder why the error is not reduced to zero with

a multipoint calibration. The limitations of this approach will be discussed below.

Figure 70: Steady-state frequency vs. temperature performance of the Q(T)-based

temperature compensated oscillator with and without a multipoint calibration.

The transient performance of the system is illustrated in Figure 71. Notice that during

transient temperature events the system’s output frequency deviates from f0 by ten to fifteen

ppm, but that it settles back to within ±1 ppm when the temperature equilibrates. This

behavior will be explored in more detail below.

0 10 20 30 40 50 60 70-50

-40

-30

-20

-10

0

10

20

30

40

50

Ambient Temperature [°°°°C]

∆∆ ∆∆f

/ f 0

[p

pm

]

No Compensation

Single Pt. Cal.

Multi Pt. Cal.

±±±±1 ppm

Page 117: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

101

Figure 71: Transient performance of the Q(T)-based temperature compensated

oscillator with a multipoint calibration.

5.6. Limitations

Despite the system’s notable performance, some drawbacks to this temperature

compensation scheme deserve to be mentioned. Compared to other compensated oscillators,

this architecture is especially sensitive to variations in components which set or monitor the

sustaining oscillator’s amplitude. Variations in gain-setting components are misinterpreted by

the integral controller as changes in micro-oven temperature and result in frequency errors of

up to 30 ppm for component variations of 0.5% over the range of ambient temperatures.

Similar errors result from nonuniform temperature or bias voltage distributions in the micro-

oven, and the combination of these effects dominates the single point calibration frequency

error. Although these variations can be minimized by using precision analog components and

a multipoint calibration, such approaches undermine the low-cost appeal of silicon resonators.

Furthermore, the multipoint calibration is unable to remove these sources of error

during transient temperature changes. Consider the transient errors that appear in Figure 71.

One might assume that this transient error is due to the system controller being too slow to

keep up with the temperature ramp. This reasonable hypothesis was tested by varying the

controller bandwidth from a slow value to a fast value. For each bandwidth the system was

subjected to the same temperature ramp. The results of this experiment are shown in Figure

72. Notice that the transient error does increase for low bandwidth systems, as expected.

However, as the controller speed becomes faster and faster, the error saturates at a value

0 3 6 9 12 15

-15

-10

-5

0

5

10

15

∆∆ ∆∆f

/ f 0

[p

pm

]

0 3 6 9 12 150

20406080

Tem

p [

°° °° C]

Time [hrs]

Page 118: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

102

around ten to fifteen ppm and cannot be brought to zero. These data indicate that some other

phenomena are to blame for the transient error. The most likely explanation is that during a

transient temperature change, the temperature distribution across the PCB prototype is not

uniform, but varies depending upon the local thermal characteristics. For example, several

regions of the PCB have cables attached to them that allow for power and signal transmission

outside the temperature chamber. These cables would modify the thermal characteristics—

adding thermal mass and reducing thermal resistance to room temperature—and could cause

some regions of the PCB to heat or cool faster than other regions. Because errors in the Q(T)

system are highly sensitive to the characteristics of a large number of components spread

across the PCB, a non-uniform temperature distribution could easily explain the 10-15 ppm of

transient error that is observed during temperature transients.

If the transient error is indeed due to temperature gradients, then this limitation to the

Q(T)-based system might be mitigated by integrating the system into a single die or package

rather than a PCB. However, deeper problems with this method exist that cannot be solved so

easily. Consider the long-term stability of the Q(T) system.

Figure 72: Transient response of the system to a temperature ramp, shown for different

integral controller bandwidths.

0 5 10 15 20 25 30

-125

-100

-75

-50

-25

0

∆f

/ f 0

[ppm

]

15 Hz

3 Hz

0.75 Hz

0.15 Hz

0.075 Hz

0.03 Hz

0 5 10 15 20 25 30

0

20

40

60

80

Time [min]

Tem

p [

° C]

Increasing

bandwidth

Page 119: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

103

Epi-sealed resonators boast excellent long-term stability. This is one of the most

promising aspects of the technology and is one of the primary reasons that these devices are

being considered for commercial use in timing reference applications. The Q(T)-based

temperature compensated oscillator presented here seeks to extend the stability of epi-sealed

resonators across temperature; however, in doing so, the system’s output frequency is made to

be a function of more than just the resonator’s natural resonant frequency. As mentioned

earlier, the output frequency of the Q(T) system depends on the RMS converter, TIA, ALC,

micro-oven, and several other components. Do these components remain sufficiently stable

during long-term operation so as to avoid jeopardizing the system’s overall frequency

stability? The data in Figure 73 indicate that the answer to this question is ‘no.’

Figure 73: Measured frequency deviation of the Q(T) system during steady-state

operation over a period of several days.

Several experiments were conducted to investigate the source of this long-term drift

(see Figure 74). First, several micro-ovenized resonators were tested in the same Q(T)

prototype. The closed-loop compensation system was turned off for these experiments (i.e.,

the heating input to the micro-oven was fixed at zero) and the oscillator was allowed to run

freely. The prototype was held at a constant temperature inside a temperature control chamber,

and amplitude and frequency measurements were taken with bench-top equipment. Over a

period of several days, the system’s amplitude drifted by several tenths or hundreds of a

percent in all cases. However, the system’s output frequency remained constant. Next, a

standard single anchored DETF with no micro-ovenization was used in the same

configuration. Again, the amplitude drifted by several hundredths of a percent over the course

of several days, but the frequency remained constant. Finally, the resonator was replaced by

the output from a bench-top signal generator in order to measure the stability of the TIA and

amplitude measurement electronics. Virtually no amplitude drift was observed in this case.

These data suggest that the resonator may be to blame for the observed drifts in output

amplitude, though the exact mechanism and source of the drift remain unclear. One conclusion

0 1 2 3 4 5-4-2024

Time [days]

∆f

/ f 0

[p

pm

]

Page 120: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

104

from this experiment is clear, however: oscillator amplitude is a less reliable quantity

compared to resonator frequency, and any system that modifies resonant frequency based

upon feedback from oscillator amplitude may suffer from degraded long-term stability. Notice

that the small drifts in amplitude shown in Figure 74 would go unnoticed in a system without

Q(T)-based temperature compensation. However, with Q(T)-based compensation, these drifts

are misinterpreted as temperature changes by the controller in the system and result in long-

term frequency errors of tens of ppm—orders of magnitude worse than the intrinsic frequency

aging of uncompensated silicon resonators.

Figure 74: Long-term stability measurements of the oscillator system without Q(T)-

based feedback.

The system was held at a constant temperature inside a temperature chamber for these

experiments.

5.7. Conclusions

In conclusion, Q(T)-based temperature compensation for silicon resonators has

potential applications where size requirements supersede cost or when other constraints

require the use of a temperature sensor that is integrated with the silicon resonator. However,

the tradeoffs of lengthy calibration, increased electronic component cost and degraded long-

term stability that emerge in a practical implementation make it an unlikely candidate for a

low cost oscillator with ppm level temperature stability.

0 10 20 30 40 50 60

-0.4

-0.2

0

0.2

∆ A

mplit

ude [

%]

0 10 20 30 40 50 60-1

0

1

Time [hrs]

∆f

/ f 0

[ppm

]

Res w/µOven

Res, No µOven

Electronics Only

Resonators with μOven

Resonator, no μOven

Resonator with μOven

Electronics only

Resonators with and

without μOven

Page 121: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

105

The primary limitation to meeting the performance target in the Q(T)-based system

was the sensitivity of the measurement of the micro-oven’s temperature to changes in ambient

temperature. This sensitivity stems from the fact that the measurement is based on an analog

amplitude measurement, and it is further exacerbated by the instability of this amplitude with

time, shown in Figure 73 and Figure 74. To address this problem, the next chapter will

examine a system that relies on frequency, rather than amplitude, to measure the temperature

of the micro-oven. Two advantages of this new approach directly address the shortcomings of

the Q(T)-based system. First of all, unlike amplitude, frequency is a quantity that cannot be

corrupted by temperature variations in amplifiers or measurement circuitry. Second, as

indicated in Figure 74, the resonant frequency of epi-sealed DETF resonators is extremely

stable with time compared to oscillation amplitude.

5.8. Acknowledgements

The prototype system described in this chapter was developed as a joint effort among

several contributors. In particular, Matt Messana played an indispensible and major role. Matt

took charge of the microcontroller selection and coding process as well as much of the layout.

His assistance in planning, characterizing, and debugging is greatly appreciated as well.

Renata Melamud and Saurabh Chandorkar fabricated the MEMS devices used in this chapter.

Matt Ohline and Matt Hopcroft also contributed a great deal of valuable advice and guidance

to the project.

Page 122: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

106

6. ∆f-Based Oven Control

Chapters 1 and 2 introduced the need for temperature compensation for MEMS

resonators, and Chapter 4 described many potential options. Active closed-loop temperature

compensation was determined to be the most promising alternative for high-performance

timing references. However, the closed-loop system described in Chapter 5 achieved limited

success and proved to have some limitations. This chapter presents another active closed-loop

temperature compensation scheme that significantly improves the temperature stability of

MEMS oscillators and may thereby facilitate the use of compact, low power MEMS

oscillators in high precision applications.

Chapter 5 demonstrated microscale ovenization as a low-power means of heating

encapsulated MEMS resonators to elevated temperatures. This technique will be utilized here

as well. However, instead of using resonator quality factor to measure resonator temperature

inside the control loop, the system described in this chapter tracks the difference frequency

between two resonators with different temperature coefficients of frequency [101], similar to

methods used in quartz oscillators [102]. The system achieves sub-ppm frequency stability

using this ∆f-based temperature measurement technique in combination with a phase lock

loop based controller. This chapter is based upon the work in [103].

6.1. MEMS Structure

The microstructure used in this compensation system is comprised of three main

subsystems: a hermetic encapsulation, two double-ended tuning fork resonators, and a folded

beam micro-oven. Figure 75 shows a three dimensional schematic of the device, which is

described in detail below.

Page 123: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

107

Figure 75: Double-ended-tuning-fork resonators suspended using micro-oven isolation.

6.1.1 Hermetic Encapsulation

The long term stability of a frequency reference often depends largely upon its

packaging. In particular, a mechanical resonator can be affected by changes in humidity or air

pressure, or by the adsorption or desorption of materials from its surface. With this in mind,

the fabrication process for the MEMS resonators and micro-oven used here concludes with a

wafer scale epitaxial silicon encapsulation (‘epi-seal’), described earlier in Section 2.5. This

process seals the devices inside a cavity that is free of O2, moisture, organics, and other

contaminants. In addition, much of the remaining H2 inside the cavity is diffused out during a

post fabrication annealing step, resulting in a highly stable low pressure (<10 mTorr) hermetic

environment for the resonators. Long term experiments with silicon microresonators

fabricated in the epi-seal process have demonstrated sub-ppm per year aging rates [62].

In addition to improving long term stability, the vacuum encapsulation offers two

further benefits for the system described in this work. First, the low pressure environment

makes air damping insignificant for resonators in the 1 MHz range, allowing us to achieve

thermoelastic dissipation (TED)-limited quality factors in the range of 5k to 30k. Second, it

enables low power operation of the micro-oven by minimizing heat loss via conduction or

convection through gas in the cavity [99].

Sense ElectrodeSense

Electrode

DriveElectrode

DriveElectrode

DriveElectrode

DriveElectrode

EA

B

CD

VBIAS

VBIAS

VBIAS VBIAS

VBIAS

VBIAS

Si

20 µ

m

10 µm

Beam X-section

0.35 µm coating of SiO2

Anchor & Contact

Resonator 1 BeamsLength = 180 µmWidth = 5 µmHeight = 20 µm

Resonator 2 BeamsLength = 250 µmWidth = 10 µmHeight = 20 µm

Resonator Q ~ 10000 (TED Limited)

Actuation gaps ~ 1.5 µm

Resonant freqs. ~ 1.2 MHz

Pressure of vacuum encapsulation: < 10 mTorr

Page 124: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

108

6.1.2 Tuning Fork Resonators

Flexural mode double-ended tuning fork resonators were used in this work largely

because of their ease of design and operation. Two resonators, each designed to operate near

1.2 MHz, were fabricated in the single crystal silicon device layer of a silicon-on-insulator

(SOI) wafer. A 0.35 µm layer of SiO2 was uniformly grown over both resonators using a dry

thermal oxidation process at 1100 °C. The resulting composite Si/SiO2 resonators exhibit

frequency-temperature characteristics that depend strongly on the ratio between the Si beam

width and the SiO2 coating thickness, as described in Section 4.1.3. With appropriate choice

of resonator beam width and length, two resonators were designed with closely matched

resonant frequencies but very different temperature dependencies, shown in Figure 76. As a

result, the frequency difference between the two resonators can serve as a high resolution

sensor of the resonators’ temperature [101].

Figure 76: Uncompensated resonant frequency vs. temperature characteristics of the two

oxide-coated silicon resonators.

A summary of the relevant characteristics of the tuning forks is given in Figure 75.

The resonators were actuated electrostatically by holding the tuning forks at ground and

applying a constant DC bias voltage in the range of 8 V to 20 V to the drive and sense

electrodes. The AC drive waveforms, applied to the drive electrodes, were around 300 mV

peak-to-peak. TED was identified as the resonators’ dominant energy loss mechanism by

comparing the temperature dependence of the resonators’ quality factors to TED theory as

shown in Section 2.5.3.

-20 0 20 40 60 800

400

800

1200

1600

Temperature [°C]

∆f / f [p

pm

]

f1 ~ 1.195 MHz

f2 ~ 1.198 MHz

Page 125: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

109

6.1.3 Micro-Ovenization

A MEMS micro-oven facilitates heating the resonators to elevated temperatures to

achieve temperature compensation with relatively low power. The micro-oven, which was

fabricated along with the resonators in the device layer of the SOI wafer, consists of a folded

beam suspension that connects the resonators to their anchors. By flowing DC current

through the heater structure (between contacts A and B in Figure 75), one can raise the

temperature of the resonators via Joule heating. Furthermore, the long meandering connection

between the resonators and their anchors provides a large thermal resistance between the

devices and the ambient, thereby enabling large temperature increases (Figure 77).

Figure 77: Measurement and simulation of the resonators’ temperature rise inside the

micro-oven vs. micro-oven Joule heating power.

For the measurement data, temperature was extracted from resonator frequency measurements

using the data in Figure 76. The chief discrepancy between measurement and simulation can

be attributed to the temperature dependence of the thermal conductivity of silicon, which was

not included in the simulation.

The folded beam design presented here achieves a balance between stiffness and

thermal isolation and fits into a compact die area (1 x 1 mm2). Furthermore, the design

isolates the resonators from voltage and temperature gradients in the micro-oven by separating

them from the heating elements using a stiff cross-shaped support structure (labeled ‘E’ in

Figure 75). To ensure that the resonators’ bias voltages were not disturbed by the heating

voltage, additional contacts were added between the heating elements and the resonators

(contacts C and D in Figure 75) to monitor the voltage of the resonator beams in an active

feedback circuit. Additionally, the resonator beams were aligned with the symmetric axis of

0 2 4 6 8 10 12 14 160

20

40

60

80

100

120

Power [mW]

∆ T

em

pe

ratu

re [ K

]

Measurement

Simulation

Page 126: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

110

the micro-oven so that thermal expansion of the oven in this direction would not modify the

resonators’ transduction gaps. These considerations were necessary because exposing the

resonators to temperature variations on the order of 50 mK, voltage variations on the order of

5 mV, or displacements on the order of 10 nm may cause the resonators’ frequencies to shift

away from the curves in Figure 76 and can generate ppm-level errors in the frequency-based

temperature measurement scheme.

Figure 78 shows finite element simulations of voltage and temperature distributions

inside the micro-oven. These 2D simulations include thermal conduction through the silicon

and SiO2 structure. Convection and radiation were not included in the simulation because of

their negligible contribution to heat loss as described in [99]. Figure 79 shows 3D color

versions of a similar simulation.

Page 127: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

111

Figure 78: Finite element models of the voltage and temperature distributions inside the

micro-oven.

Notice that the cross-shaped support structure separates the resonators from the folded beam

suspension, thereby isolating them from gradients in both temperature and voltage. The

resulting gradients in the resonators are smaller than those in the folded beam suspension by

more than five orders of magnitude.

4 V

3 V

2 V

1 V

0 V

-1 V

-2 V

-3 V

-4 V

Voltage Distribution

A B

C D

Folded

beams with

large thermal

resistance

Stiff support

structure to

minimize

temperature

and voltage

gradients

430 K

270 K

290 K

310 K

330 K

350 K

370 K

390 K

410 K

Temperature Distribution

A B

C D

50 μm

Slots for

release

during

fabrication

Page 128: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

112

Figure 79: 3D color illustrations of the voltage and temperature distributions inside the

micro-oven.

While many factors were considered in the design of the micro-oven, such as voltage

uniformity, temperature uniformity, and thermal expansion effects, the major tradeoff in the

design was between heating power efficiency and stiffness. Stiffness is important for several

reasons. First, during fabrication, the released device is subjected to large accelerations while

the wafer is spun by a photoresist spinner. (Spinning the wafer at thousands of rotations per

minute is a commonplace for achieving uniform photoresist coatings.) As these accelerations

cause the device to displace, one must ensure that the micro-oven structure is stiff enough to

prevent the device from contacting the sidewalls of the hermetic encapsulation. “Stiction,” a

common failure mechanism in MEMS whereby surfaces tend to irreversibly stick to one

another, could result from any unwanted surface-to-surface contact [104]. Finite element

simulations of the structure indicate a maximum in-plane acceleration sensitivity of 0.17 nm/g.

The out of plane acceleration sensitivity is 0.41 nm/g, but is not relevant for in-plane

photoresist spinning. Devices located near the outer edge of a 6-inch wafer will likely displace

Cold

Hot

Temperature Profile

Cold

ColdCold

Voltage Profile

+Vheat

-Vheat

SenseVbias

SenseVbias

-20 C

100 °C

20 °C

60 °C

140 °C

4 V

-2 V

2 V

0 V

-4V

Page 129: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

113

by more than 0.2 µm during a 4000 rpm spin. This is a safe but substantial fraction of the epi-

seal process’s 1.5 µm in-plane clearance.

The second case in which stiffness is an important consideration has to do with

electrostatic forces. The DC electrostatic force terms derived in Section 2.2.1 cancel out when

the resonator beams are centered between the drive and sense electrodes. However, there are

several effects that can cause the resonator to displace from the center position during

operation. For example, external shock and vibration from dropping the device even a

moderate distance can equate to thousands of equivalent g’s of acceleration upon impact

[105]. Furthermore, fabrication tolerances or thermal expansion effects (discussed in Section

6.2.3), can cause static displacements between the beams and electrodes of tens of nanometers.

Once off-centered, the imbalance in electrostatic forces from a large bias voltage can cause the

device to “pull-in” to the electrodes.

Finite element simulations of the micro-oven indicate an effective stiffness on the

order of 100 N/m with regard to electrostatic forces acting on the transduction areas of the

resonator beams. Using numerical methods to balance the electrostatic and mechanical force

terms in this system, one can estimate the offset displacement at which the resonator will be

irretrievably pulled-in to the transduction electrode. For a bias voltage of 30 V, this

displacement is roughly 0.6 µm—likely beyond the range of thermal expansion and

fabrication tolerance, but achievable under moderate shock conditions.

6.2. Temperature Compensation System

Figure 80 shows a block diagram of the temperature compensation system. Separate

transimpedance amplifiers (TIAs) electrostatically actuate resonators 1 and 2 to steady state

oscillations at f1 and f2, respectively. The outputs of these oscillators are mixed and filtered to

form a signal at the difference frequency f2−f1. The output of the first oscillator is also passed

through an integer frequency divider to form a signal at the reference frequency f1/N. N was

selected such that f2−f1 equals f1/N when the resonators are heated to 90 °C—just above the

ambient temperature range of interest (Figure 81). Because f1 and f2 have different

temperature dependences, the difference frequency f2−f1 depends much more strongly on

temperature than the reference frequency f1/N (-15 Hz/°C compared to less than -0.02 Hz/°C).

The compensation system applies power to the micro-oven to maintain f2−f1 and f1/N in phase

Page 130: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

114

lock, thereby ensuring that the resonators in the micro-oven are held at 90 °C despite changes

in ambient temperature. Either f1 or f2 can then be used as the system’s output.

Figure 80: Block diagram of prototype temperature compensation system.

Negative feedback holds f2−f1 in phase lock with f1/N (see Figure 81), ensuring constant

micro-oven temperature despite changes in ambient temperature.

The phase comparator was implemented with the edge controlled digital network and

three-state driver on a 4046 integrated phase lock loop (PLL) circuit. The TIAs, loop filter,

and heater amplifier were implemented with discrete op-amp stages as described in Sections

3.3 and 5.3.2 respectively. A 4059 divide-by-N counter functioned as the integer divider. The

mixer and low pass filter were not implemented explicitly. Instead it was observed that

feedthrough between the two oscillators is mixed by the nonlinear nature of the oscillators’

automatic level control (ALC) circuitry. This effect caused the difference frequency, f2−f1, to

appear inside the ALC loop with sufficient amplitude to drive one input of the phase

comparator. The ALC circuitry was described in detail in Section 3.3.4.

Res 1

Res 2

TIA

TIA

Phase/Freq Detect

Loop Filter

f1

Phase pulsesH

eate

r voltage

Mic

ro-o

ven

Low

Pass

f2−f1

f2

f2−f1 , f2+f1

÷÷÷÷Nf1

N

Optional Look Up

Table Amplitude Set Point

HeaterAmp

Page 131: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

115

Figure 81: The difference frequency f2−f1 and reference frequency f1/N as functions of

temperature.

Notice that the y-axis is now measured in kHz and not ppm. Even though f1/N varies by

several hundred ppm from -20 °C to 100 °C, this variation amounts to less than 1 Hz and is

not visible at this scale.

The phase lock loop system has several advantages over other methods of oven-

control temperature compensation. First, because the temperature sensing signal is a

frequency, variations in the gain, offset, or phase response of the temperature measurement

circuitry do not affect the system’s accuracy. This is in contrast to systems that measure a

voltage, current, amplitude, or resistance in order to determine resonator temperature. Second,

because the temperature sensing signal is derived directly from the oscillator signal, the

system’s performance will scale naturally with improved oscillator performance. For

example, improvements in oscillator phase noise or power supply rejection translate directly

into better noise performance and lower supply dependence for the compensation system.

Third, the reference against which the temperature sensing signal is compared (i.e. f1/N) is also

generated inside the stabilized micro-oven. This eliminates the need for a temperature

stabilized external voltage or current reference, as may be required for a diode or thermistor

based thermometer.

6.2.1 Closed Loop Control Model

A control model of the closed loop system is shown in Figure 82. The phase

comparator is modeled as a subtraction element (subtracts the phase of f2-f1 from that of f1/N)

with gain KPD equal to Vdd/4π, where Vdd = 5 V [106]. The output of the phase comparator is a

-20 0 20 40 60 80 1002.5

3

3.5

4

4.5

5

f1/ N, N=405

f2-f

1

-15 Hz/°C

<0.02 Hz/°C

Temperature [°C]

Fre

qu

en

cy [kH

z]

Page 132: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

116

series of pulses that is processed by the loop filter—a simple op amp integration stage. By

placing a resistor in series with the integrator’s feedback capacitor, a zero is added to the

integrator’s transfer function to ensure maximum phase margin for the overall system.

Because the heater amplifier’s bandwidth is around 20 kHz—much larger than the overall

system’s bandwidth—its gain is lumped in with the gain of the integrator stage, KLF. Next, the

micro-oven is modeled as a first order system that converts voltage to temperature with a time

constant of about 15 ms and a gain computed from the data in Figure 77. Finally, the dual

oscillators, mixer, and low pass filter are lumped together into a single element—a

temperature controlled oscillator whose output is f2-f1. Since phase is the integral of

frequency, this temperature controlled oscillator is modeled as an integrator so that its output

is a phase. The gain of the integrator, KTCO, is extracted from the measurement of f2-f1 vs.

temperature to be approximately -15 Hz/K. Notice that f1/N is treated as a constant input to

the system because its sensitivity to temperature is almost 1000 times smaller than that of f2-f1.

Figure 82: Control model of the phase lock loop.

Because the system has two poles at the origin, the locations of the thermal pole of the

micro-oven (ωp) and the zero of the integration stage (ωz) determine the system’s phase

margin and stability. Using this model, the computed the closed loop frequency response of

the phase lock loop system is plotted in Figure 83.

ΔΦ

KPD

Φ(f2-f1)Voltage

KLF(1+s/ωz)

s

Micro-oven

KH

(1+s/ωp)

KTCO

_

+

Voltage Temperature

Loop FilterResonator

OscillatorPhase

Detector

Φ(f1/N)

Page 133: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

117

Figure 83: Open loop frequency response of the temperature compensation system.

The modeled frequency response is shown in solid lines and values extracted from

measurements of the closed loop frequency response of Prototype A (see Table 6) are shown

as circles. Both indicate that the control system achieves a unity gain crossover frequency of

about 1.5 Hz.

6.2.2 Noise Model

The simplified model in Figure 82 is sufficient for evaluating the system’s stability

and first-order performance, but it does not fully capture noise effects. To evaluate the noise

gain of the system, a more sophisticated model is required (Figure 84).

Figure 84: A complete system model for noise calculations.

10-1

100

101

102

-60

-40

-20

0

20

40

60

Magnitude

Phase

Frequency [Hz]

Ma

gn

itu

de

[d

B]

10-1

100

101

102-180

-165

-150

-135

-120

-105

-90

Ph

ase

[d

eg

]

÷N

TCf2

TCf1

1

f1

f2 +_

f2-f1

f1/N

ΣΦ(f1/N)

1

s

KPD

TMEMS

Σ

+

_

Φ(f2-f1)

ΔΦ

TAmbient

+

KLF(1+s/ωz)

s(1+s/ ωp)2

KH

(1+s/ωH)

Heater

Loop Filter

Voltage

Pulses

Control

Voltage

ΔTHeater

+

Osc 1

Osc 2

Mixer & LPF

Phase-Frequency

Detector

Page 134: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

118

To compute the system’s ability to reject changes in ambient temperature, the model

can be condensed as shown in Figure 85 to map the gain from TAmbient to f1.

Figure 85: Condensed system model showing the path from TAmbient to f1.

The system’s loop gain appears in the feedback network of this condensed system model. The

open loop gain is plotted in Figure 86. From this data, one expects the system to achieve large

rejection of ambient temperature variations at frequencies below the crossover point (1.5 Hz),

but little rejection above this frequency. The transfer functions from TAmbient to f1 and f2 are

plotted in Figure 87.

Figure 86: Simulation of the loop gain.

This gain appears in the feedback network when computing the gain from TAmbient to f1.

TCf1Σ

Loop

Gain

TAmbient

TMEMS

ΔTHeater

f1

+

_

10-3

10-2

10-1

100

101

102

103

-100

-50

0

50

100

Mag [dB

]

Open Loop Gain

Frequency [Hz]

Page 135: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

119

Figure 87: The resulting gain of the system from TAmbient to f1 and f2.

Unfortunately, variations in ambient temperature are not the only noise components

that can influence the system’s output frequency. The model in Figure 84 can be used to

evaluate the system’s rejection of other noise sources as well. For example, consider the

system’s ability to reject noise and offset in the phase detector, loop filter, or heater amplifier.

These noise sources are shown in Figure 88.

Figure 88: System model for computing the effects of noise and offset in the phase

detector, loop filter, or heater amplifier.

Using an approach identical to that used for ambient temperature variations, the gain

from these noise sources to the output at f1 can be evaluated. The transfer functions are shown

in Figure 89. Notice that in-band noise and offset are still rejected by the system. Also, the

10-3

10-2

10-1

100

101

102

103

10-5

10-3

10-1

101

Mag

[H

z/K

]

Freq [Hz]

TAmb

to f1

TAmb

to f2

÷N

TCf2

TCf1

1

f1

f2 +_

f2-f1

f1/N

ΣΦ(f1/N)

1

s

KPD

TMEMS

Σ

+

_

Φ(f2-f1)

ΔΦ

TAmbient

+

KLF(1+s/ωz)

s(1+s/ ωp)2

KH

(1+s/ωH)

Heater

Loop Filter

ΔTHeater

+

Osc 1

Osc 2

Mixer & LPF

Phase-Frequency

DetectorNoise

ΣΣ

Noise

Page 136: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

120

out-of-band noise gain remains tolerable. For example, the out-of-band gain for noise at the

output of the loop filter is around 200 Hz/V. Noise on the order of 10 µVRMS at the opamp

output would generate less than 2 ppbRMS of frequency variation in f1, which is well below the

target resolution of the temperature compensation system.

Figure 89: Transfer function of noise from the loop filter or phase detector to the output

at f1.

Alas, not all noise can be rejected by the system. Consider the impact of noise that

appears at the output of oscillator one or oscillator two, as shown in Figure 90. One can

imagine a variety of sources for this noise: 1) phase noise in the oscillators related to

electronic or mechanical noise 2) aging of the oscillators due to drift in the electronic

components, PCB stress, humidity, etc. 3) aging or drift in the MEMS devices due to charge in

the oxide film, fatigue, or stress relaxation, or 4) temperature effects not included in the

resonator’s TCf, such as the impact of temperature on the phase response of the TIA. For

temperature compensation, item number 4 is of particular interest, is not negligible, and will

be discussed in more detail in the next section. As above, the noise gain from these sources to

the output can be computed and is shown in Figure 91. The in-band gain of noise in oscillator

one to the output at f1 is

|--| ][][- ][ (6.1)

Similarly, the gain from noise in oscillator two to the output at f1 is

10-3

10-2

10-1

100

101

102

103

10-2

10-1

100

101

102

Mag

[H

z/V

]

Freq [Hz]

PFD Noise

OpAmp Noise

Page 137: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

121

|-| ][-][- ][ (6.2)

Notice that in these cases the in-band noise is not rejected; in fact, depending on the difference

between TCf1 and TCf2, this noise can be amplified. This result is significant, as it sets the

practical limit for this system’s functionality in situations where a large range of resonator

TCf’s is not feasible. For example, different vibration modes can be used in a silicon resonator

to achieve different TCfs, but the TCfs vary by only a small amount [107]. If the temperature

coefficients from [107] were used in this system (TCf1 = -22.3 ppm/K and TCf2 = -27.3

ppm/K), any inherent drift or in-band noise in oscillator one would be amplified by more than

5x before appearing at the output of the system.

Figure 90: System model for computing the effects of noise and drift in both oscillators

÷N

TCf2

TCf1

1

f1

+_

f2-f1

f1/N

ΣΦ(f1/N)

1

s

KPD

TMEMS

Σ

+

_

Φ(f2-f1)

ΔΦ

TAmbient

+

KLF(1+s/ωz)

s(1+s/ ωp)2

KH

(1+s/ωH)

Heater

Loop Filter

Voltage

Pulses

Control

Voltage

ΔTHeater

+

Osc 1

Osc 2

Mixer & LPF

Phase-Frequency

Detector

Σ

Noise

Σ

Noise

Page 138: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

122

Figure 91: Transfer function of noise from oscillator 1 or oscillator 2 to the output at f1.

6.2.3 Residual Error Sources

Even after careful design, several sources of residual error persist in the system. While

it is difficult to calculate the exact contribution of each source to the overall error, one can

attempt order-of-magnitude estimates. Four sources will be considered here: 1) the phase

response of the TIA is a weak function of temperature; 2) the output amplitude of the ALC

loop is also a weak function of temperature; 3) thermal expansion of the resonators slightly

changes the effective transduction gaps; and 4) radiative heat transfer out of the micro-oven

causes a small thermal gradient along the resonator beams.

6.2.3 a) TIA Phase Variations

For frequencies close to the resonant frequency of the resonator, the slope of the

resonator’s phase is

Q[p 36004[. degrees per Hz (6.3)

The oscillator will always oscillate at the frequency where the total phase transition around the

loop is 0°. This means that if the phase of the oscillator electronics increases by 1°, the

oscillator loop will shift frequency by πf0/(360Q) Hz to compensate for the change in the

phase of the electronic path. For the devices in this work, πf0/(360Q) ≈ 1 Hz/degree ≈ 0.9

ppm/degree.

10-3

10-2

10-1

100

101

102

103

-50

-40

-30

-20

-10

0

10

Ma

g [d

B]

Freq [Hz]

Osc1 noise to f

1

Osc2 noise to f

1

Page 139: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

123

There are five primary poles in the three-stage oscillator that contribute a small

amount of phase at f0. By estimating the temperature dependent variation of the location of

these poles, one can approximate the oscillator’s frequency shift due to phase-temperature

variations in the electronics. Two poles are below f0: fFP1 = 1/2πRF1CF1 and fFP2 =1/2π RF2CF2

come from the feedback networks of the first and second stages. (Please refer to the figures in

Section 3.3). The three poles above f0 come from the gain-bandwidth products of the

amplifiers used to implement stages one, two, and three.

Assuming that the passive components used to implement fFP1 and fFP2 have

temperature sensitivity of 30 ppm/°C (a typical value for high quality surface mount resistors

and capacitors), the worst-case temperature sensitivity of these pole frequencies can be

approximated as

[ou] 60[ou10 Hz/°C (6.4)

The sensitivity of the phase at f0 to the frequency of these poles can be computed by taking the

derivative of the phase of a single pole system with respect to frequency. The result is

[u 1804 [.[u [. degrees per Hz (6.5)

Therefore, the final sensitivity of the oscillator due to temperature variations in these

components is approximately

[] [ou-[ou-] [ [ou

[ou] [ (6.6)

For the components given in Section 3.3, this sensitivity is approximately 0.4 ppb/°C. Over a

100 °C range, this error amounts to less than 0.04 ppm.

The poles from the finite gain-bandwidth of the amplifiers in the first and second

stage can be estimated as

[ju Ww h (6.7)

Page 140: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

124

where Cp is the parasitic capacitance to ground from the inverting input of the opamp, and

GBW is the opamp’s gain-bandwidth product. For the third stage, the pole can be estimated as

[juK Ww !1 noKnuK",- (6.8)

where RP3 is the parallel resistance of RS3 and the JFET. The term 1 r s depends on the gain

of stage three and typically varies from 5 to 50. For a worst case calculation, assume fOP3 ≈

GBW/50. Unless a long cable is used between the oscillator electronics and the resonator, the

parasitic capacitance at the inputs of the opamps in stages 1 and 2 can be neglected so that fOP1

≈ fOP2 ≈ GBW >> fOP3 ≈ GBW/50. Therefore, the phase error of stage three is likely dominant,

and the error from stages one and two will be neglected. To complete the calculation, a

sensitivity of the GBW to temperature must also be assumed, as it is not always available from

datasheets. Suppose that GBW = 350 MHz (for the AD8038) and varies by 20% over 100 °C

( s .... 5 K .¡¢ . ). The final sensitivity of the oscillator due to temperature variations

in the opamps is therefore approximately

[] [juK[juK] [ 1.4 °MHz 5 14kHz°C 5 0.9ppm° .02 ppm°C (6.9)

Over a 100 °C range, this error amounts to roughly 2 ppm.

6.2.3 b) ALC Amplitude Variations

Section 2.4.4 described the relationship between resonator amplitude and frequency

for a MEMS resonator, and Section 3.3.4 described the ALC system which holds the drive

amplitude constant. Because the resonator is held at a constant temperature inside the micro-

oven, its motional impedance remains constant. Therefore, drive amplitude can be used to

predict the resonator’s amplitude at all temperatures. Unfortunately, the ALC system has some

temperature dependence, so drive amplitude will vary slightly with ambient temperature, and

this can affect the output frequency through the A-f effect. Notice that the RMS converter

appears in the feedback path of the ALC system, as shown in Figure 54. As a result, variations

in the gain and offset of the RMS converter directly affect the output amplitude of the ALC

system. This is the dominant source of amplitude variation in the ALC system.

Page 141: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

125

As described in Section 5.3.1, the input referred error of the RMS converter was

measured to be roughly 2.5% of a 200 mVRMS signal over a large range of temperatures. The

A-f coefficient of the DETF resonators used in this work depends very strongly on a number

of resonator parameters as well as VBIAS and the drive voltage amplitude. For the resonators,

bias voltages, and drive amplitudes used in these prototypes, the A-f coefficients were

measured to be on the order of -2 ppm/%. Combining these two figures results in an amplitude

variation induced frequency error of approximately 5 ppm over 100 °C.

6.2.3 c) Thermal Expansion

When the temperature compensation system is functioning properly, the resonators

maintain a constant temperature inside the micro-oven. However, the anchors, micro-oven

suspension, transduction electrodes, and substrate do not—their temperature varies a great

deal with the ambient. As a result, the resonators and micro-oven undergo a different amount

of thermal expansion compared to that of the transduction electrodes. This differential thermal

expansion can cause the resonator beam to shift inside the cavity as shown in Figure 92, and

this in turn can cause the transduction gaps to vary with temperature. Through the spring

softening effect (Section 2.4.3), varying transduction gaps can create frequency errors.

Figure 92: Cross-sectional illustration of the shift of the resonantor beam inside the

vacuum cavity.

Thermal expansion effects can create a lateral shift of x, and the resulting change in the

transdution gaps can create frequency variation through the spring softening effect.

Two-dimensional finite element simulations were conducted to fully investigate the

extent of the thermal expansion effect. Figure 93 shows the x-direction displacement of the

Dri

ve

Ele

ctro

de

Be

am

Se

nse

Ele

ctro

de

d d

x x

Page 142: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

126

resonators relative to the transduction electrodes when the micro-oven is heated 100 °C above

ambient. Displacements in excess of 60 nm occur along the transduction gaps.

Figure 93: Displacement in the x-direction due to thermal expansion in the micro-oven

when the resonators are heated 100 °°°°C above the temperature of the anchors.

Using a calculation similar to that from Section 2.4.3, the effect of a linear

displacement of the resonator beam with respect to the drive and sense electrodes can be

derived. Notice that if the beam begins centered between the drive and sense electrodes, the

first order Taylor coefficient is zero because the spring softening effects of the two opposing

electrodes cancel to first order. Therefore, the resulting frequency shift should be estimated

from the 2nd order coefficient of the Taylor expansion:

[. [.§ 34¨3)&%& (6.10)

where f0’ is the resonant frequency when the beam is perfectly centered, and x is the lateral

displacement of the beam from thermal expansion. For the devices used in this prototype, this

60 nm

40 nm

20 nm

0 nm

-20 nm

-40 nm

-60 nm

x-direction displacement

ΔT = 100 °C

x

y

Page 143: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

127

sensitivity is estimated at 2 ppb/nm2. Therefore, thermal expansion displacements of 60 nm

are expected to result in about 7 ppm of frequency error.

6.2.3 d) Thermal Radiation

In the original analysis of the micro-oven, thermal radiation in the cavity was ignored

because its effect was estimated to be orders of magnitude smaller than the thermal conduction

through the folded beam suspension. While this approximation is valid for computing the

micro-oven’s overall thermal resistance, it is still possible for thermal radiation to contribute a

small amount to the residual frequency error of the temperature compensation system.

Consider the case where the micro-oven is heated 100 °C above the ambient. As heat radiates

from the tuning fork beams and is absorbed by the cap, substrate, and transduction electrodes,

a small thermal gradient will appear along the length of the beams, as shown in Figure 94.

Figure 94: Top-view illustration of the effects of thermal radiation from a DETF

resonator inside the micro-oven.

Radiation can cause thermal gradients to appear along the length of the tuning fork beams.

An infinite parallel plate assumption is appropriate for calculating the radiation heat

loss from the resonator, since the gaps separating the resonator from the surrounding silicon

are small. With this assumption, the heat loss per unit length along the resonator beam is

Slightly warmer

Slightly cooler

Ra

dia

tio

n

Ra

dia

tion

Page 144: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

128

©ªI 2« 2d¬­]®&I¨ ]&¨ ¯2,- 1 (6.11)

where h is the beam height, w is the beam width, and εSi is the emissivity of silicon (≈0.7), and

σ is the Stefan-Boltzmann constant. This heat loss can be translated into an effective radiation

conductance per unit length of

ªI ©ªI]®&I ]& (6.12)

The thermal resistance per unit length from conduction along the beam is

n°H± 1)«d (6.13)

where kSi ≈ 150 W/mK is the thermal conductance of silicon. From this resistance and

conductance per unit length, one can calculate the temperature distribution along the length of

the beam from the telegrapher’s equations [108]. Notice that this temperature gradient has an

exponential distribution. The result is

] ]®&I !1 12 ²S3 ³´µ¶m·¸¶ 12 ²,S3 ³´µ¶m·¸¶" (6.14)

Radiation from the coupling bar between the resonator beams can also be included in this

analysis and adds an additional linear component to the temperature profile. The resulting

temperature distribution is

] ]®&I !1 12 ²S3 ³´µ¶m·¸¶ 12 ²,S3 ³´µ¶m·¸¶" 0°H¹hJ&n°H± (6.15)

where Qcouple is the heat loss from radiation from the coupling bar.

The worst-case temperature gradient occurs in the maximum heating condition with

the resonator held at 90 °C while the die’s temperature is -20 °C. Using these equations, the

total temperature drop along a tuning fork beam with dimensions w x L x h = 10 x 250 x 20

µm3 is approximately 0.14 °C. Figure 95 plots an estimate of temperature vs. position along

the length of the tuning fork beam.

Page 145: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

129

Figure 95: Temperature distribution along a DETF beam considering the effects of

radiation heat loss.

Estimating the sensitivity of the beam’s resonant frequency to a nonlinear temperature

gradient along its length is not straightforward. Even more difficult is the calculation of the

overall system sensitivity to the different temperature profiles that appear in resonator 1 and

resonator 2. However, it is simple to calculate bounds for this sensitivity. It is reasonable to

assume that the frequency deviation due to a temperature gradient of 0.14 °C will be smaller

than the frequency deviation due to change in the mean temperature of 0.14 °C, since the latter

is a first-order effect and the former is not. It is also reasonable to assume that the overall

system error due to temperature gradients in resonators 1 and 2 will be smaller than the error

due to a temperature gradient in only one resonator, since the system will act only on the

difference between the two frequencies. Therefore, assuming a resonator TCf of -22 ppm/°C

(from Figure 76) and a maximum gradient of 0.14 °C, one expects the total system error from

radiation-induced temperature gradients to be significantly less than 3 ppm across a

temperature range of 100 °C.

6.2.4 Optimal Bias Point

Fortunately, some of the effects described in the previous section scale with resonator

bias voltage. Since this system relies upon the difference between two oscillator frequencies,

it is possible to tune the resonators’ bias voltages so that the errors described above cancel to

first order between the two oscillators. In other words, it is possible to select bias voltages for

resonator 1 and resonator 2 such that the dependence of the sum of the errors described in the

previous section falls in line with the resonators’ inherent TCfs. In this case, the system will

automatically reject the errors at the same time that it rejects ambient temperature variations

by heating the micro-oven. This optimal bias point gives the best temperature performance

0 50 100 150 200 250-0.2

-0.15

-0.1

-0.05

0

Position along beam [um]∆

T [

°C]

Page 146: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

130

and is used for the rest of the experiments described in this chapter. Because the residual error

comes from a combination of a large number of unpredictable sources, calculation of the

optimal bias point is extremely difficult. Fortunately, empirical measurement of the optimal

bias point is straightforward. Figure 96 shows the measured impact of resonator bias voltage

on the system’s residual temperature induced frequency error.

Figure 96: Measurements of frequency error vs. temperature for Prototype B using

different bias voltages on resonator 2.

The bias on resonator 1 was 10 V.

While all prototypes exhibited similar behavior in this regard, the optimal bias point

for each prototype was found to be unique. This is not surprising considering the variety of

effects that can influence this residual error and the wide tolerance of the components (MEMS

and electronic) that were used in these academic prototypes. Although manual tuning was

used to locate the optimal bias point for each prototype, it is possible that commercially

fabricated oscillators with tighter MEMS tolerances or closely matching electronics might

exhibit similar or systematically correlated optimal bias points.

6.2.5 Optional Multipoint Calibration

An optional calibration step and digital lookup table can be added to the system to

remove residual higher order errors left over after selecting the optimal bias point. This

compensation is achieved by slightly adjusting a resonator’s drive level depending on the

ambient temperature, which can be inferred from the voltage input to the micro-oven (see

dashed path in Figure 80). In this way, the system can take advantage of the nonlinear A-f

0 20 40 60 80

-1

-0.5

0

0.5

1

Temperature [°C]

∆f / f [p

pm

]

18V

18.5V19V19.5V

20V

Page 147: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

131

effect (Section 2.4.4) to correct for residual errors left over by the main phase lock loop

controller. Notice that any parameter capable of tuning the oscillator by a few ppm could be

used to apply this correction, such as bias voltage or TIA phase response. Drive amplitude

was chosen for these prototypes because of the ease with which it could be adjusted

externally. While this method was found to be effective at minimizing frequency error during

steady state temperature conditions, it was less effective during temperature transients because

it cannot account for temperature gradients that appear across the circuit board prototype. In

addition, this method requires a multipoint calibration and a digital look-up table and thus may

not be suitable for low cost applications.

6.3. Measurement Results and Discussion

6.3.1 Implementation

Three prototypes were constructed with lithographically identical MEMS devices.

Because of process variations, these devices exhibited different resonant frequencies, as

shown in Table 6. In the following experiments, the resonators, oscillator electronics, and

phase lock loop controller (i.e. everything drawn with solid lines in Figure 80) were

implemented at the board level and experienced the same ambient temperature variations as

the MEMS die. The optional look-up table was implemented with bench top equipment.

Photographs of the prototypes appear in Figure 97.

Table 6: Comparison of the three prototypes.

Prototype A Prototype B Prototype C

f1 1.186 MHz 1.195 MHz 1.179 MHz

f2 1.193 MHz 1.198 MHz 1.189 MHz

f2−f1 6.98 kHz 2.95 kHz 10.43 kHz

N 170 405 113

Page 148: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

132

Figure 97: Photographs of the prototypes.

Prototypes A and B had their oscillator electronics implemented on a PCB, but the phase lock

loop electronics were implemented on a protoboard. Prototype C was implemented fully on a

PCB.

6.3.2 Temperature Stability

The transient performance of the prototypes without the optional calibration and

lookup table is shown in Figure 98 along with measurements of a commercial Temperature

Compensated Quartz Crystal Oscillator (TCXO). The data demonstrate that, like the TCXO,

this compensation system reduces frequency deviations to ±1 ppm in a robust and repeatable

manner even for transient temperature ramps of 5 °C/min. The residual frequency spikes

observed during temperature transients in Figure 98 occur well inside the closed-loop

bandwidth of the compensation system. As a result, it is likely that these deviations are

evidence of temperature gradients that may occur across the circuit boards during heating and

cooling and not an indication of the PLL’s closed loop bandwidth, which was shown in Figure

83 to be about 1.5 Hz. Even so, these spikes are significantly smaller than those observed in

the Q(T)-based compensation system in Section 5.5 owing to the fact that the ∆f system is

inherently less sensitive to variations among the electronic components in the feedback path.

MEMS Die

Prototypes A & B

Prototype C

Page 149: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

133

Figure 98: Real-time frequency measurements of three prototypes subjected to 5 °C/min

ambient temperature ramps.

In this experiment, the optional digital calibration and lookup table were not used. Included

for comparison are measurements from a FOX924B commercial TCXO. Frequency data was

collected using an Agilent 53132A Universal Frequency Counter with 1 second averaging.

Figure 99 shows mean and standard deviation of the systems’ time-averaged

performance when subjected to several increasing and decreasing sweeps of ambient

temperature. The variation observed among the three prototypes can be attributed to the

effects mentioned in Section 6.2.3. Also included in Figure 99 are measurements from a

commercial Oven Compensated Quartz Crystal Oscillator (OCXO). Notice that the optional

calibration and lookup table reduces steady state frequency errors to approximately ±0.05

ppm, which is comparable to the OCXO. However, while the OCXO requires watts of power

and cubic centimeters of volume [109], the MEMS resonators and micro-oven occupy less

than 1 mm3.

0 2 4 6 8 10 12 14 16 18 20 22 24 26

-1

0

1

Fre

quency (

∆f /

f )

[ppm

]

0 2 4 6 8 10 12 14 16 18 20 22 24 26-20

5305580

Time [hrs]

Tem

p [

°C]

Prototype A Prototype B Prototype C TCXO

Page 150: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

134

Figure 99: Measurements of steady state frequency deviation vs. ambient temperature

for three prototypes and for a C4550 commercial quartz OCXO.

Symbols show mean frequency and error bars show standard deviation of the mean frequency

over several increasing and decreasing temperature sweeps.

Figure 100 further compares the temperature sensitivity of these prototypes to alternative

systems. Even without calibration, this system outperforms some of the best temperature

compensated MEMS oscillators to date, and the temperature performance falls well within

bounds for commercial products.

±0.05ppm

-20 0 20 40 60 80-1

-0.5

0

0.5

1

Temperature [°C]

Fre

qu

en

cy (

∆f / f )

[pp

m]

Prototype A, No Lookup Table

Prototype B, No Lookup Table

Prototype B + Lookup Table

Prototype C, No Lookup Table

C4550 Quartz OCXO

Page 151: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

135

Figure 100: Frequency vs. temperature curves for a variety of academic and commercial

resonators and oscillators.

The Q-based and f-based are from Chapters 5 and 6, respectively. The commercial MEMS is

from [87]. The commercial quartz is from [110]. The Si/SiO2 MEMS is from device 1 in

Figure 76. The MEMS w/ micro-oven is from [95]. The MEMS with bias voltage is from [52].

The MEMS with stiffness is from [83]. The MEMS with Frac N is from [88].

6.3.3 Power Consumption

Table 2 shows the power consumption of this compensation system. These figures

should be interpreted with care, as the board level prototype was limited to commercially

available parts. An integrated circuit (IC) designed specifically for this purpose would

consume far less power. For example, consider the power consumption broken down into

three subsystems: 1) the TIA based oscillators; 2) the micro-oven heating power; and 3) the

phase detector, divider, amplifier, and filters that complete the PLL. Regarding 1), the IC

MEMS oscillator in [30] consumes only 1.8 mW and includes a TIA comparable to those in

this system. Therefore, a reasonable power estimate for integrated dual oscillators might be 4

mW, which is much lower than the 93 mW consumed by the board level TIAs. Regarding 3),

the phase lock loop operates at a relatively low frequency (kHz) compared to the MEMS

oscillators (MHz). Therefore, if it is integrated with a system in which power scales with

bandwidth (as is the case for most power optimized ICs), the relatively slow phase lock loop

feedback control circuitry should require negligible power compared to the MEMS oscillator

Si/SiO2 MEMS

Commercial Quartz TCXO bounds

Q-based (without lookup table)

∆f-based

Commercial MEMS bounds

MEMS w/ bias voltage compensation

MEMS w/ micro-oven & thermistor compensation

MEMS w/ stiffness compensation

MEMS w/ Frac N and temp. sensor-20 0 20 40 60 80

-25

-10

0

10

25

Fre

qu

en

cy (

∆∆ ∆∆f

/ f

) [p

pm

]

Temperature [°°°°C]

Page 152: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

136

it is compensating. Finally regarding 2), while the presented micro-oven may not be

completely optimized for power efficiency, order of magnitude improvements in power

consumption through mechanical redesign are unlikely. Thus, one would expect that the

majority of the power budget of an IC implementation could be dedicated to the 15 to 20 mW

of micro-oven heating power, with the oscillators and phase lock loop electronics requiring a

few additional milliwatts.

Table 7: Prototype B power consumption over the temperature range -20 °C to +80 °C.

TIA #1 46 to 47 mW

TIA #2 46 to 47 mW

Micro-oven (Joule heating power) 2 to 17 mW

Micro-oven Amplifier 10 to 13 mW

Phase Detector, Filters,

& Frequency Divider 10 to 13 mW

Total 114 to 137 mW

6.4. Remaining Challenges

Some significant challenges still remain in the development of a high precision

MEMS oscillator. Temperature stability is only one of many important specifications (e.g.

phase noise, aging, process and supply variation, acceleration sensitivity), and these

prototypes are still far from meeting all of them. One disadvantage of the system described in

this work is that coupling between the two separate oscillator loops can generate unwanted

tones in the output spectrum. Figure 101 shows a measurement of the output spectra of

Prototype A. While one would like the output spectrum of oscillator 1 to be a single peak at

f1, feedthrough from oscillator 2 is relatively strong and generates intermodulation products in

the output. Similar feedthrough appears in the output spectrum of oscillator 2. This

feedthrough is exacerbated by the compensation system’s requirement of close frequency

spacing and tight thermal coupling between the two resonators.

Page 153: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

137

Figure 101: Output spectra from Prototype A.

Measurements were conducted to differentiate among three major sources of coupling

between the two oscillators: 1) mechanical coupling between the resonators inside the micro-

oven; 2) electrical coupling inside the MEMS die and ceramic package including bond wires

and on-chip routing; and 3) electrical coupling at the board level outside the resonators’

package. Figure 102 shows a simplified diagram of the dual oscillator configuration and

summarizes the possible coupling paths. Table 8 lists feedthrough measurements taken under

several different operating conditions. Notice that the data in row 1 of Table 8 can be extracted

from Figure 101.

1.16 1.18 1.2 1.22

-100

-80

-60

-40

-20

Outp

ut

1 [

dB

m]

f2,-43.2dBmf

1,-24.9dBm

1.16 1.18 1.2 1.22

-100

-80

-60

-40

-20

Freq [MHz]

Outp

ut

2 [

dB

m] f

2,-16.5dBm

f1,-38.3dBm

Page 154: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

138

Figure 102: Simplified schematic of dual oscillator showing coupling modes.

If an open circuit is created at the DC blocking capacitor on the drive side of resonator

2, oscillator 2 stops while oscillator 1 continues to run. Under this condition, the output of

oscillator 1 no longer contains an unwanted signal at f2, and the output of oscillator 2 consists

only of the feedthrough at f1 from oscillator 1 (Table 8, row 3). Like normal operation, in this

condition all three possible sources of feedthrough can contribute to the unwanted signal at the

output of oscillator 2. Alternatively, if the bias voltage at the sense terminal of resonator 2 is

set to zero, oscillator 2 again stops and its output again contains only feedthrough at f1 from

oscillator 1. However, in this condition mechanical coupling between the two resonators

cannot contribute to the measured feedthrough because mechanical vibrations in resonator 2

do not generate an electrical signal in oscillator 2 (Table 8, row 5). Similarly, by creating an

open circuit at the DC blocking capacitor on the sense side of oscillator 2, neither mechanical

coupling nor electrical coupling inside the package can contribute to the tone at f1 in the output

of oscillator 2 (Table 8, row 7). Notice that setting the bias to zero has a marginal impact on

the feedthrough (<2 dB), while removing the DC blocking capacitor on the sense side has a

major impact (>20 dB). These data suggest that the dominant source of coupling is electrical

Resonator 1

Resonator 2

ALC TIA

TIAALC

VBIAS2, senseVBIAS2, drive

Csense2

(DC blocking)Cdrive2

(DC blocking)

Mechanical

Coupling

VBIAS1, senseVBIAS1, drive

Electrical

Coupling

(package level)

Electrical

Coupling

(board level)

Out 2

Out 1

Csense1(DC blocking)

Cdrive1(DC blocking)

Page 155: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

139

feedthrough inside the package. This feedthrough might be reduced by more careful

packaging, wirebonding, or on-chip routing strategies.

Table 8: Measurements of feedthrough between the two oscillators in Prototype A.

Feedthrough from oscillator 1 to oscillator 2 is defined as the ratio of the amplitude of the

signal at f1 at the output of oscillator 1 to the amplitude of the signal at f1 at the output of

oscillator 2. An equivalent definition is used for coupling in the opposite direction. Dashes in

the table refer to cases with no measureable feedthrough.

Osc. 1 to Osc. 2 Osc. 2 to Osc. 1 Possible source*

1. Normal operation -13.4 dB -26.7 dB M, EP, EB

2. Cdrive1

removed - -25.8 dB M, EP, EB

3. Cdrive2

removed -13.4 dB - M, EP, EB

4. VBIAS1,sense

= 0V - -24.8 dB EP, EB

5. VBIAS2,sense

= 0V -14.9 dB - EP, EB

6. Csense1

removed - -46.8 dB EB

7. Csense2

removed -45.2 dB - EB

* M– Mechanical coupling; EP– Electrical coupling inside the package; EB– Electrical

coupling at the board level.

Additionally, a modified version of this compensation system could use oscillators

with more widely spaced frequencies (e.g. ×10) followed by an appropriate integer divider

(e.g. ÷10) in order to move the electrical and mechanical inner-package feedthrough to out-of-

band frequencies. The resulting spurs would be more easily removed by subsequent filters

because of the large frequency spacing. A block diagram of such a system is shown in Figure

103.

Page 156: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

140

Figure 103: Suggestion for a modified version of the ∆f system that would aid in the

rejection of coupling between the two oscillators.

Another potential disadvantage of the compensation system presented here is the use

of Si/SiO2 composite resonators, whose long term stability is still uncertain [111], and which

has been shown to increase process spread [112]. Fortunately, there are alternative methods of

achieving multiple temperature coefficients in a single die. For example, the dual mode

temperature sensing scheme from [107] relies upon different vibratory modes instead of

composite resonators. In [113] and [114], Samarao et al describe methods of using doping or

resonator geometry to achieve different temperature coefficients in silicon.

Finally, while the system described here is effective at removing temperature drift

effects from MEMS references, it still does not solve the fundamental problem of absolute

accuracy. In order to meet the requirements of virtually any commercial application, one must

be able to reliably produce frequency references with a particular absolute accuracy (e.g.

10MHz ± 10 ppm). Several solutions to this problem have been suggested: one can rely upon

laser trimming or localized heating to modify the frequency of MEMS resonators after

fabrication [115, 116]. However, this approach may not be compatible with the epi-seal

encapsulation process. One could also use variable frequency multiplication to solve the

problem, as described in Section 4.2.2. This approach is used in the commercial products

produced by SiTime. Another option is to produce “frequency announced” references. These

devices do not meet a specific target with regards to absolute accuracy. Instead, the frequency

of such a device is measured post-fabrication and recorded digitally in nonvolatile memory

that is packaged with the oscillator. The device then announces its frequency to the system in

which it is employed, and corrections for the absolute frequency inaccuracy are made

Res 1

Res 2

TIA

TIA

÷NPhase/Freq

Detect

Low

Pass

Low Pass

f1

f2

f2−f1/M

f2+f1/M

f2−f1/M

Phase pulses

He

ate

r v

olt

ag

e

Mic

ro-o

ven

f1MN

Amp

÷M

f1M

Page 157: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

141

digitally. For example, a frequency announced device could be used in a real-time clock

application like a digital alarm clock. In this case the device would inform the timekeeping

system of its exact frequency, f0, and the timekeeping system would increment a second hand

every time the device output f0 pulses.

6.5. Acknowledgements

Scott Lord deserves recognition for his heroic efforts in the FEM analyses used

throughout this chapter. In addition, Renata Melamud, Saurabh Chandorkar, Hyung-Kyu Lee,

and Violet Qu fabricated the MEMS devices described here. Chandra Mohan Jha, Gaurav

Bahl, and Matt Hopcroft also contributed valuable guidance throughout the design and

implementation phases.

Page 158: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

142

7. Allan Variance

Phase noise and Allan variance—the topics of the next two chapters—describe the

same phenomena but on different time scales. Allan variance, described in this chapter, tracks

the slow or low frequency deviation of an oscillator, while phase noise analysis, described in

Chapter 8, is convenient for understanding fast or high frequency changes in an oscillator’s

output frequency. This chapter begins with a brief tutorial on Allan variance and concludes

with measurement data from an assortment of oscillators.

7.1. Allan Variance Tutorial

Allan variance and Allan deviation are analogous to the concepts of variance and

standard deviation that are used in statistics—all of these functions describe the variation

among data. However, compared with standard deviation and variance, the Allan statistical

tools are more appropriate metrics for oscillator frequency measurements whose mean tends to

vary with time [61, 117, 118]. For example, consider the two datasets shown in Figure 104.

The top dataset is described quite well by its mean and standard deviation. As more

measurements are added to the set, one’s estimate of the mean and standard deviation becomes

more and more accurate. However, notice that the bottom dataset tends to drift with time. As

more measurements are added to this set, the standard deviation tends to grow, and the mean

tends to drift. Allan variance, or two-sample variance, was developed to address datasets like

this one. Instead of measuring the average deviation of each data point from a fixed mean

value, Allan deviation describes the average deviation of each data point from the previous

value. Just like the relationship between variance and standard deviation, Allan deviation is

simply the square root of Allan variance.

Page 159: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

143

Figure 104: Examples of measurement data.

While variance and standard deviation are sufficient metrics for the top dataset, Allan variance

and Allan deviaiton are more appropriate for the bottom dataset.

The formula for Allan variance is

¬º» 12% 1 ¼½±t- ½±,-±T- (7.1)

where m is the number of points in the series of data points, yn. τ represents the averaging time

used for each sample. For example, frequency is often measured using a counter: for a set

amount of time (τ), signal periods are counted, and the frequency is determined by dividing

the total count by the reference time period (τ). This action produces one frequency data point

and is repeated every τ seconds.

For a continuous set of frequency measurements, one can plot Allan deviation vs. τ.

The first point on this plot is straightforward to generate: one simply applies the value of τ

used by the counter and the Allan deviation calculation shown above. For larger values of τ,

one averages together bins of M measurements, as shown in Figure 105. These averaged

values are used to generate a new series, z, such that

0 500 1000 1500 2000 2500 3000 3500 4000 4500 5000-1

-0.5

0

0.5

1

Time [sec]

Fre

quency D

evia

tion (

∆f

/ f 0

) [p

pm

]

0 500 1000 1500 2000 2500 3000 3500 4000 4500 5000-1

-0.5

0

0.5

1

Time [sec]

Fre

quency D

evia

tion (

∆f

/ f 0

) [p

pm

]

Page 160: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

144

¾¿ 1À ¼ ½±¿

±T¿,-t- (7.2)

The Allan deviation of the terms in zk is then used along with a new averaging time value of

Mτ to produce additional points on the Allan deviation plot. This process can be repeated for

any M ≤ m/2 to generate a plot of Allan deviation vs. averaging time.

Figure 105: Using one dataset to generate a plot of Allan deviation vs. ττττ by binning

measurements together.

Verticle red lines indicate the bin divisions and horizontal red lines show average values (zk).

Examples of Allan deviation calculations are shown in Figure 106. Notice that for

data that vary rapidly with time (Figure 106a), the Allan deviation tends to decrease with

increasing τ. However, for data that vary slowly with time (Figure 106b), the Allan deviation

tends to increase with increasing τ. Many datasets lie between these two extremes (Figure

106c) and generate Allan deviation plots with a “U” shape.

0 1000 2000 3000 4000 5000-1

-0.5

0

0.5

1

Time [sec]

Fre

quency D

evia

tion (

∆f

/ f 0

) [p

pm

] τ = 1000s

0 1000 2000 3000 4000 5000-1

-0.5

0

0.5

1

Time [sec]

Fre

quency D

evia

tion (

∆f

/ f 0

) [p

pm

]

τ = 1s

0 1000 2000 3000 4000 5000-1

-0.5

0

0.5

1

Time [sec]

Fre

quency D

evia

tion (

∆f

/ f 0

) [p

pm

]

τ = 300s

Page 161: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

145

Figure 106: Three different examples of time domain data and the associated Allan

deviation measurements.

Allan deviation calculations assume that there is no dead time between measurements

(i.e., the counter averages for τ seconds and takes a new measurement every τ seconds). For a

100

102

10-2

10-1

τ [sec]

σy(τ

) [p

pm

]

100

102

10-2

10-1

τ [sec]

σy(τ

) [p

pm

]

100

102

10-2

10-1

τ [sec]

σy(τ

) [p

pm

]

0 1000 2000 3000 4000 5000-1

-0.5

0

0.5

1

Time [sec]

Fre

quency D

evia

tion (

∆f

/ f 0

) [p

pm

]

0 1000 2000 3000 4000 5000-1

-0.5

0

0.5

1

Time [sec]

Fre

quency D

evia

tion (

∆f

/ f 0

) [p

pm

]

0 1000 2000 3000 4000 5000-1

-0.5

0

0.5

1

Time [sec]

Fre

quency D

evia

tion (

∆f

/ f 0

) [p

pm

]

Time Domain Data Allan Deviation Data

a)

b)

c)

d)

e)

f)

Page 162: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

146

small amount of dead time between measurements, one should use a τ value equal to the space

between measurements, not the averaging time. This may slightly overestimate the Allan

variation for the minimum τ, but the error from this approximation decays rapidly with

increasing values of Mτ. If there is large amount dead time between measurements, one can

attempt to calculate Allan deviations from the data by using bias functions, but this process is

difficult and is appropriate only for certain kinds of noise processes [119].

7.2. Allan Deviation Measurements

A PCB-based MEMS oscillator (as described in Section 3.3) using an epi-sealed

silicon DETF resonator as its reference (with no temperature compensation) was left running

on a lab bench for several days. Figure 107 plots its output frequency against time. Notice that

the output frequency varies by tens of ppm over several days. The vast majority of this

frequency deviation comes from temperature variations in the room. A clear signature of the

day-night cycles from the room’s thermostat can be seen repeating every 24 hours. The fourth

day of the experiment was a Saturday, and one can see from this data that the lab’s

programmable thermostat has a different setting on the weekend compared to the week.

Figure 107: Frequency deviation measurements of a silicon resonator based oscillator

running freely on a lab bench.

Compare this performance to that of a similar oscillator, this time using a composite

Si-SiO2 resonator as a reference. Figure 108 plots the frequency deviation of this oscillator vs.

time under the same circumstances. It is clear from Figure 108 that the passively temperature

compensated composite structure is effective in reducing the frequency variations by roughly

an order of magnitude. However, the day-night cycles of the room’s thermostat are still

present, and frequency still varies by several parts per million over the course of a few days.

0 25 50 75 100

-50

0

50

Time [hrs]

∆f

/ f 0

[p

pm

]

Page 163: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

147

(The oscillator used in this experiment is actually the same as that used to generate f1 in the ∆f

system, but with the temperature compensation loop disabled.)

Figure 108: Frequency deviation measurements of a composite resonator based oscillator

running freely on a lab bench.

Now contrast these two datasets with the frequency measurements in Figure 109 that

were taken from the ∆f system of Chapter 6. Again, the oscillator was left running freely on a

laboratory bench, and measurements were taken every second for several days. Notice that the

frequency deviation has decreased by about two orders of magnitude, and temperature-

dependent day-night cycles are completely gone. The residual ±50 ppb of deviation in the

output frequency could be due to any number of drift or aging phenomena in the MEMS

devices or electronic system.

Figure 109: Frequency deviation measurements of the ∆f system running freely on a lab

bench.

Figure 110 plots the Allan deviation of these prototypes in addition to a few other

oscillators. Notice that the Allan deviation of the two composite resonators varies according to

their TCfs: f1 has a small TCf and f2 has a larger TCf, but both composite TCfs are smaller

0 25 50 75 100

-5

0

5

Time [hrs]

∆f

/ f 0

[ppm

]

0 25 50 75 100

-0.05

0

0.05

Time [hrs]

∆f

/ f 0

[ppm

]

Page 164: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

148

than that of the pure silicon part. The Allan deviation of the ∆f system is comparable to or

better than that of the quartz TCXO over the entire range of τ values.

Figure 110: Allan deviation measurements of several different MEMS oscillators and a

Maxim DS3231 quartz TCXO.

The red and green squares represent measurements from f1 and f2 in the ∆f system with the

temperature compensation system disabled. All oscillators were running freely on a lab bench

for this experiment.

7.3. Acknowledgements

Matt Hopcroft deserves special thanks for his assistance and MATLAB code.

100

101

102

103

104

105

10-4

10-3

10-2

10-1

100

101

102

τ [sec]

Alla

n D

evia

tion σ

y(τ

) [p

pm

]

Si

100

101

102

103

104

105

10-4

10-3

10-2

10-1

100

101

102

τ [sec]

Alla

n D

evia

tion σ

y(τ

) [p

pm

]

Si

Si-SiO2 f

2

Si-SiO2 f

1

100

101

102

103

104

105

10-4

10-3

10-2

10-1

100

101

102

τ [sec]

Alla

n D

evia

tion σ

y(τ

) [p

pm

]

Si

Si-SiO2 f

2

Si-SiO2 f

1

TCXO

100

101

102

103

104

105

10-4

10-3

10-2

10-1

100

101

102

τ [sec]

Alla

n D

evia

tion σ

y(τ

) [p

pm

]

Si

Si-SiO2 f

2

Si-SiO2 f

1

TCXO

∆f

Page 165: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

149

8. Phase Noise

Phase noise is a fundamental and important quantity for any oscillator system. This

chapter describes the basics of phase noise, gives some application examples, and then walks

through some simple phase noise models. Finally, measurement techniques and results for a

MEMS oscillator are presented in the last section.

8.1. The Basics

By definition, the steady state output of an oscillator is a periodic waveform. This

waveform can always be modeled as a sum of sinusoids (a Fourier decomposition), and in

many cases the waveform is very well approximated as a single sine wave. For simplicity, this

chapter will focus on oscillators for which the single sine wave approximation is valid, but

many of the concepts like phase modulation and jitter can be applied broadly to any periodic

waveforms such as square waves or pulse trains.

Consider an ideal oscillator whose output takes the form

½. . cos+. (8.1)

Noise or perturbations in the output of this oscillator can be divided into two categories:

amplitude noise and phase noise. Amplitude noise causes random variations in the peak-to-

peak value of the wave, while phase noise causes random variations in the timing of the zero

crossings. The output of an oscillator with amplitude noise takes the form

½ . Á cos+. (8.2)

while phase noise can be represented as

½u . cos+. (8.3)

Page 166: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

150

Figure 111: Ideal oscillator output with a) amplitude noise and b) phase noise.

These two forms of perturbations are illustrated in Figure 111.

To analyze these two cases in depth, one can decompose the amplitude or phase noise

into sums of Fourier components. For simplicity, begin by modeling the noise terms as single

sinusoids:

Á cos+ (8.4)

Φ sin+ (8.5)

(Notice that the choice between the cosine and sine functions in equations 8, (8.4), and (8.5) is

somewhat arbitrary. This derivation is not dependent on the phase of the signal or noise terms,

so cosine and sine will work interchangeably. However, the choice of functions made here

allows the derivation and subsequent analysis to proceed in the fewest number of

trigonometric steps.)

Using trigonometric identities for the sum and product of sinusoids, one can combine

equations (8.2) and (8.4) and expand to get:

½ . cos+. 2 cos­+. + ¯ 2 cos­+. + ¯ (8.6)

a)

b)

Phase Noise

Time

Sig

nal

Time

Amplitude Noise

Sig

nal

Page 167: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

151

This expansion is illustrated in Figure 112.

Figure 112: Frequency domain representation of amplitude modulation.

Similarly, equations (8.3) and (8.5) can be combined and expanded to give

½u . cos+. cosà lÄ+ .sin+. sinà lÄ+ (8.7)

Assuming that Ã<< 1 (generally a safe assumption to make when noise is

concerned), equation (8.7) can be simplified to yield:

½u . cos+. Ã.2 cos­+. + ¯ Ã.2 cos­+. + ¯ (8.8)

This process is illustrated in Figure 113.

Figure 113: Frequency domain representation of phase modulation.

While this derivation began with the assumption that the amplitude noise and phase noise

terms were single sinusoids, it can easily be expanded to include noise with arbitrary

frequency components, as illustrated in Figure 114.

freqωNfreqω0

A0

AN

freqω0

AN/2

A0

AN/2

ω0-ωN ω0+ωN

ΦN << 1

freqωNfreqω0

A0

ΦN

freqω0

A0

ω0-ωN ω0+ωN

ΦNA0

2ΦNA0

2

Page 168: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

152

Figure 114: Amplitude and phase noise expansion with arbitrary frequency content.

Furthermore, in many cases a carrier will exhibit a small amount of amplitude noise and phase

noise. If both noise terms are small compared to the main oscillator signal, one can

approximate the combined amplitude and phase modulation as two separate processes. This

involves neglecting the higher order terms that come from inter-modulation of the amplitude

noise with the phase noise. Under this condition, one can approximate the output spectrum by

summing the modulation terms in equations (8.6) and (8.8) to yield:

½ . cos+. ! Ã.2 " cos­+. + ¯ ! Ã.2 " cos­+. + ¯

(8.9)

Notice that equations (8.6) and (8.8) are nearly identical with the exception of a minus

sign. This minus sign is important in that it allows one to think of amplitude noise and phase

noise as orthogonal processes. Any small tone or noise component that might appear offset

from the carrier in an oscillator’s output spectrum can be decomposed into a combination of

amplitude noise and phase noise. For example, consider a small tone with amplitude A1 offset

from the main oscillator signal by frequency ω1:

½- . cos+. -cos +.+- , - Å . (8.10)

<< 1

freqω0

A0

freqω0

A0

freqfreqω0

A0

freqω0

A0

freq

Page 169: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

153

One can approximately reconstruct this signal by combining amplitude noise of the form Á - cos+- with phase noise of the form yp sin+- . If these terms are inserted

into equations (8.4), (8.5), and (8.9), the modulation terms at +. + cancel and the terms

at +. + add to yield the expression in equation (8.10). Thus, since any small noise term

offset from the carrier can be represented uniquely by the combination of amplitude noise and

phase noise, one can think of these two noise processes as being orthogonal. Interestingly,

when random noise is added to an oscillatory system, it divides equally between amplitude

noise and phase noise according to the equipartition theorem of thermodynamics [120]. While

the equipartition theorem might seem non-obvious, it is hoped that the example of equation

(8.10) helps to illustrate how random noise divides equally between the amplitude and phase

domains.

8.1.1 Amplitude Noise vs. Phase Noise

The amplitude and phase noise processes illustrated in Figure 114 and in equations

(8.6) and (8.8) are nearly identical. It would seem from this analysis that amplitude noise and

phase noise are equally important. Why, then, do researchers and designers focus so heavily

on phase noise performance and rarely mention amplitude noise? In short: amplitude noise is

easy to remove, and phase noise is not.

Consider, for example, what happens to a sine wave with amplitude noise when it is

passed through an ideal comparator. Amplitude noise does not affect the zero crossings of the

sinusoid, and the ideal comparator operates solely on these zero crossings. Thus, a simple

comparator can completely remove all of the amplitude noise present in the original signal.

Similarly, automatic level control systems that actively control an oscillator’s loop gain so as

to maintain constant output amplitude are effective at removing amplitude noise. In fact, all

real oscillator systems require some form of level control to prevent their oscillations from

growing without bound, and this limiting mechanism also helps to remove amplitude noise

from the oscillator’s output spectrum. In contrast, phase noise cannot be removed from a

signal by such simple means.

Page 170: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

154

Figure 115: Removal of amplitude noise using a comparator.

One way of understanding this dichotomy is to recognize that removing noise from a

system generally requires a lower-noise reference. In the case of amplitude noise removal, one

can rely on a comparator whose output levels are determined by some stable amplitude

reference like the power rails. If the comparator’s output levels were defined by a noisy

source, this noise would contribute directly to amplitude noise at the output of the comparator.

Similarly, a level control system needs a stable voltage to which the oscillation amplitude can

be compared. The constant voltages required in these cases are easily achieved in any modern

electronic system.

In the case of phase noise, the only way to remove random fluctuations in phase is to

use a low noise phase or timing reference—something that has likely already been employed

in the construction of the oscillator itself! For example, a crystal oscillator relies on the timing

accuracy of the mechanical vibrations in the crystal to generate an oscillation signal.

Removing phase noise from this output would require a timing reference with even lower

noise than the crystal. If a device like this were available, one could simply use this lower

noise reference in the original oscillator instead of the crystal. In fact, this is exactly what is

done in high frequency phase lock loops. These circuits employ a low frequency crystal

oscillator with low phase noise as a reference. The output of a high-frequency high phase

noise voltage controlled oscillator (VCO) can then be compared to the crystal reference in a

feedback system. The feedback effectively removes the low frequency components of phase

noise from the VCO’s output.

Because amplitude noise can be easily removed by readily available systems,

amplitude noise will not be discussed here any further. Phase noise, the more fundamental

performance-limiting quantity for an oscillator, remains the focus of this chapter.

Time

Amplitude Noise

Sig

nal

Time

Sig

nal

Comparator

Page 171: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

155

8.1.2 Phase Noise Plots

Phase noise measurements are usually plotted on a semilog scale, as illustrated in

Figure 116. However, oscillator spectra are most often visualized on a spectrum analyzer, as

shown in Figure 117. While these two plots are related, deriving one from the other is not

always a straightforward exercise.

Figure 116: Typical phase noise plot.

Figure 117: Typical spectrum of the output of an oscillator.

First of all, the spectrum in Figure 117, if taken directly from the output of an

oscillator, likely contains both amplitude noise and phase noise; however, the measurement in

Figure 116 by definition plots only phase noise. Thus, to derive phase noise data from the

spectrum in Figure 117, one needs to be sure to remove all amplitude noise from the oscillator

output (methods for doing this will be described below). Second, Figure 116 illustrates a

single sideband (SSB) phase noise measurement. To generate SSB data from Figure 117, one

can simply delete one side of the spectrum as shown in Figure 118a). As an alternative, to

generate double sideband (DSB) data from Figure 117, one must mirror one side of the

spectrum on top of the other and take the sum, as shown in Figure 118b). It is interesting to

note that this summation depends on the correlation between the noise in the two sidebands. If

Log(Offset freq)

SSB

Phase

Noise

[dBc/Hz]

1/f2

1/f3

freq

Power

[dBm/Hz]

Page 172: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

156

the noise in the left sideband is uncorrelated with the noise in the right sideband, one simply

adds the powers together. However, if the noise is correlated, one must be careful to either add

or subtract the amplitudes appropriately, depending on the correlation.

Figure 118: Single Side Band vs. Double Side Band Phase Noise.

Finally, a few scaling steps: a) the x-axis is changed from absolute frequency to offset

frequency from the carrier, b) the x-axis is plotted on a logarithmic scale, and c) the y-axis is

plotted in dBc/Hz instead of dBm/Hz (dBc stands for decibels below the carrier). This final

transformation involves subtracting the carrier power from the noise power measurement to

yield a plot of the relative signal-to-noise ratio.

8.2. Application Examples

Why does one care about phase noise? To answer this question, a few examples of

oscillator applications in which phase noise plays a pivotal role are discussed briefly below.

For completeness, a few examples where phase noise is unimportant are also included.

8.2.1 Radio Receiver

Most modern radios require a local oscillator to demodulate the incoming signal. This

process is summarized in Figure 119. With a single signal in the receive path, the

demodulation works well even if the local oscillator exhibits a lot of phase noise. However,

problems arise if there exists an interfering signal in the radio spectrum. This process is shown

in Figure 120. Notice that the phase noise skirt of the local oscillator spreads the interfering

signal out in the demodulated spectrum, and this skirt can completely mask the desired

demodulated signal. As a result, the phase noise of the local oscillator sets a limit on the

receiver’s ability to detect and demodulate weak signals in the presence of strong interference.

This situation occurs quite commonly in practice. For example, one might try to listen to a

radio station broadcasting from San Francisco while driving through San Jose. In this case,

DSB = Double Side BandSSB = Single Side Band

Page 173: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

157

any radio stations broadcasting in San Jose would create very strong interferer signals in the

radio spectrum that could prevent the reception of the relatively weak signal from San

Francisco.

Figure 119: A radio receiver uses a local oscillator to demodulate incoming signals.

Figure 120: Phase noise in the local oscillator sets a limit for the detection of weak signals

in the presence of strong interference.

8.2.2 Resonant Sensor

Many sensors rely on changes in the resonant frequency of a device as a means of

detecting some physical phenomena. For example, one can functionalize the surface of a

MEMS resonator so that a particular chemical or biological agent binds to it. In binding, the

agent in question adds additional mass to the resonator, and this can be detected by measuring

freq

Antenna

Filter Mixer

Oscillator

freq

SignalDemodulated

Signal

freq

Amp

Interferer

freq

Antenna

Filter Mixer

Oscillator

freq

SignalDemodulated

Interferer

freq

Demodulated

Signal

Page 174: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

158

the MEMS device’s resonant frequency. Methods can be employed to make devices sensitive

to acceleration, temperature, voltage, charge, fatigue, strain, etc. Furthermore, frequency is a

quantity that can be measured with extremely high precision, and is therefore very desirable to

work with. For these reasons, resonant sensors are versatile and common in the MEMS

community.

In most resonant sensor applications, an oscillator is used to track the resonant

frequency of the sensor element. Consider an example accelerometer system that consists of a

resonator in feedback with an amplifier and generates steady-state oscillations at the resonant

frequency of the resonator, as shown in Figure 121.

Figure 121: An oscillator used in a resonant sensor application.

If this resonator has been designed so that its frequency is a function of acceleration,

+ +0 \Ágg²ÆÁ (8.11)

where ω0 is the original resonant frequency, a is the applied acceleration, and \I°°&J describes

the sensitivity of the frequency to acceleration, one can use this system as an accelerometer.

Assume that the acceleration is sinusoidal

Á Á. cos+I (8.12)

The output waveform from this system can be written as

½I°°&J . cos !+. \I°°&JÁ.+I sin+I " (8.13)

Notice that frequency is the time derivative of the argument of the cosine function, and that in

this case the derivative matches the frequency in equation (8.11). Thus, acceleration in this

freq

ResonatorOscillator

Amp

Acceleration

Signal

Page 175: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

159

system generates phase modulation, and this creates sidebands just like those in Figure 113—

in this case, the sidebands are displayed in green in Figure 121. As one can see from this

figure, the phase noise of the MEMS oscillator defines the minimum detectable signal one can

measure with this accelerometer—signals below this level are lost amid the noise.

The spectrum in Figure 121 is relevant for acceleration signals that are high enough in

frequency such that the sidebands can be measured on a spectrum analyzer (i.e., more than 1

Hz or so). However, many resonant sensor systems measure signals with much lower

bandwidth. For example, a resonant chemical sensor may be expected to detect the presence of

a chemical over the course of minutes or hours. In this case, a more convenient way to

measure the shift in the resonant sensor’s output frequency is to use a counter or similar

frequency measurement tool rather than trying to track phase modulation. Averaging can be

done over several seconds or minutes in such a system, and this averaging effectively filters

out all of the phase noise that is offset from the carrier. Thus, one no longer cares about the

phase noise “skirt” around the carrier—only the exact location of the carrier in the frequency

domain is important. In such a system, the noise floor is evaluated in terms of Allan deviation

rather than phase noise.

8.2.3 Real Time Clock

Another common oscillator application is the implementation of a real time clock, i.e.

something that keeps track of real time, like a wristwatch. In most real time clock applications,

one cares more about the accuracy of the clock over some long period of time. For example,

one might want a wristwatch to be accurate to within 10 seconds after 1 year of operation. If

the clock is used to update a second hand once per second, then the phase noise that is offset

from the carrier by more than 1Hz is filtered out just like with the chemical sensor example

above. Real time clocks are another example of oscillator applications where Allan deviation

is a much more relevant than phase noise.

8.3. Linear Phase Noise Model

Leeson described the first linear model of the phase noise spectrum of an oscillator

[121]. This model can and has been derived in a number of ways [120, 122]. This section will

Page 176: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

160

explain an intuitive derivation that is somewhat different from other more common

approaches.

Consider a standard oscillator system consisting of a resonator in feedback with an

amplifier. The resonator admittance has a classic 2nd order response that can be described by a

center frequency f0, a quality factor Q, and a peak height 1/Rx, as shown in Figure 122. To

sustain steady state oscillations, the amplifier requires a forward transimpedance gain of Rx.

There are noise sources in the amplifier and the resonator—these can be lumped together and

treated as a single noise current source that has been referred to the amplifier’s input. The

current density of this noise source may be an arbitrary function of frequency, but for the

purposes of this linear phase noise analysis one cares only about the noise at and around the

frequency of oscillation. For this reason, one can model this noise source as having a constant

power density iN2. Define the signal current which flows through the resonator as idrive. Finally,

near the resonant frequency of the resonator, one can model its impedance as

ÇS nS !1 2*∆[0[. " (8.14)

where ∆f is the offset from the resonant frequency.

Figure 122: The model of a simple oscillator used to derive an expression for phase noise.

To compute the voltage that appears at the output of the amplifier in this system,

consider the noise flowing in steps. First, iN2is amplified by the amplifier and appears directly

at the output as Rx2iN

2. This voltage feeds back through the resonator to produce a current

Amp

freqf0

Q=f0/BW3dB

Resonator Admittance

Standard 2nd order RLC response

1/Rx

Gain = Rx

Resonator

iN

freqf0

iN

Input

Referred

Noisefreqf0

Output Spectrum

2

2

Page 177: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

161

equal to Rx2iN

2/Zx2. This current is also amplified by the amplifier and appears at the output as

Rx4iN

2/Zx2. This process repeats an infinite number of times, leaving us with an infinite series of

noise voltage terms at the output, as illustrated in Figure 123. A graphical explanation of this

calculation that complements Figure 123 is shown in Figure 124.

Figure 123: Illustration of the infinite series of voltage noise terms that appear at the

output of a simple oscillator.

nS nSÇS nSÇS … (8.15)

nS ¼ 1M1 !0 2Δ[[. "N¿

Ê¿T.

(8.16)

This is a standard infinite sum, and it converges to

nS M1 ! [.20∆["N (8.17)

As mentioned earlier, half of this voltage noise appears as phase noise and the other

half appears as amplitude noise. Therefore, when one refers this noise term to the signal term

idrive in order to obtain the ratio of phase noise power to signal power, the result is

Ë∆[ ÌÌÍz 12 ªP& M1 ! [.20∆["N (8.18)

Amp

Gain = Rx

Resonator

iN

… + + +

2

Page 178: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

162

This matches the form of the expression for phase noise given by Leeson in [121]. Notice that

to achieve the units of dBc/Hz from Equation (8.18), one should calculate 10log10(Ë∆[).

Figure 124: Graphical explanation of the Leeson phase noise model.

Figure 124: Graphical explanation of the Leeson phase noise model.

8.4. Nonlinear Phase Noise in MEMS

Oscillators

The previous section described phase noise phenomena using the assumption that the

oscillator system is linear and time invariant and therefore the phase noise depended only on

the noise density at and around the oscillation frequency. However, in practice this assumption

is not valid, especially in MEMS oscillators. There are many nonlinear effects that can cause

noise at frequencies far from the carrier to mix into the near-carrier phase noise spectrum. This

is particularly troublesome when one considers the potential to mix low frequency 1/f noise up

to frequencies near the carrier, since 1/f noise density is typically much higher than the

thermal noise density at the carrier frequency. Kaajakari et al. investigate three nonlinear up-

conversion mechanisms in [32]. A slightly different approach will be used here to investigate

one source of nonlinear noise mixing.

Section 2.4.3 described how the spring softening effect allows a resonator’s bias

voltage to modify its resonant frequency. Notice that the effective bias voltage of a resonator

is the voltage that appears between the resonant element and the transduction electrode. If

there is low frequency noise (e.g. 1/f noise) present on the drive electrode, this noise will

modify the effective bias voltage, thereby modulating the resonant frequency and generating

phase noise. Calculation of noise from this effect is straightforward using the spring softening

coefficient ΓBIAS from Section 2.4.3 and the phase modulation derivation in Sections 8.1

Log(Δf)f0/2Q Offset Freq (Log)

Phase Noise Voltage Noise

Page 179: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

163

through 8.3. Begin by assuming that low frequency RMS voltage noise density vN at frequency

fN applied to the drive electrode. Under this condition, frequency modulation causes the

oscillator output to take the form

½. . cosM24[. 12\ √2[ lÄ24[ N (8.19)

where the factor of ½ comes from the fact that the bias noise is present on only one

transduction electrode, and the factor of √2 comes from the fact that vN is an RMS voltage.

Notice that the frequency of this signal is the derivative of the phase argument,

[ [. √22 \cos 24[ (8.20)

Assuming that the frequency modulation is small, sidebands will appear at frequencies f0-fN

and f0+fN with amplitude

. \2√2 (8.21)

The single sideband phase noise from this frequency modulation is

Ë[ 20 logv12\[√2 x (8.22)

Notice that this direct calculation of phase noise comes purely from frequency modulation

caused by the noise modulation of the effective bias voltage and does not include any

amplitude modulation components. This is in contrast to the methods used in [32].

8.5. Phase Noise Measurement

Direct measurement of phase noise is straightforward for some oscillators that fall

within a certain range of frequency and noise performance. For example, Agilent’s 4352B or

E5052 signal analyzers can perform phase noise measurements directly on oscillators above

10 MHz, and many modern spectrum analyzers and oscilloscopes can provide phase noise

information for devices with noise performance worse than -90 dBc or so. Unfortunately, the

Page 180: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

164

oscillators in this work fall at an inconvenient level of frequency and phase noise performance,

and the equipment needed to directly measure their phase noise (such as an ODIN 320 Phase

Noise Analyzer) was not readily available. As a result, several different indirect phase noise

measurement techniques were attempted.

8.5.1 Open Loop Output Noise

The first step to understanding the phase noise behavior of an oscillator is to measure

its open loop performance. While Equation (8.18) operated on input-referred values for the

signal and noise current, an equivalent relation holds for output-referred voltage noise and

drive voltage. These values are easier to measure in practice. If desired, input referred values

can be calculated from measured output values using the measured gain and phase of the PCB-

based oscillator plotted in Figure 51. Figure 125 plots the measured output noise voltage of the

open-loop 3-stage oscillator circuit as a function of VGAIN, and Figure 126 compares the

measured output noise to simulation. At 1.2 MHz, the measured output voltage noise ranges

from 0.6 to 3.3 µVRMS/√Hz depending on the value of VGAIN. One can therefore predict the

oscillator’s phase noise performance given a measured value of drive voltage and VGAIN. For

example, when the resonator for a drive amplitude of 0.1 VRMS and a VGAIN setting of -2 V, one

expects from Equation (8.18) that the oscillator’s far from carrier phase noise should be -98

dBc/Hz. Notice that one cannot arbitrarily choose VGAIN—this parameter is determined in

closed-loop oscillation by the motional impedance presented by the resonator. Higher gain

values correspond to larger values of output noise as well as larger motional impedance

values. Hence, increasing the resonator bias voltage to achieve lower motional impedance is

expected to improve phase noise performance because this lowers the output voltage noise.

Also, increasing the signal amplitude should also improve phase noise performance.

Page 181: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

165

Figure 125: Measured output noise of 3-stage PCB-based oscillator vs. VGA gain

voltage.

Figure 126: Measured output noise spectrum (dots) and simulated noise spectrum

(dashed line) for VGAIN = -2 V.

The measured noise density at 1.2 MHz is 1.7 µVRMS/√Hz.

103

104

105

106

107

10-7

10-6

10-5

10-4

Frequency [Hz]

Ou

tpu

t N

ois

e [V

RM

S/ √

Hz]

V

GAIN= -4V

VGAIN

= -3V

VGAIN

= -2V

VGAIN

= -1V

VGAIN

= 0V

103

104

105

106

107

108

10-7

10-6

10-5

10-4

Outp

ut

Voltage N

ois

e D

ensity [

VR

MS/√

Hz]

Frequency [Hz]

Page 182: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

166

8.5.2 Measurement with a Spectrum Analyzer

As mentioned in Section 8.1.2, a spectrum analyzer can produce a plot of amplitude

noise plus phase noise quite easily. A quick estimate of an upper limit for an oscillator’s phase

noise should therefore be available directly from a measurement of the oscillator’s output

spectrum. Unfortunately, the spectrum analyzer’s dynamic range often limits the effectiveness

of this approach. Consider the scenario depicted in Figure 127. If the noise floor of the

oscillator is below the dynamic range of the spectrum analyzer, then the oscillator’s

performance will be masked by the analyzer’s noise floor. However, the analyzer may be able

to provide some useful information about an oscillator whose noise exceeds that of the

spectrum analyzer.

Figure 127: Direct estimation of an oscillator’s phase noise using a spectrum analyzer is

limited by the analyzer’s dynamic range.

To test the dynamic range capabilities of best analyzer available for this measurement,

the Agilent 4395A, a high performance signal generator (Agilent 8662A) was used to input a

test tone of similar amplitude and frequency to the MEMS oscillators under test. The 8662A

boasts a phase noise floor of better than -116 dBc/Hz at 100 Hz offset and better than -126

dBc/Hz at 1 kHz offset according to its datasheet [123]. This phase noise performance is well

beyond the dynamic range of the 4395A spectrum analyzer; therefore, measurement of the

8662A on the spectrum analyzer can serve as a noise floor indicator for measurements of the

MEMS oscillators.

Using this approach, the output spectra of a MEMS oscillator under a variety of bias

and drive amplitude conditions are shown in Figure 128. The oscillator used for these

experiments consisted of the PCB design described in Section 3.3 plus an epi-sealed silicon

DETF resonator with beam dimensions 6.75 × 200 × 20 µm3. The device’s resonant frequency

Test

Oscillator

Spectrum

Analyzer

freq

Frequency

Po

we

r Spectrum Analyzer

Dynamic Range

Page 183: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

167

was 1.34 MHz, and its Q was 10k at room temperature. Notice that the spectrum analyzer is

able to provide a measurement of the total far-from-carrier amplitude plus phase noise of the

MEMS oscillator when small drive and bias voltages are used, but that the measurement

approaches the noise floor at offset frequencies near the carrier or with larger drive and bias

voltages. At a bias voltage of 20V, the resonator’s motional impedance was around 1 MΩ.

This corresponds to the measured value of VGAIN = -1.4 V. From the data in Figure 125, the

expected output noise is 2.3 µVRMS/√Hz. Therefore, for a drive amplitude of 110 mV, one

expects the phase noise to be around -96 dBc/Hz. From Figure 128a, the measured phase noise

plus amplitude noise far from the carrier is around -93 dBc/Hz.

Page 184: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

168

Figure 128: Noise measurements of the MEMS oscillator using a spectrum analyzer.

Measurements of the 8662A signal generator are included to show the spectrum analyzer’s

dynamic range and noise floor. (a) and (b) are far-from-carrier measurements with a 10 Hz

resolution bandwidth (i.e. subtract 10 from the dBc value to calculate the noise power density

in dBc/rtHz). (c) and (d) are close-to-carrier measurements with a 1 Hz resolution bandwidth.

(a) and (c) used a 110 mVRMS drive voltage, and (b) and (d) used a 320 mVRMS drive voltage.

8.5.3 Quadrature Locked Phase Noise

Measurement

While tolerable for some measurements, the spectrum analyzer measurement

technique is not suitable for phase noise measurements of the MEMS oscillator under most

operating conditions. First of all, the MEMS oscillator noise performance is often better than

the dynamic range of the spectrum analyzer. Second, the spectrum analyzer measurement

-5000 -2500 0 2500 5000-100

-95

-90

-85

-80

-75

-70

-65

(a)

f-f0 [Hz]

dB

cFar from Carrier, RBW=10Hz, V

drive=0.11V

RMS

-5000 -2500 0 2500 5000-100

-95

-90

-85

-80

-75

-70

-65

(b)

f-f0 [Hz]

dB

c

Far from Carrier, RBW=10Hz, Vdrive

=0.32VRMS

-200 -100 0 100 200-110

-100

-90

-80

-70

-60

-50

(c)

f-f0 [Hz]

dB

c

Close to Carrier, RBW=1Hz, Vdrive

=0.11VRMS

-200 -100 0 100 200-110

-100

-90

-80

-70

-60

-50

(d)

f-f0 [Hz]

dB

c

Close to Carrier, RBW=1Hz, Vdrive

=0.32VRMS

VBIAS

=20V

VBIAS

=25V

VBIAS

=30V

8662A

VBIAS

=20V

VBIAS

=25V

VBIAS

=30V

8662A

VBIAS

=20V

VBIAS

=25V

VBIAS

=30V

8662A

VBIAS

=20V

VBIAS

=25V

VBIAS

=30V

8662A

Page 185: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

169

gives the total amplitude plus phase noise, but is not able to differentiate between the two

types of noise. To address these issues, a quadrature locked phase noise measurement system

was constructed. A quadrature locked phase noise measurement system bypasses the dynamic

range limitations of the spectrum analyzer by removing the carrier signal from the output

spectrum. Furthermore, quadrature lock removes amplitude noise from the output spectrum as

well, allowing the spectrum analyzer to measure purely phase noise.

Consider the effect of mixing a sinusoid that contains amplitude or phase modulation

with a noiseless sinusoid at the same frequency that is 90° out of phase with the noisy

sinusoid. This process is shown in Figure 129. Notice that in both cases (amplitude noise and

phase noise) a component at twice the frequency is generated. However, in the case of phase

noise, the noise “skirt” also gets mixed down to DC. For amplitude noise, no noise terms get

mixed down to DC. As a result, one can separate phase noise from amplitude noise by mixing

the phase noise down to DC using a low noise quadrature locked sinusoid. Such a signal is

easy to generate in the lab using a high performance signal generator. A phase locked loop

(PLL) is required to ensure that the frequency of the signal generator is equal to that of the

oscillator under test and that the two remain in quadrature (90° out of phase).

Figure 129: Illustration of using quadrature mixing to separate amplitude noise and

phase noise in the frequency domain.

Phase noise gets mixed down to DC, but amplitude noise does not.

freq

Amplitude NoisePhase Noise

freqfreq

<< π

RFLO

IF

Page 186: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

170

The setup shown in Figure 130 was used to implement a quadrature locked phase

noise measurement system. The 8662A signal generator serves as a reference oscillator to be

quadrature locked to the MEMS oscillator. The measured phase noise that appears at the

output of this measurement system consists of the phase difference between the signal

generator and the MEMS oscillator. Therefore, it is important to ensure that the signal

generator’s phase noise is negligible. A low noise frequency divider placed after the signal

generator lowers the reference phase noise even further, ensuring that it is far below the phase

noise of the MEMS oscillator under all measurement conditions. The two oscillator signals are

mixed together using a double balanced mixer, and the output is filtered and amplified before

being measured by a spectrum analyzer. The filter removes the high frequency harmonics

from the mixer’s output, leaving only the low frequency phase noise signal behind. The mixer

serves two purposes: First, it mixes the phase noise down to DC so that it can be measured by

the spectrum analyzer. Second, it serves as a quadrature phase detector whose DC output goes

to zero when the two oscillators are in quadrature lock. The PLL is completed by feeding the

mixer output through an integral controller and back to the signal generator’s FM (external

frequency modulation) port.

Page 187: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

171

Figure 130: Quadrature locked phase noise measurement system.

Notice that the mixer output contains high frequency modulation products that are removed by

the filter. Furthermore, some close-to-carrier phase noise is removed by the PLL at offset

frequencies up to the PLL bandwidth.

Notice that it is necessary but not sufficient to hand tune the signal generator so that

its output matches that of the MEMS oscillator, because any variations in the system that

cause one oscillator to drift away from quadrature lock (temperature, power supply, noise,

etc.) would spoil the phase noise measurement. Therefore, the PLL must have sufficient

bandwidth in order to automatically reject variations like temperature effects. On the other

hand, the phase lock loop is striving to drive the phase between the two oscillators to be

exactly 90°. If the PLL’s bandwidth is too great, no phase noise will be measured at the output

of the system (i.e. the signal generator will be modulated by the PLL so that it mimics the

noise of the MEMS oscillator, and no difference will be measured between them). For this

reason, the PLL bandwidth must be kept below the bandwidth over which the phase noise

measurement is to be taken. For this experiment, a PLL bandwidth around 1.5 Hz was found

to be sufficient for rejecting ambient temperature effects, and phase noise data was collected

for offsets starting at 6 Hz.

HP8662A

Signal

Generator

Agilent 70429A-K89

Frequency Divider

÷128

171 MHz

Minicircuits

ZLW-1 Mixer

1.3 MHz

LORF IF

MEMS

Oscillator

1.3 MHzLow Pass

Filter

Gain=10

fc = 16 kHz

4395A

Spectrum

Analyzer

Integrator

Controller

FM

port

freq freq freq

Page 188: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

172

Figure 131: Schematic of quadrature locked phase noise measurement PLL.

The op amp on the left creates a gain-of-ten low-pass low-noise amplifier, and the op amp on

the right implements an integrator to complete the PLL. The gain from the integrator output at

node A to the divider output at node B was measured to be -32 Hz/V.

A schematic of the PLL is shown in Figure 131, and a model is shown in Figure 132.

Notice that the gain from the MEMS oscillator output at node C to the spectrum analyzer input

at node D depends on the signal amplitude of the MEMS oscillator. The gain was measured by

detuning (i.e. unlocking) the PLL by manually changing the 8662A’s output frequency—the

amplitude of the tone at the output equals the gain in V/rad [124]. With a MEMS oscillator

output of 110 mVRMS, the gain was 320 mV/rad. Figure 133 plots the PLL’s open and closed

loop performance. Measurements of the closed loop gain were conducted by injecting a test

signal into the integrator while the system was locked and measuring the amplitude of the

corresponding tone at the output (node D) with the spectrum analyzer. Because the PLL loop

dynamics depend on the amplitude of the MEMS oscillator output, the PLL components were

modified for each experiment in which the MEMS oscillator’s output amplitude was adjusted

in order to maintain constant PLL bandwidth of 1.5 Hz.

1 nF

10 kΩ1 kΩ500 kΩ

30 µF40 kΩ

50 Ω

100 nF

To Spectrum Analyzer

500 kΩInput for

Loop Gain

Measurement

10 kΩ

FM

Port

8662A

OutputLO

RF

IF ÷128

MEMS

Osc.

A

B

C

D

Page 189: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

173

Figure 132: Model of PLL.

The top loop was used for phase noise measurements, and the botom loop was used to

measure the PLL’s bandwidth. In the top loop, the closed loop transfer function from the

phase of the MEMS oscillator to the output is set by the forward gain at frequencies above the

loop bandwidth (1.5 Hz) and is driven to zero at frequencies below the loop bandwidth.

Signal Generator

& Divider

φMEMS Σ KPD

+

_

1+sτZ

sτP

KVCO

s

Amplifier

Integrator

Controller

Mixer

KAMP

1+sτAMP

φ8662A

Signal Generator

& Divider

Σ KPD

1+sτZ

sτP

KVCO

s

Amplifier

Integrator

ControllerMixer

KAMP

1+sτAMP

Vin Vout

Vout

Page 190: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

174

Figure 133: PLL loop gain.

The top plot shows the closed loop transfer function of the model from Figure 132 (bottom).

The middle and bottom plots show the modeled loop gain of the phase noise measurement

PLL.

Conversion from raw spectrum analyzer measurements in dBm/Hz to phase noise in

dBc/Hz proceeds as described in [124]. The relevant equations are reproduced here for

convenience. To compute the phase noise in radians per √Hz (φNOISE), one uses the gain

measured from the MEMS oscillator’s output (phase) to the spectrum analyzer input

(described above, VA) and the power in dBm (PdBm) in the following formula

10-2

10-1

100

101

102

103

104

-60

-40

-20

0

Frequency [Hz]

Clo

se

d L

oo

p G

ain

[d

B]

10-2

10-1

100

101

102

103

104

-60

-40

-20

0

20

40

Frequency [Hz]

Op

en

Lo

op

Ga

in [d

B]

10-2

10-1

100

101

102

103

104

-180

-135

-90

-45

0

Op

en

Lo

op

Ph

ase

[d

eg

]

Frequency [Hz]

Model

Measurement

Page 191: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

175

j √. 05 5 10..-5u¶Ï (8.23)

Then, the phase noise power spectrum in dBc/Hz (PdBc) can be computed from

Ì° 10 log-. Mj2 N (8.24)

A phase noise measurement of the same MEMS oscillator used in Section 8.5.2 is

shown in Figure 134. Notice that there are large tones at multiples of 60 Hz. These tones are

caused by feedthrough of the 60 Hz electrical power signal in the oscillator and noise

measurement system. To aid in visualizing the data, the 60 Hz tones are removed from the

phase noise data that is plotted in Figure 135. Notice that for small drive amplitudes the linear

Leeson phase noise model matches the phase noise data reasonably well both close to the

carrier and far from the carrier. However, for large drive amplitudes, the close to carrier phase

noise takes on an f -3 trend and deviates from the linear model. This behavior stems from

nonlinear effects described in Section 8.4.

Figure 134: Phase noise measurement of the MEMS oscillator with a bias voltage of 20 V

and drive voltage of 110 mVRMS.

Spurs at multiples of 60Hz dominate the spectrum. The hand calculation is from Equation

(8.18) and is based on the measured output noise from Figure 125.

101

102

103

-100

-90

-80

-70

-60

Offset Freq [Hz]

Phase N

ois

e [

dB

c/H

z]

Measurement

Hand Calculation

Page 192: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

176

Figure 135: Phase noise measurements of the MEMS oscillator with different drive

amplitudes and bias voltages.

Spurs at multiples of 60 Hz have been removed. Hand calculations are shown with dotted

lines.

101

102

103

-120

-110

-100

-90

-80

-70

-60

Offset Freq [Hz]

Phase N

ois

e [

dB

c/H

z]

Vdrive

=0.11VRMS

, VBIAS

=20V

Vdrive

=0.11VRMS

, VBIAS

=25V

Vdrive

=0.11VRMS

, VBIAS

=30V

101

102

103

-120

-110

-100

-90

-80

-70

-60

Offset Freq [Hz]

Phase N

ois

e [

dB

c/H

z]

Vdrive

=0.32VRMS

, VBIAS

=20V

Vdrive

=0.32VRMS

, VBIAS

=25V

Vdrive

=0.32VRMS

, VBIAS

=30V

Page 193: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

177

9. Conclusions and Future Outlook

This thesis aimed to provide a sufficient overview of the fundamental concepts of

MEMS resonators, oscillators, temperature compensation, phase noise, and Allan variance to

be useful to a novice in the field. In addition, two oscillator topologies were described. The

first was a CMOS transimpedance amplifier designed specifically for high-impedance MEMS

resonators. This circuit achieved gain in excess of 50 MΩ and a bandwidth beyond 1 MHz all

the while maintaining low noise, a phase response near zero degrees, and reasonable power

consumption. However, this integrated TIA was tested in an open-loop configuration without

a functional MEMS resonator. The second circuit described herein was a fully functional

MEMS oscillator implemented at the PCB level. Some of the design decisions and analysis of

this circuit, including a low power variable gain amplifier and automatic level control system,

were illustrated in detail.

Most significantly, two separate temperature compensation schemes were

implemented at the PCB level. The first system relied upon resonator quality factor to sense

the temperature of the MEMS reference device. An integrated MEMS micro-oven provided

real-time temperature feedback to compensate for ambient variations. However, this system’s

performance was severely limited by the sensitivity of components in the temperature

measurement feedback path. In particular, it was found that this analog feedback path was

unacceptably unstable versus time and temperature. The second temperature compensation

system relied upon the difference in temperature coefficients of frequency of two MEMS

resonators to measure resonator temperature. Like the first system, this scheme also relied

upon heating by way of an integrated micro-oven to maintain the MEMS devices at a constant

elevated temperature. However, unlike the quality factor based feedback, this system

demonstrated excellent stability versus temperature and during long-term operation in an

uncontrolled environment.

Page 194: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

178

This work represents one small step forward in the development of MEMS frequency

references for widespread commercial use. Much more research and development will be

required before MEMS oscillators supplant quartz solutions in the majority of the timing

reference market, but current trends and projections indicate that MEMS have a promising

future. It seems only a matter of time before the advantages of small size, high frequency

operation, low manufacturing cost, compatibility with CMOS and standard IC fabrication

processes, and improved long-term stability outweigh the piezoelectric and temperature-stable

properties of quartz. In particular, this work has demonstrated system-level solutions to the

temperature sensitivity problem. While previous solutions have transformed MEMS

oscillators into viable candidates for wired communication systems, the micro-ovenization and

frequency control schemes demonstrated here should help to advance MEMS into the wireless

communication space. Importantly, this work has demonstrated working prototypes in real-

world environments, achieving quartz-competitive temperature stability and Allan deviation

performance even under transient conditions.

Considerable room exists for future development of the micro-ovenization strategies

and MEMS oscillators presented here. For instance, the quality factor and phase noise

performance of the DETF resonators used in this work are dismal compared to state-of-the-art

bulk mode MEMS resonators and oscillators. For example, Lin et al. are able to meet the

phase noise specification for GSM (Global system for mobile communications, a common

cellular phone standard) using a bulk mode disk resonator with a Q of 145k at 60 MHz [125].

To become a viable solution for wireless communication and navigation systems, MEMS

researchers will need to combine temperature compensation schemes like those presented in

this work with high-Q bulk mode resonators in order to meet both Allan deviation and phase

noise specifications. Given the current rate of MEMS research, development, and

commercialization, the successful inclusion of low phase noise MEMS oscillators with

temperature compensation systems is likely, but it is not trivial. For one thing, the temperature

coefficient of bulk silicon devices is less affected by surface effects like shallow doping

profiles or SiO2 films. As a result, the achievable range of temperature coefficients of

frequency may be significantly smaller for bulk mode devices compared to flexural devices

like DETFs, and this limitation will impact the effectiveness of ∆f-based temperature

measurement. Additionally, low-phase-noise MEMS solutions often rely upon bulk mode

devices with small electrostatic transduction gaps (Lin et al. used 80-nm gaps in [125]). These

Page 195: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

179

tiny gaps will hugely exacerbate some of the error sources of the micro-ovenization system

such as sensitivity to amplitude variation and thermal expansion.

A second direction along which significant advancements could be made is the

mechanical design of the micro-oven structure. The micro-oven power budget of 15 mW

demonstrated in this work was sufficient for a proof-of-concept prototype, but it is not

competitive with comparable quartz TCXO or MCXO solutions that require less than 10 mW

of total system power. Significant improvements in thermal performance will be necessary to

reduce the power budget of the MEMS micro-oven solution, but thermal performance

generally comes at the cost of reduced stiffness, voltage uniformity, and temperature

uniformity. The yield, reliability, and shock survivability of compliant structures like the

demonstrated micro-oven is uncertain, and it may degrade further if the stiffness is reduced.

And temperature stability for the system is likely to degrade in the presence of large voltage or

temperature gradients inside the micro-oven. More creative mechanical designs or structural

optimization techniques like the one demonstrated in [126] may be able to overcome some of

these tradeoffs.

A third axis of exploration for the systems in this work may in fact reduce the

difficulties associated with the previously mentioned challenges (i.e. phase noise and thermal

performance). The MEMS demonstrated here were implemented with PCB-level electronics.

Integrating these electronics with an ASIC could help to improve phase noise performance by

reducing parasitics and allowing for more optimized circuit design. Furthermore, digital

intelligence similar to the calibration methods in Chapters 5 and 6 could be added to the

system to help compensate for error that might result from narrow gaps (i.e. amplitude

sensitivity and thermal expansion) or temperature and voltage gradients in a higher efficiency

micro-oven. It may be possible to make gains in phase noise performance, power

consumption, and temperature stability simultaneously by approaching the problem anew with

a digitally assisted ASIC.

Will micro-ovenized MEMS devices enable the next generation of tiny low power,

low cost frequency references? Will the electronic devices of the future contain MEMS

resonators for timing, filtering, and sensing applications? Only time will tell. And, if this

thesis is any indication, maybe that time will be measured by the beats of a silicon resonator.

Page 196: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

180

References

[1] Pacific Northwest National Lab. "Optics & Infrared Sensing: Laser Photoacoustic Spectroscopy (LPAS)," http://infrared.pnl.gov/integration/photoacoustic.asp.

[2] TXC Corporation. "Technical Terminology: Quartz Frequency-Temperature Characteristics," http://www.txc.com.tw/en/d_support/01.html.

[3] C. T. C. Nguyen, "MEMS technology for timing and frequency control," IEEE

Transactions on Ultrasonics, Ferroelectrics and Frequency Control, vol. 54, pp. 251-270, 2007.

[4] M. Lutz, J. McDonald, P. Gupta, A. Partridge, C. Dimpel and K. Petersen, "New MEMS timing references for automotive applications," in Advanced Microsystems for

Automotive Applications 2007 J. Valldorf and W. Gessner, Eds. Berlin: Springer, 2007, pp. 279-289.

[5] Universal Serial Bus Specification Revision 2.0. [Online]. Available: http://www.usb.org/

[6] G. W. den Besten, "The USB 2.0 physical layer: Standard and implementation," in Analog Circuit Design: Fractional-N Synthesizers, Design for Robustness, Line and

Bus Drivers A. H. M. van Roermund, M. Steyaert and J. H. Huijsing, Eds. 2003, pp. 359-377.

[7] IEEE Standard for Information technology— Telecommunications and information exchange between systems— Local and metropolitan area networks— Specific requirements Part 11: Wireless LAN Medium Access Control (MAC) and Physical Layer (PHY) Specifications. [Online]. Available: http://standards.ieee.org/getieee802/

[8] T. Pollet, M. Van Bladel and M. Moeneclaey, "BER sensitivity of OFDM systems to carrier frequency offset and Wiener phase noise," IEEE Transactions on

Communications, vol. 43, pp. 191-193, 1995.

Page 197: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

181

[9] P. H. Moose, "A technique for orthogonal frequency division multiplexing frequency offset correction," IEEE Transactions on Communications, vol. 42, pp. 2908-2914, 1994.

[10] E. D. Kaplan, Understanding GPS: Principles and Applications. Norwood, MA: Artech House, Inc., 1996, pp. 554.

[11] Tom Clark. "How a GPS Receiver Gets a Lock," http://gpsinformation.net/main/gpslock.htm.

[12] Meinberg Clocks. "Oscillators available for Meinberg GPS Receivers/Time Servers," http://www.meinberg.de/english/specs/gpsopt.htm.

[13] H. C. Nathanson, W. E. Newell, R. A. Wickstrom and J. R. Davis Jr., "The resonant gate transistor," IEEE Transactions on Electron Devices, vol. 14, pp. 117-133, 1967.

[14] K. E. Petersen, "Silicon as a mechanical material," Proceedings of the IEEE, vol. 70, pp. 420-457, 1982.

[15] R. T. Howe and R. S. Muller, "Resonant-microbridge vapor sensor," IEEE

Transactions on Electron Devices, vol. 33, pp. 499-506, 1986.

[16] G. Stemme, "Resonant silicon sensors," Journal of Micromechanics and

Microengineering, vol. 1, pp. 113, 1991.

[17] J. Bernstein, S. Cho, A. T. King, A. Kourepenis, P. Maciel and M. Weinberg, "A micromachined comb-drive tuning fork rate gyroscope," in Micro Electro Mechanical

Systems, 1993, MEMS '93, Proceedings an Investigation of Micro Structures, Sensors,

Actuators, Machines and Systems. IEEE. 1993, pp. 143-148.

[18] W. C. Tang, C. T. C. Nguyen and R. T. Howe, "Laterally driven polysilicon resonant microstructures," in IEEE Micro Electro Mechanical Systems Proceedings, an

Investigation of Micro Structures, Sensors, Actuators, Machines and Robots, 1989, pp. 53-59.

[19] C. T. C. Nguyen and R. T. Howe, "An integrated CMOS micromechanical resonator high-Q oscillator," IEEE Journal of Solid-State Circuits, vol. 34, pp. 440-455, 1999.

[20] D. Weinstein and S. A. Bhave, "Internal Dielectric Transduction in Bulk-Mode Resonators," Journal of Microelectromechanical Systems, vol. 18, pp. 1401-1408, 2009.

[21] E. Hwang and S. A. Bhave, "PN-diode transduced 3.7-GHz silicon resonator," in IEEE 23rd International Conference on Micro Electro Mechanical Systems. MEMS

2010, 2010, pp. 208-211.

Page 198: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

182

[22] G. Piazza, P. J. Stephanou and A. P. Pisano, "Piezoelectric Aluminum Nitride Vibrating Contour-Mode MEMS Resonators," Journal of Microelectromechanical

Systems, vol. 15, pp. 1406-1418, 2006.

[23] P. D. Mitcheson, T. C. Green, E. M. Yeatman and A. S. Holmes, "Architectures for vibration-driven micropower generators," Journal of Microelectromechanical

Systems, vol. 13, pp. 429-440, 2004.

[24] E. Algre, B. Legrand, M. Faucher, B. Walter and L. Buchaillot1, "Tip-matter interaction measurements using mems ring resonators," in International Solid-State

Sensors, Actuators and Microsystems Conference, 2009. TRANSDUCERS 2009. 2009, pp. 1638-1641.

[25] S. Sridaran and S. A. Bhave, "Silicon monolithic acousto-optic modulator," in IEEE

23rd International Conference on Micro Electro Mechanical Systems, MEMS 2010,

2010, pp. 835-838.

[26] A. L. Herrera-May, L. A. Aguilera-Cortés, P. J. García-Ramírez and E. Manjarrez, "Resonant Magnetic Field Sensors Based On MEMS Technology," Sensors, vol. 9, pp. 7785-7813, 2009.

[27] W. Riethmuller and W. Benecke, "Thermally excited silicon microactuators," IEEE

Transactions on Electron Devices, vol. 35, pp. 758-763, 1988.

[28] J. W. Judy and R. S. Muller, "Magnetic microactuation of torsional polysilicon structures," Sensors and Actuators A: Physical, vol. 53, pp. 392-397, 1996.

[29] J. T. M. van Beek, P. G. Steeneken and B. Giesbers, "A 10MHz piezoresistive MEMS resonator with high Q," in IEEE International Frequency Control Symposium and

Exposition, 2006, pp. 475-480.

[30] S. Venkatesh and S. Novak, "Micromechanical resonators in fiber-optic systems," Optics Letters, vol. 12, pp. 129-131, 1987.

[31] U. Inan and A. Inan, "The static electric field," in Engineering Electromagnetics ,First ed.P. Becker and A. E. Friedlander, Eds. Menlo Park, CA: Addison Wesley Longman, Inc., 1999, pp. 238-388.

[32] V. Kaajakari, J. K. Koskinen and T. Mattila, "Phase noise in capacitively coupled micromechanical oscillators," IEEE Transactions on Ultrasonics, Ferroelectrics and

Frequency Control, vol. 52, pp. 2322-2331, 2005.

[33] R. D. Blevins, Formulas for Natural Frequency and Mode Shape. Malabar, Fla.: R.E. Krieger, 1979, pp. 492.

[34] M. U. Demirci and C. T. C. Nguyen, "Mechanically Corner-Coupled Square Microresonator Array for Reduced Series Motional Resistance," Journal of

Microelectromechanical Systems, vol. 15, pp. 1419-1436, 2006.

Page 199: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

183

[35] M. Rinaldi, C. Zuniga, C. Zuo and G. Piazza, "Super-high-frequency two-port AlN contour-mode resonators for RF applications," IEEE Transactions on Ultrasonics,

Ferroelectrics and Frequency Control, vol. 57, pp. 38-45, 2010.

[36] M. Weinberg, R. Candler, S. Chandorkar, J. Varsanik, T. Kenny and A. Duwel, "Energy loss in MEMS resonators and the impact on inertial and RF devices," in International Solid-State Sensors, Actuators and Microsystems Conference,

TRANSDUCERS '09, 2009, pp. 688-695.

[37] P. Y. Kwok, M. S. Weinberg and K. S. Breuer, "Fluid Effects in Vibrating Micromachined Structures," Journal of Microelectromechanical Systems, vol. 14, pp. 770-781, 2005.

[38] R. N. Candler, H. Li, M. Lutz, W. T. Park, A. Partridge, G. Yama and T. W. Kenny, "Investigation of energy loss mechanisms in micromechanical resonators," in 12th

International Conference on Solid-State Sensors, Actuators and Microsystems,

TRANSDUCERS 2003, 2003, pp. 332-335.

[39] R. N. Candler, A. Duwel, M. Varghese, S. A. Chandorkar, M. A. Hopcroft, W. Park, B. Kim, G. Yama, A. Partridge, M. Lutz and T. W. Kenny, "Impact of geometry on thermoelastic dissipation in micromechanical resonant beams," Journal of

Microelectromechanical Systems, vol. 15, pp. 927-934, 2006.

[40] Saurabh A. Chandorkar. "Energy Loss Mechanisms in Micromechanical Resonators," PhD dissertation, Department of Mechanical Engineering, Stanford University, Stanford, CA, 2009.

[41] S. A. Chandorkar, M. Agarwal, R. Melamud, R. N. Candler, K. E. Goodson and T. W. Kenny, "Limits of quality factor in bulk-mode micromechanical resonators," in IEEE

21st International Conference on Micro Electro Mechanical Systems, 2008. MEMS

2008, 2008, pp. 74-77.

[42] T. Koyama, D. S. Bindel, W. He, E. P. Quevy, S. Govindjee, J. W. Demmel and R. T. Howe, "Simulation tools for damping in high frequency resonators," in IEEE Sensors,

2005, pp. 4 pp.

[43] S. Li, Y. Lin, Y. Xie, Z. Ren and C. T. C. Nguyen, "Micromechanical "hollow-disk" ring resonators," in 17th IEEE International Conference on Micro Electro Mechanical

Systems, MEMS '04, 2004, pp. 821-824.

[44] C. T. C. Nguyen and R. T. Howe, "Quality factor control for micromechanical resonators," in International Electron Devices Meeting, Technical Digest, 1992, pp. 505-508.

[45] S. A. Bhave, D. Gao, R. Maboudian and R. T. Howe, "Fully-differential poly-SiC lame mode resonator and checkerboard filter," in 18th IEEE International Conference

on Micro Electro Mechanical Systems, 2005. MEMS 2005. 2005, pp. 223-226.

Page 200: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

184

[46] P. Mohanty, D. A. Harrington, K. L. Ekinci, Y. T. Yang, M. J. Murphy and M. L. Roukes, "Intrinsic dissipation in high-frequency micromechanical resonators," Physical Review B, vol. 66, pp. 85416-85431, 2002.

[47] J. Yang, T. Ono and M. Esashi, "Investigating surface stress: Surface loss in ultrathin single-crystal silicon cantilevers," Journal of Vacuum Science & Technology B:

Microelectronics and Nanometer Structures, vol. 19, pp. 551-556, 2001.

[48] K. E. Wojciechowski, B. E. Boser and A. P. Pisano, "A MEMS resonant strain sensor operated in air," in 17th IEEE International Conference on Micro Electro Mechanical

Systems, MEMS '04, 2004, pp. 841-845.

[49] P. Rantakari, J. Kiihamaki, M. Koskenvuori, T. Lamminmaki and I. Tittonen, "Reducing the effect of parasitic capacitance on MEMS measurements," in The 11th

Int. Conf. on Solid-State Sensors & Actuators, Digest of Technical Papers,

(Transducers '01), 2001, pp. 1156-1159.

[50] V. J. Logeeswaran, F. E. H. Tay, M. L. Chan, F. S. Chau and Y. C. Liang, "First Harmonic (2f) Characterisation of Resonant Frequency and Q-Factor of Micromechanical Transducers," Analog Integrated Circuits and Signal Processing,

vol. 37, pp. 17-33, 2003.

[51] J. R. Clark, W. T. Hsu, M. A. Abdelmoneum and C. T. C. Nguyen, "High-Q UHF micromechanical radial-contour mode disk resonators," Journal of

Microelectromechanical Systems, vol. 14, pp. 1298-1310, 2005.

[52] G. K. Ho, K. Sundaresan, S. Pourkamali and F. Ayazi, "Temperature compensated IBAR reference oscillators," in 19th IEEE International Conference on Micro Electro

Mechanical Systems, 2006. MEMS 2006 Istanbul. 2006, pp. 910-913.

[53] H. K. Lee, M. A. Hopcroft, R. Melamud, B. Kim, J. Salvia, S. Chandorkar and T. W. Kenny, "Electrostatic-tuning of hermetically encapsulated composite resonator," in Proceedings of the Solid-State Sensors, Actuators, and Microsystems Workshop,

Hilton Head '08, 2008, pp. 48-51.

[54] M. Agarwal, S. A. Chandorkar, H. Mehta, R. N. Candler, B. Kim, M. A. Hopcroft, R. Melamud, C. M. Jha, G. Bahl, G. Yama, T. W. Kenny and B. Murmann, "A study of electrostatic force nonlinearities in resonant microstructures," Applied Physics Letters,

vol. 92, pp. 104106-104106-3, 2008.

[55] Manu Agarwal. "Nonlinearities and Phase Noise in Electrostatically-Transduced MEMS-Resonator Based Oscillators," PhD dissertation, Department of Electrical Engineering, Stanford University, Stanford, CA, 2007.

[56] J. M. Gere and B. J. Goodno, Mechanics of Materials. Toronto, ON: Cengage Learning, 2009,

Page 201: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

185

[57] K. L. Ekinci, Y. T. Yang and M. L. Roukes, "Ultimate limits to inertial mass sensing based upon nanoelectromechanical systems," Journal of Applied Physics, vol. 95, pp. 2682-2689, 2004.

[58] R. Melamud, M. Hopcroft, C. Jha, B. Kim, S. Chandorkar, R. Candler and T. W. Kenny, "Effects of stress on the temperature coefficient of frequency in double clamped resonators," in The 13th International Conference on Solid-State Sensors,

Actuators and Microsystems, Digest of Technical Papers. TRANSDUCERS '05. 2005, pp. 392-395 Vol. 1.

[59] F. L. Walls and J. J. Gagnepain, "Environmental sensitivities of quartz oscillators," IEEE Transactions on Ultrasonics, Ferroelectrics and Frequency Control, vol. 39, pp. 241-249, 1992.

[60] Renata Melamud. "Temperature Insensitive Micromechanical Resonators," PhD dissertation, Department of Mechanical Engineering, Stanford University, Stanford, CA, 2008.

[61] M. A. Hopcroft. "Temperature-stabilized silicon resonators for frequency references," PhD dissertation, Department of Mechanical Engineering, Stanford University, Stanford, CA, 2007.

[62] Bongsang Kim. "Stability and performance of wafer scale thin film encapsulated MEMS resonators," PhD dissertation, Department of Mechanical Engineering, Stanford University, Stanford, CA, 2007.

[63] R. Melamud, S. A. Chandorkar, B. Kim, H. K. Lee, J. C. Salvia, G. Bahl, M. A. Hopcroft and T. W. Kenny, "Temperature-Insensitive Composite Micromechanical Resonators," Journal of Microelectromechanical Systems, vol. 18, pp. 1409-1419, 2009.

[64] M. Lutz, A. Partridge, P. Gupta, N. Buchan, E. Klaassen, J. McDonald and K. Petersen, "MEMS oscillators for high volume commercial applications," in International Solid-State Sensors, Actuators and Microsystems Conference.

TRANSDUCERS '07, 2007, pp. 49-52.

[65] Rob N. Candler. "Thermoelastic Dissipation in Silicon Micromechanical Resonators," PhD dissertation, Department of Electrical Engineering, Stanford University, Stanford, CA, 2006.

[66] B. Kim, M. A. Hopcroft, R. N. Candler, C. M. Jha, M. Agarwal, R. Melamud, S. A. Chandorkar, G. Yama and T. W. Kenny, "Temperature Dependence of Quality Factor in MEMS Resonators," Journal of Microelectromechanical Systems, vol. 17, pp. 755-766, 2008.

[67] C. Zener, "Internal Friction in Solids II. General Theory of Thermoelastic Internal Friction," Phys.Rev., vol. 53, pp. 90-99, Jan. 1938.

Page 202: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

186

[68] G. K. Fedder, R. T. Howe, Tsu-Jae King Liu and E. P. Quevy, "Technologies for Cofabricating MEMS and Electronics," Proceedings of the IEEE, vol. 96, pp. 306-322, 2008.

[69] A. Sharma, M. F. Zaman and F. Ayazi, "A 104-dB Dynamic Range Transimpedance-Based CMOS ASIC for Tuning Fork Microgyroscopes," IEEE Journal of Solid-State

Circuits, vol. 42, pp. 1790-1802, 2007.

[70] S. X. P. Su, H. S. Yang and A. M. Agogino, "A resonant accelerometer with two-stage microleverage mechanisms fabricated by SOI-MEMS technology," IEEE Sensors

Journal, vol. 5, pp. 1214-1223, 2005.

[71] K. Sundaresan, G. K. Ho, S. Pourkamali and F. Ayazi, "A two-chip, 4-MHz, microelectromechanical reference oscillator," in IEEE International Symposium on

Circuits and Systems, ISCAS 2005, 2005, pp. 5461-5464.

[72] M. Steffes. (1996, July 4, 1996). Control frequency response and noise in broadband, photodetector, transimpedance amplifiers. EDN Magazine 41(14), pp. 113-125.

[73] J. A. Geen, S. J. Sherman, J. F. Chang and S. R. Lewis, "Single-chip surface micromachined integrated gyroscope with 50 deg/h Allan deviation," IEEE Journal of

Solid-State Circuits, vol. 37, pp. 1860-1866, 2002.

[74] K. E. Wojciechowski. "Electronics for Resonant Sensors," PhD dissertation, Elec. Eng. & Comp. Sci. Dept., University of California, Berkeley, CA, 2005.

[75] B. Razavi, "A 622 Mb/s 4.5 pA/√Hz CMOS transimpedance amplifier," in IEEE

International Solid-State Circuits Conference, ISSCC 2000. Digest of Technical

Papers, 2000, pp. 162-163.

[76] B. Razavi, Design of Analog CMOS Integrated Circuits. Boston, MA: McGraw-Hill, 2001,

[77] R. Schreier, N. Abaskharoun, H. Shibata, D. Paterson, S. Rose, I. Mehr and Q. Luu, "A 375-mW Quadrature Bandpass ADC With 8.5-MHz BW and 90-dB DR at 44 MHz," IEEE Journal of Solid-State Circuits, vol. 41, pp. 2632-2640, 2006.

[78] G. Ferrari, F. Gozzini and M. Sampietro, "Transimpedance amplifier for very high sensitivity current detection over 5MHz bandwidth," in Research in Microelectronics

and Electronics, 2008. PRIME 2008. Ph.D. 2008, pp. 201-204.

[79] G. Ferrari, F. Gozzini and M. Sampietro, "A current-sensitive front-end amplifier for nano-biosensors with a 2MHz BW," in IEEE International Solid-State Circuits

Conference, 2007. ISSCC 2007. Digest of Technical Papers, 2007, pp. 164-165.

[80] H. Santana. (2005, 28 April 2005). Op amp and two JFETs form A voltage-controlled amplifier. EDN Available: http://electronicdesign.com/Articles/ArticleID/10116/10116.html

Page 203: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

187

[81] Baris Cagdaser. "Resonant Circuits for MEMS Interfaces," PhD dissertation, Elec. Eng. & Comp. Sci. Dept., University of California, Berkeley, CA, 2005.

[82] W. Hsu and C. T. C. Nguyen, "Geometric stress compensation for enhanced thermal stability in micromechanical resonators," in IEEE Ultrasonics Symposium

Proceedings, 1998, 1998, pp. 945-948.

[83] W. Hsu and C. T. C. Nguyen, "Stiffness-compensated temperature-insensitive micromechanical resonators," in The Fifteenth IEEE International Conference on

Micro Electro Mechanical Systems, 2002, 2002, pp. 731-734.

[84] W. P. Mason and R. A. Sykes, "Low-Frequency Quartz-Crystal Cuts Having Low Temperature Coefficients," Proceedings of the IRE, vol. 32, pp. 208-215, 1944.

[85] S. Spinner and G. W. Cleek, "Temperature Dependence of Young's Modulus of Vitreous Germania and Silica," Journal of Applied Physics, vol. 31, pp. 1407-1410, 1960.

[86] R. Melamud, B. Kim, S. A. Chandorkar, M. A. Hopcroft, M. Agarwal, C. M. Jha and T. W. Kenny, "Temperature-compensated high-stability silicon resonators," Applied

Physics Letters, vol. 90, pp. 244107-244107-3, 2007.

[87] SiT8102 Datasheet Rev 1.08, SiTime Corporation, December 08, 2008.

[88] D. Ruffieux, F. Krummenacher, A. Pezous and G. Spinola-Durante, "Silicon Resonator Based 3.2 uW Real Time Clock With 10 ppm Frequency Accuracy," IEEE

Journal of Solid-State Circuits, vol. 45, pp. 224-234, 2010.

[89] C. D. Schaper, K. El-Awady, T. Kailath, A. Tay, L. L. Lee, W. K. Ho and S. E. Fuller, "Characterizing photolithographic linewidth sensitivity to process temperature variations for advanced resists using a thermal array," Applied Physics A: Materials

Science & Processing, vol. 80, pp. 899-902, 2005.

[90] D. J. Sadler, R. Changrani, P. Roberts, C. Chou and F. Zenhausern, "Thermal management of BioMEMS: temperature control for ceramic-based PCR and DNA detection devices," IEEE Transactions on Components and Packaging Technologies,

vol. 26, pp. 309-316, 2003.

[91] LM199/LM299/LM399 Precision Reference Datasheet, National Semiconductor, April, 2005.

[92] K. A. A. Makinwa and J. H. Huijsing, "A smart wind sensor using thermal sigma-delta modulation techniques," Sensors and Actuators A: Physical, vol. 97-98, pp. 15-20, 2002.

[93] M. J. Mescher, R. Lutwak and M. Varghese, "An ultra-low-power physics package for a chip-scale atomic clock," in The 13th International Conference on Solid-State

Page 204: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

188

Sensors, Actuators and Microsystems, Digest of Technical Papers. TRANSDUCERS

'05, 2005, pp. 311-316.

[94] DX-170 OCXO Datasheet, Vectron International, 16 April, 2009.

[95] C. T. C. Nguyen and R. T. Howe, "Microresonator frequency control and stabilization using an integrated micro oven," in Digest of Technical Papers, the 7th International

Conference on Solid State Sensors and Actuators (Transducers '93), 1993, pp. 1040-1043.

[96] M. A. Hopcroft, R. Melamud, R. N. Candler, W. T. Park, B. Kim, G. Yama, A. Partridge, M. Lutz and T. W. Kenny, "Active temperature compensation for micromechanical resonators," in 2004, pp. 364-367.

[97] M. A. Hopcroft, H. K. Lee, B. Kim, R. Melamud, S. Chandorkar, M. Agarwal, C. Jha, J. Salvia, G. Bahl, H. Mehta and T. W. Kenny, "A high-stability MEMS frequency reference," in International Solid-State Sensors, Actuators and Microsystems

Conference, 2007. TRANSDUCERS 2007, 2007, pp. 1307-1309.

[98] J. Salvia, M. Messana, M. Ohline, M. A. Hopcroft, R. Melamud, S. Chandorkar, H. K. Lee, G. Bahl, B. Murmann and T. W. Kenny, "Exploring the limits and practicality of Q-based temperature compensation for silicon resonators," in IEEE International

Electron Devices Meeting, IEDM 2008, 2008, pp. 671-674.

[99] C. M. Jha, M. A. Hopcroft, S. A. Chandorkar, J. C. Salvia, M. Agarwal, R. N. Candler, R. Melamud, B. Kim and T. W. Kenny, "Thermal Isolation of Encapsulated MEMS Resonators," Journal of Microelectromechanical Systems, vol. 17, pp. 175-184, 2008.

[100] P. Horowitz and W. Hill, "Feedback and operational amplifiers," in The Art of

Electronics ,Second ed. , Ed. Cambridge, UK: Cambridge, 1989, pp. 175-261.

[101] C. M. Jha, G. Bahl, R. Melamud, S. A. Chandorkar, M. A. Hopcroft, B. Kim, M. Agarwal, J. Salvia, H. Mehta and T. W. Kenny, "High resolution microresonator-based digital temperature sensor," Applied Physics Letters, vol. 91, pp. 074101-074101-3, 2007.

[102] J. R. Vig, "Dual-mode oscillators for clocks and sensors," in Proceedings of the IEEE

Ultrasonics Symposium, 1999, pp. 859-868.

[103] J. C. Salvia, R. Melamud, S. A. Chandorkar, S. F. Lord and T. W. Kenny, "Real-Time Temperature Compensation of MEMS Oscillators Using an Integrated Micro-Oven and a Phase-Locked Loop," Journal of Microelectromechanical Systems, vol. 19, pp. 192-201, 2010.

[104] N. Tas, T. Sonnenberg, H. Jansen, R. Legtenberg and M. Elwenspoek, "Stiction in surface micromachining," Journal of Micromechanics and Microengineering, vol. 6, pp. 385, 1996.

Page 205: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

189

[105] G. X. Li and F. A. Shemansky, "Drop test and analysis on micro-machined structures," Sensors and Actuators A: Physical, vol. 85, pp. 280-286, 2000.

[106] T. H. Lee, "Phase-locked loops," in The Design of CMOS Radio-Frequency Integrated

Circuits ,Second ed.T. H. Lee, Ed. Cambridge, UK: Cambridge, 2004, pp. 560-609.

[107] M. Koskenvuori, V. Kaajakari, T. Mattila and I. Tittonen, "Temperature measurement and compensation based on two vibrating modes of a bulk acoustic mode microresonator," in IEEE 21st International Conference on Micro Electro Mechanical

Systems. MEMS 2008, 2008, pp. 78-81.

[108] U. Inan and A. Inan, "Transient response of transmission lines," in Engineering

Electromagnetics ,First ed.P. Becker and A. E. Friedlander, Eds. Menlo Park, CA: Addison Wesley Longman, Inc., 1999, pp. 17-107.

[109] C4600 OCXO Datasheet, Vectron International, November 10, 2006.

[110] “Timekeeping accuracy, automatic and affordable,” Application Note 3566, Maxim Integrated Products, Aug. 17, 2005.

[111] G. Bahl, R. Melamud, B. Kim, S. A. Chandorkar, J. C. Salvia, M. A. Hopcroft, D. Elata, R. G. Hennessy, R. N. Candler, R. T. Howe and T. W. Kenny, "Model and Observations of Dielectric Charge in Thermally Oxidized Silicon Resonators," Journal of Microelectromechanical Systems, vol. 19, pp. 162-174, 2010.

[112] C. van der Avoort, J. van Wingerden and J. T. M. van Beek, "The effects of thermal oxidation of a MEMS resonator on temperature drift and absolute frequency," in IEEE

22nd International Conference on Micro Electro Mechanical Systems, MEMS 2009,

2009, pp. 654-656.

[113] A. K. Samarao, G. Casinovi and F. Ayazi, "Passive TCf compensation in high Q silicon micromechanical resonators," in IEEE 23rd International Conference on

Micro Electro Mechanical Systems, MEMS 2010, 2010, pp. 116-119.

[114] A. K. Samarao and F. Ayazi, "Temperature compensation of silicon micromechanical resonators via degenerate doping," in Technical Digest of the International Electron

Devices Meeting 2009, 2009, pp. 789-792.

[115] A. K. Samarao and F. Ayazi, "Post-fabrication electrical trimming of silicon bulk acoustic resonators using joule heating," in IEEE 22nd International Conference on

Micro Electro Mechanical Systems, MEMS 2009, 2009, pp. 892-895.

[116] W. Hsu and A. R. Brown, "Frequency trimming for MEMS resonator oscillators," in IEEE International Frequency Control Symposium, 2007 Joint with the 21st European

Frequency and Time Forum. 2007, pp. 1088-1091.

[117] F. L. Walls and D. W. Allan, "Measurements of frequency stability," Proceedings of

the IEEE, vol. 74, pp. 162-168, 1986.

Page 206: JSalvia Dissertation v36 - Stanford Universitynw906mc1017/... · MEMS oscillator, including automatic level control. This PCB-based oscillator was used to flexibly test the MEMS prototypes

190

[118] D. W. Allan, "Time and Frequency (Time-Domain) Characterization, Estimation, and Prediction of Precision Clocks and Oscillators," IEEE Transactions on Ultrasonics,

Ferroelectrics and Frequency Control, vol. 34, pp. 647-654, 1987.

[119] J. A. Barnes and D. W. Allan, "Variances based on data with dead time between the measurements," NIST Technical Note 1318, pp. 296-335, 1990.

[120] T. H. Lee, "Phase noise," in The Design of CMOS Radio-Frequency Integrated

Circuits ,Second ed.T. H. Lee, Ed. Cambridge, UK: Cambridge, 2004, pp. 659-693.

[121] D. B. Leeson, "A simple model of feedback oscillator noise spectrum," Proceedings

of the IEEE, vol. 54, pp. 329-330, 1966.

[122] G. Sauvage, "Phase Noise in Oscillators: A Mathematical Analysis of Leeson's Model," IEEE Transactions on Instrumentation and Measurement, vol. 26, pp. 408-410, 1977.

[123] 8662A Synthesized Signal Generator Operating and Service Manual, Agilent Technologies, October, 1994.

[124] D. Scherer. The ‘Art’of phase noise measurement. Presented at Hewlett-Packard RF Microwave Measurements Symposium. [Online]. Available: http://www.hparchive.com/seminar_notes.htm

[125] Y. Lin, S. Lee, S. Li, Y. Xie, Z. Ren and C. T. C. Nguyen, "Series-resonant VHF micromechanical resonator reference oscillators," IEEE Journal of Solid-State

Circuits, vol. 39, pp. 2477-2491, 2004.

[126] O. M. Querin, G. P. Steven and Y. M. Xie, "Evolutionary structural optimisation (ESO) using a bidirectional algorithm," Engineering Computations, vol. 15, pp. 1031-1048, 1998.