immersion and dry scanner innovations to support next

25
Public “Mo(o)re Shrink, Better Performance, Continuous Innovation” IWAPS, Xiamen, China October 2018 Wim de Boeij, Program System Engineer DUV Products ASML, the Netherlands Immersion and dry scanner innovations to support next generation device nodes.

Upload: others

Post on 28-Dec-2021

32 views

Category:

Documents


7 download

TRANSCRIPT

Page 1: Immersion and dry scanner innovations to support next

Public

“Mo(o)re Shrink, Better Performance, Continuous Innovation”

IWAPS, Xiamen, China

October 2018

Wim de Boeij, Program System Engineer DUV Products

ASML, the Netherlands

Immersion and dry scanner innovations to support next generation device nodes.

Page 2: Immersion and dry scanner innovations to support next

Public

ASML boosts patterning performance and process control

Process Window

Detection

Computational

Lithography and MetrologyMetrology

Process Window

ControlProcess Window

Enhancement

Lithography scanner

with advanced control capability

Etch and deposition tools

OPC OPO

Local

CDGlobal

CD

Slide 2

IWAPS, Xiamen, ChinaOctober 2018

OPC: Optical Proximity Correction; OPO: On-Product Overlay; EPE: Edge Placement Error; CD Critical Dimension

Off-line and on-scanner

metrology

Page 3: Immersion and dry scanner innovations to support next

Public

TWINSCAN NXT:2000i key improvementsImproving on-product overlay and focus performance

Overlay

Imaging/Focus

Legend:

Wafer heating controlIn-line feedforward

compensation

UVLS-2 level sensoreliminates process dependency

for better focus accuracy

ORION alignment sensor

4 colors x 2 pol. modes =

8 independent signals for

Optimal Color Weighting

Wafer TableImproved matching to EUV

Reduced distortion during wafer load

Improved flatness & endurance

ArFi LaserSupports latest

generation of ArFi Lasers

2

4

1

Slide 3Slide 3Projection lens

smaller aberration residuals

new lens control model

3

0 5 10 15 20 25 300

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

RM

S Z

65

-10

0

NXT:1980 NXT:2000

IWAPS, Xiamen, ChinaOctober 2018

Page 4: Immersion and dry scanner innovations to support next

Public

UVLS-2: New level sensor with deeper UV spectrumImproves leveling performance and enhances process robustness

Reduced process sensitivity by

increased angle of incidence

resulting in more reflection from top layer

Current

level

sensor

New level

sensor

Current

level sensor

Reduced process sensitivity by

optimized illumination spectrum

to improve absorption in stack

New

level

sensor

New fine-focus calibration

for reduced machine-2-

machine variation

1

Slide 4

IWAPS, Xiamen, ChinaOctober 2018

Interfield(variation across wafer)

Intra-field(variation within field)

UVLS-1

UVLS-2UVLS-1

UVLS-2

Page 5: Immersion and dry scanner innovations to support next

Public

Machine to Machine Matching ResultsUVLS-2 process dependency matching shows excellent performance

UVLS set EUVLS set CUVLS set BUVLS set A

Bare

wafer

Product

wafer UVLS set D

Measurement Method: accurate wafer maps on 5 different hardware sets

1

Slide 5

IWAPS, Xiamen, ChinaOctober 2018

Page 6: Immersion and dry scanner innovations to support next

Public

Process effects deform wafer and alignment marksProcess robustness of alignment marks a crucial factor in overlay

Slide 6Slide 6

IWAPS, Xiamen, ChinaOctober 2018

ORION alignment sensor with Optimal Color Weighting algorithm distinguishes mark deformation

from (product) overlay effects

2

Page 7: Immersion and dry scanner innovations to support next

Public

marker

532 635 780 850

4 wavelength laser source

Features

ORION wafer alignment sensorImproves alignment performance and enhances process robustness

Improved sensor optics & mechanics design

better aberrations, larger NA, smaller spot size & improved opto-

mechanical stability

Polarization independent sensor

dual interferometer & dual polarization output

Improves process robustness

ORION sensor design

No mark overfill, reduced process cross talk

Reduced sensitivity for TELE

improved opto-mechanics

Low aberration sensor design

Choice of polarization

Always 4 colors available with high Wafer Quality

Optimal Color Weighting (OCW)

Reduced Wafer to Wafer

(process/layer stack

thickness) variation

Mark Asymmetry

suppression

Reduced mark height and

tilt variation sensitivity

(6DoF)

Improved stability

Customer benefit

Slide 7Slide 7

2IWAPS, Xiamen, China

October 2018

ORION

Alignment

sensor

Page 8: Immersion and dry scanner innovations to support next

Public

Alignment sensor improvements on the NXT platform

Slide 8Slide 8

Alignment signal strength (%)

Re

pro

[n

m] –

linea

r

SMASH

SMASH 3.3

Alignment signal strength (%)R

epro

[n

m] –

log

sca

le

SMASH 3.3

ORION

2010~ (NXT) 2016~ 2018

SMASH SMASH 3.3 ORION

• Support alignment on

multiple marktypes

• Zero-order block

for better contrast

• Low wafer-quality marks

• Improvement of optical design

• Doubling of nr of signals for

discriminating process effects

From mark versatility to contrast improvements to process robustness

2IWAPS, Xiamen, China

October 2018

Page 9: Immersion and dry scanner innovations to support next

Public

Optimal Color Weighting suppresses mark asymmetry

Example: alignment position error depends

linearly on top tilt asymmetry

4 colors x 2 polarizations = 8 independent signals for optimal color flexibility

Slide 9Slide 9

2

Aligned position

Aligned position = 𝒂 ∗ 𝒙𝑵𝑰𝑹 + 𝒃 ∗ 𝒙𝑭𝑰𝑹

• Mark asymmetry introduces a color-dependent alignment error. Combining different colors and/or polarizations during wafer alignment reduces the sensitivity to process-induced mark asymmetry variations.

• Optimal Color Weighting (OCW) makes alignment readout insensitive to mark asymmetry by combining alignment signals. Orion enables OCW by providing more color signals.

IWAPS, Xiamen, ChinaOctober 2018

Page 10: Immersion and dry scanner innovations to support next

Public

Improved lens aberration performanceReduce aberrations, smaller coma fingerprints;

tighter population control

0 5 10 15 20 25 300

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

RM

S Z

65

-10

0

0 10 20 30 40 50 600

0.1

0.2

0.3

0.4

0.5

0.6

0.7

Max c

on

ten

t u

p t

o Z

7 3

rd o

rde

r

Slide 10Slide 10

NXT:1980

NXT:2000

NXT:1980

NXT:2000

Z7 (coma-x) content up to 3rd

order significantly reduced

Z7 (coma-x) Residuals Z65-100

Residual wavefront improvement of ~40%

with respect to NXT:1980 population

3IWAPS, Xiamen, China

October 2018

Page 11: Immersion and dry scanner innovations to support next

Public

NXT:2000 Lens model utilizes more of lens actuator range

Significant correction potential gain by fully utilizing actuator extremes

500 1000 1500 2000-5

-4

-3

-2

-1

0

X

[nm

]

0

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1

[nm

]

RMS odd Zernikes(impacting Overlay)

Old LM New LM

0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

1.8

2

[nm

]

RMS even Zernikes(impacting Focus)

Old LM New LM

Old LM

New LM

Overlay marker drift

3nm gain

0

+max

-max

Mo

vem

en

t ra

ng

e

len

s a

ctu

ato

r A

0 +max-max

Movement range lens actuator B

Time (Sec)

NXT:2000i lens

model utilizes all

degrees of freedom

of the lens to its full

extends.

Current lens model

restricts actuator to

prevent range

clipping.

Slide 11

3IWAPS, Xiamen, China

October 2018

rot dip hexapole c-quad freeform c-quad freeform c-quad leaf dip-x

rot dip hexapole c-quad freeform c-quad freeform c-quad leaf dip-x

LM: Lens Model that drives/controls lens actuators

Page 12: Immersion and dry scanner innovations to support next

Public

On-Scanner Heating Control (reticle, lens, wafer)Wafer Heating FeedForward : the next step in thermal control

4

Slide 12Slide 12

Reticle

Temperature

Sensor

measures thermal

profile and models

reticle distortion

fingerprint

FlexWave

increases lens-heating

aberration correction

potential to Z64

-50 0 50

-80

-60

-40

-20

0

20

40

60

80

X [mm] (4X)

Post Narrow Nozzle, Full Fields, Flow Off , delay 3.96s

Temp. min / max / mean / 3sd (K): -0.10 / 2.90 / 1.46 / 2.75

Y [

mm

] (4

X)

-0.5

0

0.5

1

1.5

2

2.5

-50 0 50

-80

-60

-40

-20

0

20

40

60

80

X [mm] (4X)

Post Narrow Nozzle, Full Fields, Flow On , delay 4.00s

Temp. min / max / mean / 3sd (K): -0.16 / 0.98 / 0.43 / 0.97

Y [

mm

] (4

X)

-0.5

0

0.5

1

1.5

2

2.5

Active Reticle Cooling(Reticle Heating FeedForward)

reduces reticle heating

PARIS sensor

measures overlay and

aberration fingerprint

at 7 points in the slit.

01011

11000

01001

ASCAL:

Application-specific

Lens heating calibration

as subrecipe

(LH correction feedforward).

2005 2008 2010 2013 2015 2018

XT:1400 XT:19x0i NXT:1950 NXT:1970i NXT:1980i NXT:2000i

LHFF / ASCAL TOP-RC FlexWave PARIS RHFF WHFF

IWAPS, Xiamen, ChinaOctober 2018

Active Wafer heating control

Reduce overlay impact

of dose-sensitive layers

Page 13: Immersion and dry scanner innovations to support next

Public

On-Scanner Wafer Heating ControlWafer Heating FeedForward : the next step in thermal control

4

Slide 13Slide 13

Thermo-mechanical model (TMM):

1- displacements are computed during scan at slit location

2- required corrections are determined and sent to lens and

stage actuator

Wafer Heating: thermo-mechanical

deformation of the wafer due to exposure light.

Uwh = D · RT · x(t)

D: dose, RT: reticle transmission, x(t): position (expose

meander)

Dose difference between layers results in

overlay fingerprint effects across wafer/die.

IWAPS, Xiamen, ChinaOctober 2018

Wafer heating impact: reduced from 2.2nm to 0.7nm

for 21mJ/cm2 effective Δ dose

Page 14: Immersion and dry scanner innovations to support next

Public

Setup

wafer

Setup

reticle

Supporting EUV to DUV cross-platform matchingHardware and design differences give rise to cross-matching overlay penalty

Slide 14

Setup

reticle

DUV: NXT:2000i EUV: NXE:3400B

Deep UltraViolet lithography:

• Wavelength: 193nm

• Refractive optics (lenses)

• Refractive reticle

• Reticle + wafers clamped

via gravity and vacuum

Extreme UltraViolet lithography:

• Wavelength: 13.5nm

• Reflective optics (mirrors)

• Reflective reticle

• Reticle + wafers clamped

electrostatically

Difference in lens

fingerprint DUV and

EUV scanner types

Different reticle stage

design in DUV and

EUV system

DUV pellicle

induces scan

dependent

fingerprint

Different wafer

table design

Setup and drift

control reticles need

to be matched.

Setup and drift control

wafers need to be

straight/matched

Setup

wafer

IWAPS, Xiamen, ChinaOctober 2018

Page 15: Immersion and dry scanner innovations to support next

Public

xMMO : (1.9,1.9) nm

NXT:2000i optimized for critical layer matching to EUV

Less than 2.0 nm Matched Overlay demonstrated for NXT:2000i to NXE:3400B

OVL (X,Y)

NXT:2000i MMO to ref 1.8,1.6 nm

NXE:3400 MMO to ref 1.2,1.3 nm

NXT to NXE matching 1.9,1.9 nm

• Setup done with latest grid

reference wafers

• NXT2000i layer exposed with

pellicle

• NXT (average population) lens

fingerprint correction and reticle

clamping fingerprint embedded in

Reticle Writing Corrections

Results per wafer

NXT:2000i NXE:3400B

NXT:2000i – NXE:3400B full wafer

Slide 15Slide 15

IWAPS, Xiamen, ChinaOctober 2018

Page 16: Immersion and dry scanner innovations to support next

Public

TWINSCAN DUV Scanner Roadmap - Immersion

2016 2017 2018 2019 2020 2021 2022 2023Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4

>300 WpH | 7 nm

Next Gen

250 WpH | 15 nm

>285 WpH | <1.5 nm

Next Gen

265 WpH | 7nm

XT:400M

230 WpH | 15 nm

XT:860N

300 WpH | 4 nm

NXT:2050i

285 WpH | 1.5 nm

PEP 250 WpH | 7 nm

NXT:2000i

BOOST 220 WpH | 7 nm

UVLS

XT:860M

240 WpH | 7 nm

BOOST 220 WpH | 7 nm

275 WpH | 2.0 nm

XT:400L

230 WpH | 20 nm

NXT:1470

205 WpH | 5 nm

XT:1460K

XT:400K

NXT:1980i

205 WpH | 5 nm

225 WpH

XT:860L

275 WpH | 2.5 nm

XT:1060K

ArF

KrF High NA

ArFi

i-line

KrF

TpT | MMO

Source: ASML BL DUV Product Management (06/18)

Slide 16Slide 16

NXT:2000i

• Optimized matching to EUV

• Improved on-product performance

• Suppressing wafer heating effects

NXT:2050i

• Productivity boost with new wafer stage

• Improved Edge Placement control

• Better higher-order intrafield overlay

Study

Development

ReleasedCurrent

Product

statusDefinition

IWAPS, Xiamen, ChinaOctober 2018

Page 17: Immersion and dry scanner innovations to support next

Public

TWINSCAN DUV Scanner Roadmap - Dry

2016 2017 2018 2019 2020 2021 2022 2023Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4

>300 WpH | 7 nm

Next Gen

250 WpH | 15 nm

>285 WpH | <1.5 nm

Next Gen

265 WpH | 7nm

XT:400M

230 WpH | 15 nm

XT:860N

300 WpH | 4 nm

NXT:2050i

285 WpH | 1.5 nm

PEP 250 WpH | 7 nm

NXT:2000i

BOOST 220 WpH | 7 nm

UVLS

XT:860M

240 WpH | 7 nm

BOOST 220 WpH | 7 nm

275 WpH | 2.0 nm

XT:400L

230 WpH | 20 nm

NXT:1470

205 WpH | 5 nm

XT:1460K

XT:400K

NXT:1980i

205 WpH | 5 nm

225 WpH

XT:860L

275 WpH | 2.5 nm

XT:1060K

ArF

KrF High NA

ArFi

i-line

KrF

TpT | MMO

Source: ASML BL DUV Product Management (06/18)

Slide 17Slide 17

Continuous innovation brings latest NXT technology to dry tools performance

Study

Development

ReleasedCurrent

Product

statusDefinition

IWAPS, Xiamen, ChinaOctober 2018

NXT WAFER STAGE • Magnetic planar drive• Productivity optimized sensor locations

Dry NXT Wafer Table• EUV matching, wear resistant

Alignment & Level Sensors• UV-Level-sensor• SMASH alignment sensor

System Metrology & Control• New lens model with less restrictions• Fading optimization• PARIS based lens control• Accurate grid setup from immersion

Projection Lens• Reduced heating (coatings & materials)• Lens distortion reduction

Continuous innovation brings latest NXT technology to dry tools performance

Page 18: Immersion and dry scanner innovations to support next

Public

Larger TopologyIncreased Wafer StressHigher Aspect Ratio

Processes

3D NAND manufacturing enabled on XT and NXTHigh aspect ratios, wafer stress and topologies require tool changes

Warpage & In-die Stress

Handling Capability

High Warp Performance

Overlay Control: YieldStar After Develop (ADI), After Etch (AEI), In-Device (IDM), Litho Insight

Process Stack, Hard Mask

Smash Mk3.3, ORION

Extend alignment colors

Leveling

Large Range Level Sensor

Intrafield Fingerprint Correction

Released

In Development

Legend:

KrF High Dose

UV Level Sensor NXT, XT

Overlay Optimizer 3Overlay Optimizer 2

Focus Control: Leveling Advisor, Imaging Optimizer 2, YieldStar DBF, Pattern Fidelity Control

Optimal Color Weighting PEP-Align

Device scaling

drives…

Slide 18

IWAPS, Xiamen, ChinaOctober 2018

Page 19: Immersion and dry scanner innovations to support next

Public

ASML’s suite of scanners enable product versatility at

lowest per-wafer costIWAPS, Xiamen, China

October 2018

Performance Cost

Slide 19

Shrink(Geometric scaling)

Special Applications(3D NAND)

CAPEX OPEX

Page 20: Immersion and dry scanner innovations to support next

Public

CAPEX saving through TwinScan NXT Upgrades

NAND

DRAM

Logic

190 WpH 230 WpH 275 WpH250 WpH

NXT:1960Bi NXT:1965Ci

OFP 1970

NXT:1980i

PEP NXT

PEP C

10

7

20-16

32-28 5.5nm

2.7nm

2.5nm

4.5nm

7nm

4nm

3.5nm

6.5nm

MMO OPO

4.5nm 6.5nm

3.5nm 5nmPEP C

UVLS

2.0nm 2.5nmNXT:2000iSNEP to 2000

5-3

SNEP to 1980

NXT:1950i

SNEP to 1970 NXT:1970Ci

Slide 20

IWAPS, Xiamen, ChinaOctober 2018

Page 21: Immersion and dry scanner innovations to support next

Public

CAPEX saving through TwinScan NXT UpgradesPEP-Output enhances wafer-per-day scanner output.

NAND

DRAM

Logic

190 WpH 230 WpH 275 WpH250 WpH

NXT:1960Bi NXT:1965Ci

OFP 1970

NXT:1980i

PEP NXT

PEP C

10

7

20-16

32-28 5.5nm

2.7nm

2.5nm

4.5nm

7nm

4nm

3.5nm

6.5nm

MMO OPO

4.5nm 6.5nm

3.5nm 5nmPEP C

UVLS

2.0nm 2.5nmNXT:2000iSNEP to 2000

5-3

SNEP to 1980

NXT:1950i

SNEP to 1970 NXT:1970Ci

+3-10% WPD*

PEP Output

PEP Output

PEP Output

PEP Output

PEP Output

* Actual gain depends on customer usage (Rate Efficiency)

Slide 21

IWAPS, Xiamen, ChinaOctober 2018

Page 22: Immersion and dry scanner innovations to support next

Public

Number of tools > 1.5 million WpYNumber of tools > 1 million WpY

140 Tools Achieved >1.5 Million wafers/year in 2017More than 750 systems reached above 1 million WpY

202153

114

3132017

754

2016

2007

2006

646

2015

550

2014

2009

2008

315

2011

244

2010

439

2013

366

2012

NXT

XT

2017

5

2012

2

140

2016

98

2015

53

2014

36

2013

NXT

XT

Holistic productivity approach will soon bring the 1st tool above 2 million WPY

Slide 22

IWAPS, Xiamen, ChinaOctober 2018

Page 23: Immersion and dry scanner innovations to support next

Public

These gigantic towers took years to build…ASML scanner’s annual output*: > 1km in wafers/tool (record: 1.4-1.5km)

Dubai(Burj Khalifa)

Taipei(Taipei101)

Shanghai(SH World

Financial Center)

Hong Kong(Int’l Commerce

Center)

Kuala Lumpur(Petronas

Towers)

Nanjing(NJ Greenland

Financial Complex)

Chicago(Willis

Towers)

Chicago(Trump Int’l

Hotel & Tower)

Shanghai(Jin Mao

Tower)

828m

508m 492m 484m452m 450m

442m

423m 421m

* >1.5M Wafers/year/scanner

Your Fab

1012mSlide 23

IWAPS, Xiamen, ChinaOctober 2018

with nanometer accuracy.

344m

XiamenCross Strait

Financial Centre

Page 24: Immersion and dry scanner innovations to support next

Public

Conclusions

• NXT immersion system extensions for n5 nodes and beyond.

• Continuously extend on-scanner overlay and focus improvements.

• Solutions in place for cross-platform matching DUV to EUV.

• Transfer of immersion NXT technology to a dry platform

• Drive productivity and overlay; maintain economic viable cost levels

• Solutions for 3D-NAND specific challenges

• Various alignment- & focus-control and dose (laser power) packages available.

Slide 24

IWAPS, Xiamen, ChinaOctober 2018

Page 25: Immersion and dry scanner innovations to support next

Public

Thank You