high-na euv lithography exposure tool...dose [mj/cm²] 57 45 39 lwr unb [ nm]3.1 3.0 2.9 z- factor...
TRANSCRIPT
Public
22 January 2021, EUV-FEL Workshop, Japan / Cloud
High-NA EUV Lithography Exposure Tool For EUV roadmap extension
Carl Zeiss SMT GmbH, Oberkochen, GermanyPaul Graeupner
ASML Veldhoven, The NetherlandsJan van Schoot, Jos Benschop, Sjoerd Lok, Eelco van Setten, Ruben Maas, Kars Troost, Jo Finders
Public
EUV product roadmap
0.33 NA, 13 nm
EUV
wafers/hours (wph) are based on 30mJ/cm²1) 185wph@20mJ/cm2 / 150wph@30mJ/cm²2) 170wph@20mJ/cm²3) Throughput upgrade
NA, Half pitchWavelength
Product: Matched Machine Overlay (nm)|Throughput(wph)
Product status DefinitionDevelopmentReleased
NXE:3600D
1.1 nm | 160 wph
NEXT
<1.1 nm | >220 wph
NXE:3400C
1.5 nm | 135 wph2 / 145 wph3
2020 2021 2022 2023 2024 2025
0.55NA, 8 nmEXE:5000
1.1 nm | 185 wph1
EXE:5200
<1.1 nm | >220 wph
EUVL Sep 2020
Slide 2
0.55NA enabling affordable scaling beyond current decade
0.33NA continuous imaging, overlay and productivity improvements in line
with customers advanced node HVM requirements.
Public
NXE productivity reached 170 wafers per hour Productivity at higher exposure dose continues to increase
SPIE Feb 2021
Slide 3
ATP test: 26x33mm2, 96 fields, 20mJ/cm2 / 30mJ/cm2
Thro
ughput [w
afe
rsper
hour]
170
160
150
180
140
110
100
90
80
70
60
50
40
30
20
10
0
130
120
‘14
Q1
‘14
Q2
‘14
Q3
‘14
Q4
‘15
Q3
‘15
Q4
‘16
Q2
‘16
Q4
‘17
Q1
NXE:3350B
at customers
‘17
Q3
‘17
Q3
‘18
Q1
NXE:3400B
at customers
NXE:3350B
ASML factory
NXE:3300B
at customers
NXE:3300B
NXE:3350B
NXE:3400B
NXE:3400B
ASML factory
NXE:3400B
ASML factory (proto)
‘19
Q1
‘19
Q2
NXE:3400C
At customers
NXE:3400B
at customers
‘19
Q3
NXE:3400C
ASML factory
‘19
Q4
‘20
Q1
‘21
Q1
NXE:3400C
24
NXE:3400C
ASML factory
Roadmap
> 200 wph
NXE:3400C
at customers
NXE:3600D
ASML factory
NXE:3600D
Public
EUV collector transmission degradation meeting targetAverage degradation rate 0.1%/GP on NXE:3400B/C
SPIE Feb 2021
Slide 4
NXE:3300B NXE:3350B NXE:3400B
2015 2017 2019 2020100
90
80
70
60
50
40
30
20
10
00 20 40 60 80
Colle
cto
r tr
ansm
issio
n [%
]
Gigapulse
Degradation rate [%/GP]
Power [W]
0.6
80
0.4
125
0.15
250
0.1 (average)
250
0 20 40 60 80 100 0 20 40 60 80 100 0 20 40 60 80 100 120 140 160
average
average
180 200
NXE:3400B/C NXE:3400C
<0.05 %/GP
>3x higher source power
>20% increase in average collector transmission
Improved availability due to longer collector lifetime
Public
Wafers exposed on EUV systems grows exponentially
SPIE Feb 2021
Slide 5
Q1 Q2 Q3 Q4 Q1
2020
Q2 Q3 Q4
2019
8545 533831 55 62 76
4 Mln
26 Mln
Cum
ula
tive
wafe
rsexposed
on E
UV
Nu
mb
er
of N
XE
:34
00
x s
yste
ms
sh
ipp
ed
(cu
mu
lative
)
Number of NXE:3400x systems
shipped (cumulative)
Public
Customer flagship products are powered by EUV
Source: https://www.samsung.com/semiconductor/minisite/exynos/products/mobileprocessor/exynos-9825/, https://consumer.huawei.com/en/campaign/kirin-990-series/
Public
Public
EUVL Sep 2020
Slide 7
Outline
High-NA agenda
• Why High-NA
• Infrastructure
• Architecture
• High-NA manufacturing
Summary
Public
13.5 EUVReso
luti
on
, n
m
= k
1x W
ave
leng
th/ N
A
Wavelength, nm
1985 1990 1995 2000 2005 2010 2015 2020
KrF (248nm)
ArF (193nm)
EUV (13.5nm)
10
100
1000 𝐶𝐷 = 𝑘1λ
𝑁𝐴
High-NA (13.5nm)
SPIE Febr. 2020
Slide 8
ArF Immersion (193nm)
Over 35 years 2 orders of magnitude resolution reductionby working on Wavelength, NA and k1
i-line (365nm)436 g-line365 i-line
248 KrF193 ArF and Immersion
NA+67%
XT:1400
NXT:1950i
NXE:3400
High-NA
NA+45%
Public
EUVL 2020
Slide 9
EXE platform to further enable affordable shrink (3 nm Logic)Total patterning cost comparison: immersion, NXE, EXE
LE LE-2 LE-3 LE-4 LE LE-2 LE-3 LE-4 LE LE
Immersion NXE (EUV 0.33NA) EXE (EUV 0.55NA)
(20mJ) (30mJ)
100
200
300
400
Rela
tive
co
st
pe
r la
ye
r
0
Non-litho cost
Litho cost
Source: Jan van Schoot et al. (ASML) ‘High-NA EUV lithography exposure tool progress’ SPIE 2019
Public
High-NA contrast reduces Local CDUkey to continue Moore’s law: Resist, Dose, Contrast
SPIE Febr. 2020
Slide 10
Whereby:
s = resist blur
Dsize = dose to size
ILS = image log slope
p = pitch
• Minimize Local variation by
• Improved resist: absorption ↑,
blur ↓ , chemical shot noise ↓
• Maximize contrast: high-NA,
advanced mask
Prone to fail
𝐿𝐶𝐷𝑈 = 3 ∙ℎ𝑣
𝑓 ∙ 𝛼 ∙ 𝐴𝑟𝑒𝑎∙
1
𝐷𝑠𝑖𝑧𝑒∙
2
𝐼𝐿𝑆
Resist Dose Contrast(blur/chemistry)
10nm CH, 20mJ/cm2 → 1000 photons
30% absorption → 300 photons
30% determines the edge → 100 photons
EUV comes with
less photons/J
Public
High-NA contrast reduces Local CDU and defectsKey to continue Moore’s law: Resist, Dose, Contrast
1:1 CH Ta Mask
MOR Annular scaled
45 mJ/cm²
0.0
2.0
2.5
11
3.0
13 15 17 19 21 23 25
LC
DU
3σ
[nm
]
Half Pitch [nm]
1.5
1.0
0.5
0.33NA
Att PSM 75 mJ/cm²
High-NA: smaller CH’s at same dose
resis
t
Slide 11
threshold
20nm 1:1 Contact Holes
x-position [nm]0 10 20 30 40
Aeria
l im
age in
tensity [au]
0.0
0.5
1.0
EUVL Sep 2020
Whereby:
a = resist absorption
f = proportionality factor with eg QE
Dsize = dose to size
ILS = image log slope
Area = area containing photons contributing to LCDU
𝐿𝐶𝐷𝑈 3𝜎, 𝑛𝑚 = 3 ∙ℎ𝑣
𝑓 ∙ 𝛼 ∙ 𝐴𝑟𝑒𝑎∙
1
𝐷𝑠𝑖𝑧𝑒∙
2
𝐼𝐿𝑆
Dose ContrastResist
Yen, Hansen, EUVL workshop (2018)
• Edge photons and contrast determine hole size variability
• Center photons open developer path to bottom “pipe cleaners”
• High-NA improves both aspects
0.55NA
20nm 1:1 Contact Holes
0.0
0.5
1.0
0 10 20 30 40
x-position [nm]
Prone to fail
Public
EUVL Sep 2020
Slide 12
20nm CH’s: Defects down by several ordersImpact of High-NA 2: more center photons
Gijsbert Rispens, SPIE AL (2020)
0 10 20 30 400.0
0.2
0.4
0.6
0.8
1.0
X position [nm]
0.55NA 33 mJ/cm²
Ae
ria
lim
ag
e in
ten
sity
(no
rm.)
0 10 20 30 40
X position [nm]
0.33NA 45 mJ/cm²
Ae
ria
lim
ag
e in
ten
sity
(no
rm.)
0.0
0.2
0.4
0.6
0.8
1.0
~ 2x photons
open
closed
17.5 25.0
-710
-510
-310
-110
pro
babili
ty
20.0 22.5 27.5
Proadblock = 3.2e-06
17.5 25.020.0 22.5 27.5
CD [nm]CD [nm]
Printing contact holes
Roadblocks
Bridging holes
Proadblock ~ 0
10lo
g(D
efe
ct
rate
)
Amount of center photons [a.u.]
+ 10% photons ~ 1 order less defects
De Bisschop, JM3 (2018)
0.33NA 45 mJ/cm² 0.55NA 33 mJ/cm²
Public
14nm CH’s: 0.55NA/SE expected to be better than 0.33NA/DE
Based on aerial images
0.3
3 N
A0.5
5 N
A
+
0 10 20 30 400.0
0.2
0.4
0.6
0.8
1.0
X position [nm]
Aerialim
age [a.u
.]
aerial image intensity
EXE:5000
NXE:3400
EUVL Sep 2020
Slide 13
Slope 1.35x and local photons 1.4x
Prediction: LCDU and defectivity
better despiteSE at smaller resolution
Sin
gle
Exp
ose
Do
ub
le E
xp
ose
Public
EUVL Sep 2020
Slide 14
Outline
High-NA agenda
• Why High-NA
• Infrastructure
• Architecture
• High-NA manufacturing
Summary
Public
2018 2019 2020
Dose [mJ/cm²] 57 45 39
LWRunb [nm] 3.1 3.0 2.9
Z-factor [10-6mJ nm³] 1.2 0.9 0.7
2018 2019 2020
Dose [mJ/cm²] 66 65 53
LCDU [nm] 2.7 2.2 2.1
Z-factor [10-6mJ nm³] 3.8 2.5 1.9
EUVL Sep 2020
Slide 15
Continuous resist improvement for multiple use casesProgress is needed moving forward
EUVJ-2107
Non-CAR Non-CAR Non-CAR
Resolution: (P26 Linesand Spaces)
Resist type1
1Resist system: CAR Chemical Amplified resist
All data exposed with NA=0.33 scanner
EUVJ-2107
Non-CAR Non-CAR CARResist type1
2013 2015 2017 2019 2020
0
1
2
3
4
Z-F
acto
r [1
0-6
mJ n
m³]
2014 2016 20182012
P32 LS
P26 LS
2019 2020
0
1
2
3
4
Z-F
acto
r [1
0-6
mJ n
m³]
2018
P40 CH
Lines and Spaces Contact Holes
CARNon CAR
*Z-factor = Res3 x LWR2 x Dose
Z-factor comparison only valid at
equal contrast
Resolution: (P40 Hexagonal Pillars/CH)
Public
2018 2019 2020
Dose [mJ/cm²] 57 45 39
LWRunb [nm] 3.1 3.0 2.9
Z-factor [10-6mJ nm³] 1.2 0.9 0.7
2018 2019 2020
Dose [mJ/cm²] 66 65 53
LCDU [nm] 2.7 2.2 2.1
Z-factor [10-6mJ nm³] 3.8 2.5 1.9
EUVL Sep 2020
Slide 16
Continuous resist improvement for multiple use casesProgress is needed moving forward
EUVJ-2107
Non-CAR Non-CAR Non-CAR
Resolution: (P26 Linesand Spaces)
Resist type1
1Resist system: CAR Chemical Amplified resist
All data exposed with NA=0.33 scanner
EUVJ-2107
Non-CAR Non-CAR CARResist type1
2013 2015 2017 2019 2020
0
1
2
3
4
Z-F
acto
r [1
0-6
mJ n
m³]
2014 2016 20182012
P32 LS
P26 LS
2019 2020
0
1
2
3
4
Z-F
acto
r [1
0-6
mJ n
m³]
2018
P40 CH
Lines and Spaces Contact Holes
CARNon CAR
*Z-factor = Res3 x LWR2 x Dose
Z-factor comparison only valid at
equal contrast
Resolution: (P40 Hexagonal Pillars/CH)
Non-CAR CAR
HP12nm Lines and Spaces
Public
PSI High-NA resist evaluation for lines and pillars/CH
Resolution [hp] 13nm 12nm 11nm 10nm
Inorganic Resist 75 mJ/cm²58 mJ/cm²62 mJ/cm²
58mJ/cm²1.7nm
EUVL Sep 2020
Slide 17
9nm 8nm
82 mJ/cm²59 mJ/cm²
Chemically Amplified
Resist (CAR)
Inorganic Resist
Chemically Amplified
Resist (CAR)
Resolution [hp] 24nm 20nm 18nm
16 mJ/cm² 21 mJ/cm²
19 mJ/cm² 33 mJ/cm²
22nm
22 mJ/cm²
17 mJ/cm²
58 mJ/cm² 52 mJ/cm²
Ongoing imaging benchmarking of High-NA tools (PSI, BMET5, NXE)
Reference:
Contrast-loss investigation for the charactierization of resist and exposure tool performances Timothée Allenet, SPIE-AL, 11517-16
Public
CXRO/LBNL shows resist capability for Lines and Pillars
EUVL Sep 2020
Slide 18
CAR
Lines and Spaces
Resolution (nm)
11nm 10nm
Inpria Resist
Lines and Spaces
9nm 8nm
Inpria Resist
Regular Pillars
Illumination
F2X frequency
doubling
13nm 12nm 11nm 8nm
Inpria Resist
Tip-2-TipsSMO
MP
Resist & Feature
14P28 14P35
F2X frequency
doubling
12nm13nm
C. Anderson et al., CXRO, SPIE 2020
Public
EUVL Sep 2020
Slide 19
Outline
High-NA agenda
• Why High-NA
• Infrastructure
• Architecture
• High-NA manufacturing
Summary
Public
EUVL Sep 2020
Slide 20
High-NA system architecture finalized
New Frames
Improved thermal and dynamic
control with larger optics
Lens & illuminator
• NA 0.55 for high contrast
• High transmission
Improved Source position
Allows for larger transmission,
compatible with 0.33 NA
Wafer Stage
2x increase in acceleration
Mask Stage
4x increase in acceleration
Improved metrology
2~3x improvement in overlay/focus
Public
EUV High-NA requires an anamorphic lens
0.33NA
0.55NA iso
0.55NA ana
ML reflection
Mask MoSi Multilayer
Angle of incidence [deg]
Re
fle
ctio
n
0 5 10 15 200
0.1
0.2
0.3
0.4
0.5
0.6
0.7
104 mm
13
2 m
m Mask
4x
26 mm
33
mm
0.33NA
Wafer 0.33NA prints a
Full Field
4x/8x
0.55NA
16
.5 m
m
QF0.55NA prints
a Half Field11 deg required
for 0.33NA
~11deg
0.33NA
~8deg
~18deg
0.55NA iso
~8deg
~9deg
0.55NA ana
Note: for simplicity M3D effects
are ignored, only multi-layer
effect taken into account
SPIE Febr. 2020
Slide 21
Public
SPIE Febr. 2020
Slide 22
High-NA brings throughput >185 wphFast stages enable high throughput
Acceleration of wafer stage ~2x..
Acceleration of mask stage ~4x..
WS, RS current
performance
WS 2x, RS 4x
HF
FF
35
Th
rou
gh
put [3
00
/hr]
Source Power/Dose [W/(mJ/cm²]
Throughput for various source
powers and doses
0.33NA
High-NA
0 5 10 15 20 25 30
200
180
160
140
120
100
80
60
40
20
0
300W Watt
20mJ/cm²
Public
Recent EUV power improvement allows higher doseServicing concept for high-NA identical to 0.33NA source
SPIE Febr. 2020
Slide 23
Continuous source improvements:• Allow for more throughput
• Allow for more dose
• Improved Local CDU
So
urc
e p
ow
er
[W]
2008 2010 2012 2014 2016 2018 2020 2022 2024
Target
Future target
Development
Production
Modularity of the vessel reduces repair times
100
0
200
300
400
500
Public
High-NA projection optics design availableLarger elements with tighter specifications
NA 0.33 NA 0.55
Design examples
Extreme aspheres enabling
further improved wavefront / imaging performance
Big last mirror driven by
High-NA
Obscuration enables
higher optics Transmission Mask level
Wafer level
SPIE 2019
Slide 24
Public
High NA optics design supports
significant reduction in
wavefront RMS
systems
rms
[nm
]
NXE:3350B NXE:3400B
High NA
NXE:3300B
Public
EUVL Sep 2020
Slide 25
Full wafer and tool-to-tool CD control well below 10% CDBalanced performance budgets for many different use-cases and pupils
CD
err
or
[%]
0.0%
2.0%
4.0%
6.0%
8.0%
10.0%
12.0%
CDUProximity matching
Public
EUVL Sep 2020
Slide 26
Outline
High-NA agenda
• Why High-NA
• Infrastructure
• Architecture
• High-NA manufacturing
Summary
Public
Top Module Shift-in Shift-out test successful18 tons moves at mm-level accuracy
EUVL Sep 2020
Slide 27
Public
EUVL Sep 2020
Slide 28
Reticle stage short stroke module manufacturing progressing
Manufacturing of
cooling channelsFinalized
bottom plate
First Reticle Stage
short stroke
Public
EUVL Sep 2020
Slide 29
Long stroke motor mask stage risk build-down
Moving at NXE:3400 profile Moving at EXE:5000 profile (4x) Model verification
- modeled- measured
Public
EUVL Sep 2020
Slide 30
Wafer stage cable slab guiding concept in testDynamical testing ok
Slab test Y-axes Slab test X-axes Crash test
Public
EUVL Sep 2020
Slide 31
Wafer stage modules being manufactured
Wafer stage
design ready
Wafer stage position
module manufactured
Proto Actuator Coils
for testingMirror block manufacturing started
Public
First images taken with High-NA ILIAS proto sensorNew ILIAS-sensor with improved marks for High-NA
first image
High-NA ILIAS proto sensor
installed in NXE:3400 WS
Zernike #
-0.1
-0.2
-0.3
0.0
0.1
0.2
First aberration
scan results (@ 0.33NA )
EUVL Sep 2020
Slide 32
Public
Ensure impact
of Main Frame on
POB in spec
EUVL Sep 2020
Slide 33
Good progress with EXE frame development Large frames modeled, improved welding
Frame
construction
(welding)
Traditional welding:
4 weeks / frame
Robotized E-beam welding:
3 days / frame
~5cm
34x !
time
Public
EUVL Sep 2020
Slide 34
Bottom Base Frame manufacturing has started
System for final machining
• Sub blocks pre-machined
• Welded to one piece with Electron Beam welding
• After welding the total frame is fine machined on large milling center
• Final machining planned later this year
Pre-machining started April ‘20
Public
EUVL Sep 2020
Slide 35
Top Frame machining on trackStatus May 2020
Phase 1 (May 2020):
top frame mono rough machining
Above is a picture of the first top
frame being prepped for
rough machining
Public
EUVL Sep 2020
Slide 36
First mirror groundAt this moment many more mirror in manufacturing
Phase 1 (May 2020):
top frame mono rough machining
Public
Slide 37
EXE:5000 mirror metrology is operational Measuring a 1000kg anamorphic mirror with picometer accuracy
Setup station Outer Handling Vacuum Chamber Assembly & Qualification Location
Thermalization room
Port outer handling
Mirror transfer robot (1)
Mirror storage Mirror transfer robot (2)
Mirror transfer system
Load lock Vacuum chamber
(measure cell)
Service and
maintenance station
Mirror flatness
measurement
EUVL 2020
Public
EUVL Sep 2020
Slide 38
Mirror Metrology results
- =
High-NA metrology 3400 metrology
12.4 nm RMS(w/o Z1-Z4)
difference
1st polishing loop
Part corrected during
1st polishing loop
1st crosscheck with
known NXE:3400 mirror
High-NA mirror
Public
EUVL Sep 2020
Slide 39
Reproducibility testsAir turbulences successfully eliminated by transition to vacuum
AIR VACUUM
Sa
me
sca
le
Further improve dynamics effects by
Better mounting
Optimizing exposure time and
number of images
Rigid body movement correction
Air turbulences Tilts due to rigid body vibrations
Public
EUVL Sep 2020
Slide 40
EXE:5000 system global design completedSolid progress on system design and optics development and – manufacturing
Zeiss Oberkochen
CZO facility
Mirror manufacturing
started
High-NA optics metrology equipment
in cleanroom at Zeiss SMT
Building the optics
assembly hall
Full-scale High-NA
mirror ready for
polishing
Public
EUVL Sep 2020
Slide 41
High-Na cleanroom constructions in progress
Wilton
High-NA Cabins to be
delivered according plan
Wilton Factory EXE:5000 Addition
Current stateVeldhoven
Public
EUVL Sep 2020
Slide 42
Outline
High-NA agenda
• Why High-NA
• Infrastructure
• Architecture
• High-NA manufacturing
Summary
Public
EUVL Sep 2020
Slide 43
Summary
Resist
EUV 0.33NA is used in volume production, adoption continues to grow
• Adoption continues to grow to reduce pattering complexity and cost
• Used for 7nm and 5nm Logic nodes, 10nm-class DRAM
• Productivity is improving to beyond 3000 WpD capability
High-NA is logical roadmap extension by improving contrast
• LCDU and defect print rate reduced by improved contrast, dose and resist
• Contrast is improved by a new anamorphic lens; imaging well controlled
• Throughput at higher dose maintained by transmission and source power
High-NA EUV Scanner realization is in full progress
• Feasibility of stages, sensors and many more demonstrated
• System design available, manufacturing of modules ongoing
• Optics design finalized, mirror metrology in place,
manufacturing has started
Public
EUV 0.33NA is used in volume production, adoption continues to grow
• Adoption continues to grow to reduce pattering complexity and cost
• Used for 7nm and 5nm Logic nodes, 10nm-class DRAM
• Productivity is improving to beyond 3000 WpD capability
High-NA is logical roadmap extension by improving contrast
• LCDU and defect print rate reduced by improved contrast, dose and resist
• Contrast is improved by a new anamorphic lens; imaging well controlled
• Throughput at higher dose maintained by transmission and source power
High-NA EUV Scanner realization is in full progress
• Feasibility of stages, sensors and many more demonstrated
• System design available, manufacturing of modules ongoing
• Optics design finalized, mirror metrology in place,
manufacturing has started
EUVL Sep 2020
Slide 44
Summary
Resist
0 10 20 30 400.0
0.2
0.4
0.6
0.8
1.0
X position [nm]
Aerial im
age [a.u
.]
aerial image intensity
High contrast puts
the photons where you need them
Public
Thank you for your
attention
This work was funded by the European Commission and the Federal Ministry of Education and Research (Germany) by the ECSEL JU Grant
Agreement SeNaTe (662338, FKz 16ESE0036K), TAKE5 (692522, FKz 16ESE0072K), TakeMi5 (737479), and TAPES3 (783247, FKz 16ESE0287K).
Special thanks goes to:
• Jara Garcia Santaclara
• Joost van Bree
• Gunes Nakiboglu
• Pieter de Groot
• Jason Steward
• Joost van Bree
• Chrysostomos Batistakis
• Bernardo Oyarzun
• Michael Renders
• Rob van Ballegoij
• Claire van Lare
• Rik Hoefnagels
• Lidia van Lent
• Zuhal Tasdemir, PSI
• Patrick Naulleau, CXRO
• Chris Anderson, CXRO
• Bram Slachter
• Gijsbert Rispens
• Gerardo Bottiglieri
• Jeannot Driedonkx
And the High-NA teams in Wilton,
San Diego, Oberkochen, and Veldhoven