engg 1203 tutorial -1 - university of hong kongengg1203/sp18/handouts/... · engg 1203 tutorial -1...

9
ENGG 1203 Tutorial - 1 Introduction to Electrical and Electronic Engineering – 2017-18 Second Semester Time and Venue Tutorial Class: Fri. 14:30 – 15:20, KK-202 Preview Labs & Review Tutors : W.Y. Cheung (CB-517), Soni Niraj KUMAR, MENG Nan, REN Zhenbo, and SHI Runbin Office hours : Lab Chow Yei Ching, CB-LG205, Thu, Fri; 15:30-17:30 Contact TAs and teachers via Piazza Tutorial materials: https://www.eee.hku.hk/~engg1203/sp18/calendar.html 1 2 Electrical Electronics Computer Engineering Combination logic Embedded system Sequential logic Smartphones https://www.slideshare.net/Yole_Developpement/sensors-for-cellphones-and-tablets-2016-report-by-yole-developpement

Upload: others

Post on 23-May-2020

8 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: ENGG 1203 Tutorial -1 - University of Hong Kongengg1203/sp18/handouts/... · ENGG 1203 Tutorial -1 Introduction to Electrical and Electronic Engineering – 2017-18 Second Semester

ENGG 1203 Tutorial - 1Introduction to Electrical and Electronic Engineering – 2017-18 Second Semester

Time and VenueTutorial Class: Fri. 14:30 – 15:20, KK-202

Preview Labs & Review

Tutors : W.Y. Cheung (CB-517), Soni Niraj KUMAR, MENG Nan, REN Zhenbo, and SHI RunbinOffice hours : Lab Chow Yei Ching, CB-LG205,

Thu, Fri; 15:30-17:30

Contact TAs and teachers via Piazza

Tutorial materials: https://www.eee.hku.hk/~engg1203/sp18/calendar.html 1 2

Electrical Electronics

Computer Engineering

Combination logic

Embedded system

Sequential logic

Smartphones

https://www.slideshare.net/Yole_Developpement/sensors-for-cellphones-and-tablets-2016-report-by-yole-developpement

Page 2: ENGG 1203 Tutorial -1 - University of Hong Kongengg1203/sp18/handouts/... · ENGG 1203 Tutorial -1 Introduction to Electrical and Electronic Engineering – 2017-18 Second Semester

Smart Car

https://www.shofior.com/wordpress/self-driving-car-how-it-works/?lang=en

Embedded system

http://4.bp.blogspot.com/-9uyAddERsVc/UUNnpm7B8_I/AAAAAAAAD-o/09j6rmzE0BM/s1600/Examlpes.gif

Voltage Current Resistance Voltage

potential between two points Current

flux of electron Resistance

pipe size for current

- Resistance Capacitance Inductance, ….- Physical /Chemical processes : thermal

(heat), photo (light), pressure (touch), ……..7

Analog vs Digital

https://ask.audio/articles/analog-vs-digital-the-psychology-science-behind-the-most-contentious-debate-in-audio-part-2

http://www.racketboy.com/forum/viewtopic.php?p=1005514

8

Page 3: ENGG 1203 Tutorial -1 - University of Hong Kongengg1203/sp18/handouts/... · ENGG 1203 Tutorial -1 Introduction to Electrical and Electronic Engineering – 2017-18 Second Semester

Laboratory Pre-lab, read lab sheet

https://www.eee.hku.hk/~engg1203/sp18/calendar.html

Logisim◦ Logic Simulator◦ Freeware, use for homework and lab◦ http://www.cburch.com/logisim

Xilinx ISE◦ https://china.xilinx.com/ ◦ Program the Field Programmable Gate Array (FPGA) board

FPGA◦ Reconfigurable interconnects ◦ Hardware to control

9

Recall : Lab 1

THREE input XOR ??10

11

Lab 1 ODD detector• Programmable inverter

Lab 2• ADDER

• Half adder• Full adder

Input a, bOutput S, Co (carry)

• D Flip-Flop - CLOCK ??

• Timing diagram12

Page 4: ENGG 1203 Tutorial -1 - University of Hong Kongengg1203/sp18/handouts/... · ENGG 1203 Tutorial -1 Introduction to Electrical and Electronic Engineering – 2017-18 Second Semester

Logic level : 0 and 1

13http://www.ti.com/lit/sg/sdyu001aa/sdyu001aa.pdf

Logic Gates

1 to 2 connected =1 y = a.b

y = a+b

14

(Not AND, Not OR)

15

Exclusive OR

16

Page 5: ENGG 1203 Tutorial -1 - University of Hong Kongengg1203/sp18/handouts/... · ENGG 1203 Tutorial -1 Introduction to Electrical and Electronic Engineering – 2017-18 Second Semester

SOP and POS

17

Law & Theorems of Boolean Algebra

18

19SOP POS

Consensus Theorem xy + yz +x’z = xy + yz(x+x’) + x’z

= xy + xyz + x’yz + x’z= xy (1+z) + x’z (1+y)= xy + x’z

[ multiple with 1 = x+x’ ]

(x+y)(x’+z)(y+z) = (x+y)(x’+z)(y+z+xx’)= (x+y)(x’+z)(y+z+x)(y+z+x’)= [(x+y)(x+y+z)] [(x’+z)(x’+y+z)]= (x+y)(1+z) (x’+z)(1+y)= (x+y)(x’+z)

[ Add 0 = xx’ ]20

a + bb’= (a + b) (a + b’)= aa + ab + ab’ + bb’= a (1 + b + b’) + bb’= a + bb’

Page 6: ENGG 1203 Tutorial -1 - University of Hong Kongengg1203/sp18/handouts/... · ENGG 1203 Tutorial -1 Introduction to Electrical and Electronic Engineering – 2017-18 Second Semester

Question 1

21

Solution 1

22

23

Question 2

24Try to draw the circuit for the right side

Page 7: ENGG 1203 Tutorial -1 - University of Hong Kongengg1203/sp18/handouts/... · ENGG 1203 Tutorial -1 Introduction to Electrical and Electronic Engineering – 2017-18 Second Semester

Question 3

25

Question 4

26

(SOP)

Question 5

27

Question 6

28

• Convert truth table to Boolean expressions and logic circuit

Page 8: ENGG 1203 Tutorial -1 - University of Hong Kongengg1203/sp18/handouts/... · ENGG 1203 Tutorial -1 Introduction to Electrical and Electronic Engineering – 2017-18 Second Semester

Use DeMorgan's Theorem for simplification

Question 7

29

Add redundant terms

multiple by 1 = A + A’

Add 0 = A A’

AB + BC +A’C = AB + BC (A+A’) + A’C= AB + ABC + A’BC + A’C= AB (1+C) + A’C (1+B)= AB + A’C

(A+B)(A’+C)(B+C) = (A+B)(A’+C)((B+C)+ AA’ )= (A+B)(A’+C)(B+C+A)(B+C+A’)= (A+B)((A+B)+C)(A’+C)((A’+C)+B)= (A+B)(A’+C)

Recall : Simplification methods

30

Question 8 From circuit to Boolean expression

31

By Logisim

32

Page 9: ENGG 1203 Tutorial -1 - University of Hong Kongengg1203/sp18/handouts/... · ENGG 1203 Tutorial -1 Introduction to Electrical and Electronic Engineering – 2017-18 Second Semester

Example 9 Which is the output of the following digital

circuit ?

33

Ans : A

A+B

B+C

B’B’+ C

A+C

(A+B)(A+C)

(B’+C)(B+C)

…. A+BC

….. C

CBCAY )(

CBAY )(........

34

Example 10 Which is the output of the following digital

circuit ?x+y’

x’+y

x’+y’

x’+y’+z

(x+y’)(x’+y) ….xy+x’y’

xy+x’y’+z

(xy+x’y’+z)(x’+y’+z)

Ans : A

OR E

Example 11 Which of the following is equivalent to

?

35

zyx )(

Ans : A

OR E

Example 12 Which of the following circuit correctly represents

the function of the following truth table ?

36

Ans : E- END -