download v.11, issue 5, june / july 2016

110
semiconductorTODAY COMPOUNDS & ADVANCED SILICON Vol.11 • Issue 5 • June/July 2016 www.semiconductor-today.com ISSN 1752-2935 (online) Infineon acquiring Wolfspeed•Hanergy launches solar vehicles Kyma & Quora team on GaN substrates •imec Florida opens Optoelectronic integration on silicon Optical control of 2D semiconductor bandgap Optoelectronic integration on silicon Optical control of 2D semiconductor bandgap

Upload: truongquynh

Post on 14-Feb-2017

226 views

Category:

Documents


2 download

TRANSCRIPT

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O NVol.11 • Issue 5 • June/July 2016 www.semiconductor-today.com

ISSN 1752-2935 (online)

Infineon acquiring Wolfspeed•Hanergy launches solar vehiclesKyma & Quora team on GaN substrates•imec Florida opens

Optoelectronic integration on silicon Optical control of 2Dsemiconductor bandgap

Optoelectronic integration on silicon Optical control of 2Dsemiconductor bandgap

Introducing Veeco’s new TurboDisc® EPIK700™ GaN MOCVD system As global consumption for LED general lighting accelerates, manufacturers need bigger, better MOCVD technology solutions that increase productivity and lower manufacturing costs. The EPIK700 MOCVD system combines Veeco’s award-winning TurboDisc reactor design with improved wafer uniformity, increased productivity and reduced operations expenses to enable a cost per wafer savings of up to 20 percent compared to previous systems. It also features a reactor with more than twice the capacity of previous generation reactors. This increased volume coupled with productivity advancements within the EPIK700 reactor, results in an unmatched 2.5x throughput advantage over previous reactors. Learn how Veeco’s TurboDisc EPIK700 GaN MOCVD system can improve your LED manufacturing process today. The advantage is not just big. It’s EPIK.

Contact us at www.veeco.com/EPIK700 to learn more.

Another breakthrough from Veeco. This time it’s EPIK.

Veeco’s New TurboDisc EPIK700 GaN MOCVD System

Faster LED Adoption

Lower Cost LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

3

contentsEditorial 4

Markets News 6 Wide-bandgap power device market to grow at 33% annually to 2025• GaAs device market to grow at 4.14% CAGR until 2020Microelectronics News 10II-VI sells Anadigics RF assets for $45m • Qorvo cuts production lineinterruptions by over 60% • REFERENCE project to extend RF-SOIfor above-1Gb/s 4G+ wireless communication front-end modules Wide-bandgap electronics News 18Infineon acquiring Wolfspeed • Rohm launches 1700V SiC MOSFET •SDK expands SiC epi capacity • Toyoda Gosei develops high-voltageGaN power semiconductor device Materials and processing equipment News 30Kyma and Quora partner on GaN substrates • imec Florida opens •Changelight qualifies AIX R6 • Samco opens second production center LED News 48 Osram acquiring automotive LED module maker Novità • SeoulViosys wins US UV-LED patent lawsuit • SemiLEDs sells 20% stake Optoelectronics News 60 UK’s Artemis demos optical control of 2D semiconductor bandgap •Glasgow to help develop Shanghai Lingang opto base; PIC Lab founded•Stringfellow receives Frank PrizeOptical communications News 64 Almae takes over III-V Lab Marcoussis •Emcore appoints new CFOPhotovoltaics News 70 Hanergy launches full-solar-power vehicles • ZSW regains thin-filmsolar cell efficiency record with 22.6% • First Solar shifts Malaysianproduction capacity to Series 5 CdTe PV module assembly

Technology focus: Optoelectronics integration 78Integrating silicon photonics and III-V photodetectors

Technology focus: III-Vs on silicon 80Direct growth of InAs quantum dots on silicon

Technology focus: Optoelectronics 86Epi growth of laser diodes on wafer-bonded InP/Si substrates

Technology focus: Nitride optoelectronics 88Growing crystalline GaN nanowires on flexible titanium foil

Technology focus: Nitride LEDs 90Quaternary superlattice for electron-blocking layers

Technology focus: Nitride LEDs 92Thin-barrier quantum wells increase bandwidth of cyan LED

Technology focus: Nitride electronics 94Speed up for InGaN two-dimensional electron gas

Technology focus: Wide-bandgap electronics 96Hybrid III-nitride and SiC high-voltage power transistors

Market focus: SiC power devices 99SiC power market growing at CAGR of 19% to 2021

Suppliers’ Directory 102

Event Calendar and Advertisers’ Index 108

Vol. 11 • Issue 5 • June/July 2016

p24GaN MISHEMT manufacturer VisIChas launched its new generation ofALL-Switch devices.

p41 Oxford Instruments has launcheda molybdenum disulphide growthprocess development using itsNanofab nanoscale growth system.

p70 China-based thin-film solar powerfirm Hanergy has launched four full-solar-power vehicles.

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O N

Cover: An interna-tional collaborationworking on Artemis— the ultrafast laserand XUV sciencecapability of the UK Science andTechnology Facilities

Council’s Central Laser Facility — hasshown how the electronic properties of a2D semiconductor can be controlled bylight. p60

This issue’s feature article focuses on the topic of integrating III-V materialsdirectly on silicon to enable the integration of optoelectronic functionality onsilicon substrates without the need for wafer bonding (see pages 80–85).Specifically, we focus on laser and photodiode devices incorporatingindium arsenide (InAs)/gallium arsenide (GaAs) quantum dots (next issuewe cover the integration of nitride-based optoelectronics on silicon). In addition, on pages 78–79 we report research on integrating a 3.8μm

mid-infrared antimonide-based (InAs0.91Sb0.09) photodiode array with asilicon-on-insulator (SOI)-based arrayed waveguide grating (AWG) usingwafer bonding (facilitating the spectroscopic analysis of organic compounds).Also, on pages 86–87 we report what is claimed to be the first time thatan epitaxially grown gallium indium arsenide phosphide/indium phosphide(GaInAsP/InP) double-heterostructure laser diode has been demonstratedon a wafer-bonded InP/Si substrate. Regarding the increasing developments in two-dimensional materials for

optoelectronic applications, Germany-based deposition equipment makerAixtron is working with five partners in the EU-funded HEA2D project toinvestigate the production, qualities and applications of 2D nanomaterials,including a sub-project involving researching (MO)-CVD processes andsystems technology for depositing optically active 2D semiconductors. Also, the UK’s Oxford Instruments has launched a molybdenum disulphide

(MoS2) growth process developed using its Nanofab nanoscale system,which is also capable of depositing other 2D transition-metal dichalcogenide(TMDC) materials such as WS2, MoSe2 etc (see page 41). An international collaboration working on Artemis — the ultrafast laser

and XUV science capability of the UK Science and Technology FacilitiesCouncil’s Central Laser Facility — has shown how the electronic propertiesof a 2D semiconductor (including its energy bandgap) can be controlled(i.e. tuned) by light, specifically how a MoS2-graphene heterostructurecould open new applications for 2D optoelectronic devices (see page 60). Regarding recent business developments in microelectronics, in mid-July

Germany’s Infineon Technologies agreed the $850m acquisition of theWolfspeed Power & RF division of LED maker Cree Inc of Durham, NC, USAincluding its associated silicon carbide (SiC) wafer substrate business forpower and RF power (see page 21). Wolfspeed’s SiC-based power andGaN-on-SiC-based RF power product portfolio supplements Infineon’sexisting range of SiC-based power devices and complements its acquisitionin January 2015 of US-based International Rectifier Corp, which focusedon GaN-on-Si power semiconductor devices. “With Wolfspeed we willbecome number one in SiC-based power semiconductors. We also want tobecome number one in RF power,” says Infineon’s CEO Dr Reinhard Ploss. The motivation for such consolidation is shown by a forecast that the

wide-bandgap power semiconductor device market is rising at acompound annual growth rate (CAGR) of about 33% from $210m in 2015to $3.7bn in 2025, with SiC comprising more than $3bn and GaN $600m,according to IHS Technology (see page 9). Mark Telford, Editor

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

4

editorialsemiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O N

Editor Mark TelfordTel: +44 (0)1869 811 577 Cell: +44 (0)7944 455 602 Fax:+44 (0)1242 291 482

E-mail: [email protected]

Commercial Director/Assistant EditorDarren Cummings Tel: +44 (0)121 288 0779Cell:+44 (0)7990 623 395Fax:+44 (0)1242 291 482E-mail: [email protected]

Advertisement SalesDarren Cummings Tel: +44 (0)121 288 0779Cell:+44 (0)7990 623 395Fax:+44 (0)1242 291 482E-mail: [email protected]

Original design Paul Johnsonwww.higgs-boson.com

Semiconductor Today covers theR&D and manufacturing ofcompound semiconductor andadvanced silicon materials and devices(e.g. GaAs, InP and SiGe wafers, chipsand modules for microelectronic andoptoelectronic devices such as RFICs,lasers and LEDs in wireless and opticalcommunications, etc).

Regular issues contain:● news (funding, personnel, facilities,

technology, applications and markets); ● feature articles (technology, markets,

regional profiles); ● conference reports; ● event calendar and event previews; ● suppliers’ directory.

Semiconductor Today (ISSN 1752-2935)is published free of subscription chargein a digital format 10 times per year by Juno Publishing and Media Solutions Ltd,Suite no. 133, 20 Winchcombe Street,Cheltenham GL52 2LY, UK. See: www.semiconductor-today.com/subscribe.htm

© 2016 Juno Publishing and MediaSolutions Ltd. All rights reserved.Semiconductor Today and the editorialmaterial contained within is the copyrightof Juno Publishing and Media Solutions Ltd.Reproduction in whole or in part withoutpermission is forbidden. In most cases,permission will be granted, if the author,magazine and publisher are acknowledged.

Disclaimer: Material published withinSemiconductor Today does not necessarilyreflect the views of the publisher or staff.Juno Publishing and Media Solutions Ltdand its staff accept no responsibility foropinions expressed, editorial errors anddamage/injury to property or persons asa result of material published.

Opto integration on silicon, andconsolidation in wide-bandgappower and RF

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

6

news

The global market for light-emittingdiode (LED) luminaires for horticul-tural applications is expected togrow to $2.8bn by 2024, accordingto the report ‘LED Lighting for Hor-ticultural Applications’ from Navi-gant Research. The next five years are expected

to bring great opportunity for light-ing companies as new indoor grow-ing facilities are built and as newhorticultural market entrants makedecisions on available brands.Despite being more expensive thanother technologies, LEDs are now

the preferred lighting technology inan increasing number of facilities,because of advantages that canincrease energy efficiency and cropyields, notes the report. “The United States and Canada

are seeing some of the fastestadoption rates for LEDs in horticul-tural applications,” says principalresearch analyst Benjamin Freas.“With high labor rates and landnear population centers at a pre-mium, even a small increase incrop yield can have a big impact onnet revenue.”

Indoor space used to grow foodcrops is expected to more thantriple in the coming decade, fore-casts the report. Of the other horti-cultural applications, indoorcannabis production, which is fore-cast to grow steadily worldwide andquickly in North America as legal-ization laws change, can particu-larly benefit from the dramaticallylower costs associated with LEDlighting. www.navigantresearch.com/research/led-lighting-for-horticultural-applications

Global revenue for lighting equip-ment grew by 4.6% in 2015, estimates IHS Inc through its Lighting Intelligence Service. This isa slightly lower growth rate than2014’s 4.8%. However, behind thisoverall growth rate are two sepa-rate, but interlinked, stories. First, the lamp market revenue

grew 4% in 2015, which was lowerthan 2014’s 7.3% but higher thanprevious IHS revenue forecaststhrough 2023. As the lamp marketcontinues to transition to LEDs,there are two effects combining tomute future revenue growth: anoverall decline in lamp shipments,and price erosion of LED lamps. As users switch to LED technologiesthat have much longer lifespansthan traditional technologies, fewerreplacement lamps are required,resulting in lower shipment levels.As prices for LED lamps fall, manu-facturer revenue will also begindeclining in 2019.

Secondly, luminaire revenue grew4.8%, which was higher than 2014’s3.9%. Unlike the lamp market,luminaire revenue growth isexpected to continue over the fore-cast period. This growth comesfrom LED integrated and LEDreplacement luminaires, which willsee continued growth in both rev-enue and shipments through 2023,forecasts IHS. New global and regional market

statistics, forecasts and marketshare estimates have been addedto the IHS Lighting IntelligenceService. In addition to the above,other key findings include the following. The supply

base for bothnon-LED andLED lampsbecamemore frag-mented in2015, with

the top 10 vendors falling from54.8% to 41.4% of the market fornon-LED lamps and from 55.1% to50.2% of the market for LEDlamps. Unlike the lamp market, the

supply base for LED luminairesbecame more consolidated. The top10 vendors accounted for 33.5% ofthe market in 2015, up from 29.6%in 2014. China is estimated to have been

the largest regional market forlighting equipment, accounting foralmost 30% of the global market in2015. Although LED lamps and luminaires

accounted for only 7.8% of unitshipments, they comprised 28.6%of revenue in 2015. Also, this isexpected to rise to more than halfof all lighting market revenue by2019, concludes the report. https://technology.ihs.com/Services/502759/lighting-intelligence-service www.ihs.com

LED lamps and luminaires growing from 28.6% oflighting revenue in 2015 to over half by 2019Longer lifespans of LEDs versus traditional technologies and needfor fewer replacement lamps to reduce unit shipments and overalllighting revenues from 2019

LED luminaire sales for horticultural applicationsto grow to $2.8bn by 2024

LED lamps andluminairescomprised only 7.8% of unit shipmentsbut 28.6% ofrevenue

The global light-emitting diode(LED) packaging equipment marketwill rise at a compound annualgrowth rate (CAGR) of almost 2%to more than $656m in 2020,according to a report by Technavio. The report considers the emer-

gence of COB technology as one ofthe major trends that will gain trac-tion in this market during the fore-cast period. “COB [chip-on-board]is a type of bare-chip technologyused for LED lighting,” says NavinRajendra, one of Technavio’s industry managers for sensors.“The lighting module for a COB LEDis done by placing multiple LEDchips in a small area. It is a com-paratively new technology for LEDpackaging, and it involves multipleLED chips being mounted directlyon the substrate to make an LEDarray in one lighting module,” headds. Benefits such as the capability to

spread light across a large area,effective thermal management,homogenous luminosity, energyefficiency and compactness willensure that the increased adoptionof COB LEDs will require the adop-tion of new and advanced LEDpackaging processes, which willdemand the replacement of olderpackaging equipment. By process, as a proportion of the

LED packaging equipment marketin 2015, LED testing comprised59.69%, die singulation 18.99%,die attach 15.12%, substrate separation 3.88%, and permanentbonding 2.33%. LED testing LED testing is required throughoutthe LED packaging process, andtesting equipment is used for theinspection and qualification ofincoming materials, process monitoring and control, and testingend-of-line product. The expectedincrease in demand for LEDs willsubsequently boost demand for thismarket segment in the comingyears.

Die singulation The die singulation (wafer dicing)segment (valued at $124.7m in2015) is used to separate individualdies on the finished wafer for further packaging and assembly.The process is carried out on thebasis of the LED’s end-application(e.g. different types of dies areused in general lighting systemsthan in automotive applications). The size of dies, type of structure,

and nature and type of substratesdetermine the singulation tech-nique to be used. Cost and performance parameters are alsotaken into consideration. The evolution of new laser technologiesfor dicing and scribing purposes willcreate demand for equipment andwill also help die singulation main-tain its market share in the LEDpackaging equipment market. Die attach The success ofa finishedproductdepends onmany keyprocesses thatachieve theirindividualquality goalsand targets.Die attachinvolves theattachment orbonding of adie (chip) toan LED pack-age. Require-ments for thedie attach process include: ● thermal conductivity should dissipate the heat generated fromthe die; ● perfect contact should existbetween the chip and substratewithout any voids; ● the bond or attachment shouldbe made carefully so that it doesnot destroy the chip; and ● the bond or attachment shouldbe able to withstand extreme tem-

peratures without any degradation. The size of wafers used for LED

chip production has increased from2–4 inches to 6–8 inches. The largewafer size allows manufacturers toreduce the overall cost by produc-ing more LED chips per wafer.Intensifying price wars have com-pelled manufacturers to emphasizecost reduction and a shift towardlarge-diameter wafers for LED production. Substrate separation The substrate separation segmentwas valued at $25.45m in 2015. Tomaintain manufacturing efficiency,LED makers typically attach or populate multiple LED boards, withthe boards being tied together.After this, a punching tool or amanual breaking process is used toseparate the LED boards. Care isneeded during the process, as theprinted-circuit board can easilybend or flex due to excessive stresson the ceramic substrate and theLEDs soldered onto the substrate.Excessive stress may also result ina crack, creating defective LEDs. APAC to account for 88% ofmarket by 2020, driven by LEDdisplay panels The report estimates that the Asia-Pacific region (APAC) will dominate the market, accountingfor about 88% of LED packagingequipment revenue by 2020. Theexponential rise in demand for con-sumer electronics — coupled withthe rollout of long-term evolution(LTE) bandwidths in APAC countriessuch as India and China — has ledto the expansion of LTE base-sta-tion infrastructure. This will drivethe market for display panels thatuse LEDs as the backlighting sourceof the screen. Also, the presence ofmajor LED display lighting panelmanufacturers in this region willcontribute to market growth, reckons Technavio.www.technavio.com/report/global-sensors-light-emitting-diode-packaging-equipment-market

News: Markets

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

7

LED testingcomprised59.69%, diesingulation18.99% [of theLED packagingequipmentmarket in2015], dieattach 15.12%,substrateseparation3.88%, andpermanentbonding 2.33%

Emergence of COB technology to boost LED packagingequipment market to $656m in 2020

News: Markets

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

8

Spending on RF high-power semi-conductors for the wireless infra-structure markets has flattened outthis year, despite the overall markethitting well over $1.5bn in 2015,according to ABI Research’s report‘RF Power Semiconductors’. Whilecertain market and sub-market seg-ments show moderate growth, it isgallium nitride (GaN) that is captur-ing meaningful market share in RFhigh-power semiconductors, espe-cially in wireless infrastructure.

“GaN is increasing its market sharein 2016, and we believe it will be asignificant force by 2021,” saysresearch director Lance Wilson.“This now mainstream technologybridges the gap between two older technologies, exhibiting thehigh-frequency performance of gallium arsenide and power handlingcapabilities of silicon LDMOS.” Outside of wireless infrastructure

in the RF high-power semiconductorbusiness, defense-oriented market

segments show the strongest performance. Despite the poor pressfor defense-oriented electronichardware, the actual performancein 2015 was better than originallythought for some sub-segments. In total, these defense-orientedsegments will be a significant marketand one to keep an eye in future,Wilson believes. www.abiresearch.com/market-research/product/1022826-rf-power-semiconductors

Spurred by new technology andarchitectures, very small apertureterminal (VSAT) applications areevolving from enterprise connectivityand video to competitive wirelessbroadband alternative, notes theStrategy Analytics Advanced Semi-conductor Applications (ASA) servicereport ‘Compound SemiconductorContent in Commercial SatelliteCommunications Networks:2015–2020’. Growth in data traffic requirements

will nearly double the quantity of allelements of the commercial satellite

communications network by 2020,forecasts Strategy Analytics. Thisgrowth, coupled with increasingmarket share, will fuel a compoundannual growth rate (CAGR) of 30%for gallium nitride (GaN) revenue inthis segment over the forecastperiod, concludes the report. “The VSAT industry is moving to

higher frequencies, more onboardprocessing and High ThroughputSatellites (HTS) as a means ofenhancing the data-rate capabilitiesof satcom networks,” says ASAservice director Eric Higham.

“GaN is enabling these new capa-bilities, so we expect strong growthfrom the technology as it makesfast inroads into applications previ-ously served by traveling-wavetube amplifiers,” he adds. “While conventional applications

are still the largest users, internetand mobility-related applicationswill be the fastest-growing seg-ments of the commercial satellitecommunications industry,” com-ments Asif Anwar, service director,Advanced Defense Systems service. www.strategyanalytics.com

The global gallium arsenide (GaAs)device market will rise at a com-pound annual growth rate (CAGR)of 4.14% over 2016–2020, fore-casts a report by TechNavio (issuedby the firm Research and Markets). The GaAs device market largely

comprises applications in mobiledevices (smartphones and tablets)and wireless communications.These two segments collectivelyaccounted for more than 80% ofthe market in 2015, according tothe report ‘Global Gallium Arsenide(GaAs) Devices Market 2016–2020’. Smartphone shipments will grow

significantly from about 1.4 billionunits in 2015 to more than 2 billionunits by 2020 due to the availabilityof low-cost smartphones in emergingmarkets such as China and India aswell as increasing Internet penet-ration worldwide. The growth insmartphones shipments will drivedemand for GaAs devices used inmobile handsets, particularly GaAspower amplifiers. Further, thereport states that the availability of alternative devices is a majorchallenge faced by the market. Vendors are expected to expand

their business, improve their market

share, and gain access to new tech-nologies through strategic alliances,collaborations, mergers, and acqui-sitions, offering better solutionsbased on the latest technologies. The report lists key vendors as:

Skyworks Solutions, Qorvo (formedby the merger of RF Micro Devicesand TriQuint Semiconductor in January 2015), Avago Technologies,Advanced Wireless Semiconductor,Anadigics, Hittite Microwave, M/A-COM Technology Solutions,and Murata Manufacturing. www.researchandmarkets.com/research/kxxjlb/global_gallium

GaAs device market to grow at 4.14% CAGR until 2020

VSAT shipments to nearly double by 2020 GaN revenue in commercial satcom to grow by 300%, at 30% CAGR

RF high-power semiconductor market for wirelessinfrastructure flattening out in 2016 after overallmarket exceeds $1.5bn, as GaN gains market share

News: Markets

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

9

The market for LEDs used in mobilephones — including backlightingbehind the display, keypad illumi-nation, and camera flash — willdecline at a compound annualgrowth rate (CAGR) of 2.6% from2015 to 2021, according to the latest information from IHS MarkitMobile Phones in Packaged LEDMarket Brief. A primary reason forthis decline is increased penetrationof organic LEDs (OLEDs), it notes. For mobile phone displays the

OLED panel penetration rate is fore-cast to grow from 13% in 2015 to34% in 2021 due to strong demandfrom Chinese brands. LED revenuefor backlighting in mobile phoneswas estimated to be $686m in 2015,

but will decline to $455m in 2021. Nearly all mobile phones shipped

in 2015 had at least one camera —and 86% also had a secondarycamera — although not every camera was equipped with a flash.IHS expects the mobile phone flashLED market to fall slightly in 2016due to a drop in mobile phone ship-

ments. However, the market willbegin to grow again from 2017 to2020 due to increased penetrationof color LEDs and secondary cameraflash applications. LEDs used inmobile phone keypads will also fall,but they comprise only a very smallpart of the market, IHS notes.https://technology.ihs.com

Due to falling prices and the commercial availability ofwide-bandgap (WBG) semi-conductor power devices frommultiple sources, the adoptionof silicon carbide (SiC) andgallium nitride (GaN) powerdevices in power supplies forcomputers, telecom equip-ment, photovoltaic inverters,electric vehicles, militarydevices and many otherapplications is on the rise.The global market for SiCand GaN power semiconduc-tors is hence rising at a compoundannual growth rate (CAGR) ofaround 33% from $210m in 2015 toabout $1.265bn in 2020 then$3.7bn in 2025, forecasts analystRichard Eden in IHS Technology’sSiC & GaN Power SemiconductorsReport. While SiC-based Schottky diodes

have been available for over ten years, SiC-based MOSFETs,junction-gate FETs (JFETs) andbipolar junction transistors (BJTs)

have emerged commercially in thelast few years, including 900V SiCMOSFETs with a price comparableto silicon. Also, the number of sup-pliers of discrete SiC power deviceshas increased in the last few years,pushing more power supply design-ers toward SiC power devices. Consequently, IEEE is expecting SiCMOSFETs alone to generate revenueof $300m by 2025, becoming thesecond-best-selling discrete powerdevice type in the next 5–10 years.

Mean-while GaN-on-siliconpowertransistorsare ramp-ing up in productionand GaNpowermodulesare begin-ning toemerge. As a result,

it is expected that, by 2020, GaN-on-Si power devices willachieve price parity with siliconMOSFETs and IGBTs. However, the report suggests the first GaNSchottky diodes will not to com-mercially available before 2020.Accordingly, the GaN power marketshould generate $600m by 2025.while the SiC power market willexceed $3bn in revenue, the reportconcludes.https://technology.ihs.com

Mobile phone LED market to fall at CAGR of 2.6%from 2015 to 2021

Market for backlight LEDs in mobile phones

Wide-bandgap power semiconductor device market togrow at 33% annually to $3.7bn in 2025 SiC power market to exceed $3bn as GaN power market passes $600m

4000

3500

3000

2500

2000

1500

1000

500

800

700

600

500

400

300

200

100

0

2015 2016 2017 2018 2019 2020 2021 2022 2023 2024 2025

2015 2016 2017 2018 2019 2020 2021

$m

GaN power modules SiC power modules Discrete SiC power devicesDiscrete GaN power devices

$ m

illio

ns

News: Microelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

10

In advance of the Citi TechnologyConference on 9 June, engineeredmaterials and optoelectronic component maker II-VI Inc of Saxonburg, PA, USA updated itsinvestor presentation to note thesale of certain RF assets formerlyowned by Anadigics (excluding the6” GaAs wafer fab), as reported ona Form 8-K filed with the US Securitiesand Exchange Commission (SEC). II-VI completed its acquisition of

broadband wireless and wirelinecommunications component makerAnadigics Inc of Warren, NJ, USA inMarch for about $78.2m. Anadigicsbecame a subsidiary of II-VI, withits common stock being delisted

and ceasing trading on Nasdaq.This followed an auction (initiatedlast November) in which II-VI Incoutbid initial bidder GaAs Labs LLCand then subsequently anunnamed Chinese bidder. Also, on 1 February, II-VI com-

pleted its acquisition of EpiWorks Incof Champaign-Urbana, IL, USA(which manufactures epitaxialwafers for optical components,wireless devices and high-speedcommunication applications). The Anadigics RF assets have

been sold for $45m in cash plus a$5m earn-out over 18 months(conditional on certain performancelevels), coupled with various support

and multi-year wafer processingsupply agreements with the purchaser. II-VI intends to use theretained Anadigics GaAs fab forfabricating optoelectronics compo-nents such as vertical-cavity surface-emitting lasers (VCSELs). II-VI notes that, as the total

addressable market (TAM) forVCSELs is forecasted to grow from$0.8bn in 2015 to $2bn by 2020, it is ramping up its optoelectronicsmanufacturing capacity, with significant volume expected in second-half 2017. www.ii-vi-photonics.comwww.anadigics.comwww.sec.gov/Archives/edgar/data

II-VI Inc sells certain RF assets of Anadigics for $45m GaAs wafer fab retained for VCSEL fabrication

AppDynamics Inc of San Francisco,CA, USA says that Qorvo Inc ofGreensboro, NC and Hillsboro, OR(which provides core technologiesand RF solutions for mobile, infra-structure and aerospace/defenseapplications) has chosen its Application Intelligence Platform tooptimize performance of andaddress growth demands on itscritical semiconductor design andproduction systems. Qorvo’s engineering and semicon-

ductor wafer fabrication facilities inNorth America, Europe and Asiadepend on business-critical .NETapplications that tie engineeringsystems to the manufacturingenvironment that drives waferfabrication on Qorvo’s shop floors.“Performance hindrances to anyone of our applications can cause abottleneck in the fabrication plantor on the shop floor, resulting inlate delivery, lots of overtime, andlost revenue,” says Aparajit Saigal,manufacturing IT team lead. “As thecompany continued to grow, ourapplications simply couldn’t scale.”

After writing its own applicationmonitoring code and performingmanual analysis in an ongoingattempt to address application anddatabase performance issues,Qorvo began looking at applicationperformance monitoring solutions.The semiconductor manufacturerchose AppDynamics after comparingthe capabilities of the AppDynamicsApplication Intelligence Platformand other application performancemanagement (APM) competitors. “The fact that AppDynamics offers

solutions for monitoring distributedapplications and provides an integrated view across applications,databases, and platforms was ahuge advantage over competitiveofferings,” comments Saigal. “Ourlegacy application spans databases,storage, Active Directory, and avast assortment of endpoints,” headds. “Other APM vendors couldn’tfigure out how to implement theirsolution or scale it in our complexenvironment.” Saigal estimates that AppDynamics’

application performance manage-

ment has helped his team to reduceinterruptions to production by atleast 60–70%. “The AppDynamicsApplication Intelligence Platformgives us true end-to-end visibilityacross application transactions,”says Saigal. “We can determine theamount of time taken for each andevery request, and drill down intoeach function and locate the exactline of code causing issues.” “Qorvo is in an exciting and critical

technology sector, creating andmanufacturing the semiconductorsthat make advanced communica-tions possible,” says AppDynamics’chief technology officer BhaskarSunkara. “We’re pleased that theyhave chosen AppDynamics to give them the visibility, control, and insight into their applicationperformance that they need toensure efficient operations with little downtime. Our solution willscale effortlessly with them as theirbusiness continues to rapidlyexpand.” www.qorvo.com www.appdynamics.com/solutions

Qorvo cuts production line interruptions by over 60%and scales apps for growth with AppDynamics’Application Intelligence Platform

Qorvo Inc of Greensboro, NC andHillsboro, OR, USA (which providescore technologies and RF solutionsfor mobile, infrastructure and aero-space/defense applications) saysthat its infrastructure solutionshave supported more than twenty5G field trials with global infrastruc-ture providers, representing a mile-stone in its strategy to support theevolving 5G ecosystem and to helpaccelerate the deployment of 5G. In February, Qorvo announced

that it had joined the 3GPP (3rdGeneration Partnership Project) asa guest delegate. Qorvo is now afull voting member, advising thestandards body on 5G RF solutions.The 5G standard is expected to bereleased in two phases. Phase 1(due for completion in 2018) willfocus on frequencies below 6GHzand define specifications for a pri-oritized subset of vertical markets.Phase 2 (due in 2019) will focus onfrequencies above 6GHz and definespecifications for an expanded listof vertical markets. “As the leading RF supplier in

more than twenty 5G field trials,Qorvo is both a primary enabler anda beneficiary of 5G deployments

and the explosive growth in mobiledata,” says Qorvo’s president &CEO Bob Bruggeworth. “With thedevelopment of the 5G standardand global allocation of frequencyspectrum, we are well positioned todeliver a broad range of 5G connec-tivity solutions,” he believes. Similar to 4G, the USA is taking a

leadership position in the definitionand deployment of 5G, with thestated intent of enhancing the digitaleconomy and greatly increasingeconomic opportunity. On 14 July,the US Federal CommunicationsCommission (FCC) adopted rulesfor wireless broadband operationsin frequencies above 24GHz. Theproposed 5G frequency spectrumallocations cover licensed access in 28GHz, 37GHz and 39GHz; unlicensedaccess in64–71GHz,and sharedaccess in37–37.6GHz,with all rangesexpected tosupport multi-ple 5G usecases.

Higher-frequency millimetre-wave(mmW) bands are expected toexpand both network capacity andwireless use cases, with theoretical5G transfer speeds of up to 10Gb/s.These mmW bands operate over asignificantly shorter range thanlower-frequency bands, driving asignificant increase in residentialand commercial placements ofshort-range, smaller-cell sites. Qorvo reckons that it is uniquely

positioned to deliver an expandingRF portfolio for both infrastructureand smartphone applicationsincluding premium bulk acousticwave (BAW) filters, gallium nitride(GaN) power amplifiers (PAs),phase shifters, amplifiers, switches,integrated modules and other high-performance RF solutions. “Qorvo’searly start in 5G comes from ourlegacy of mmW work in the defenseand aerospace markets and ourfield-proven mmW radio productline,” notes Bruggeworth. “We areusing this unique background to aidcurrent 5G field trials, giving usmultiple generations of productexperience in the higher-frequencybands.” www.qorvo.com

News: Microelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

11

Monolithic microwave integratedcircuit developer Custom MMIC ofWestford, MA, USA has added twonew gallium arsenide (GaAs) MMICBroadRange distributed amplifiersto its portfolio, for applications forincluding military/commercial com-munications and instrumentation. The DC–22GHz CMD240 and

2–22GHz CMD241 both feature aunique positive gain slope, which issaid to ease the design of broad-band systems by eliminating equal-izer circuits or added amplifiers tocompensate for the typical negativegain slope of most amplifiers.

The CMD240 is an ultra-widebanddistributed amplifier that operateswith a low noise figure, low currentconsumption, and high linearity in asmall die size. From DC to 22GHz,the amplifier delivers over 15dB ofgain with a mid-band noise figureof 2.2dB, P1dB (output power at1dB compression point) of 19dBmand output IP3 (third-order inter-cept point) of 28dBm at 10GHzwith a 5V supply.The CMD241 is a wideband

distributed amplifier that operateswith a low mid-band noise figure of2.3dB, an output IP3 of +28dBm,

and a low supply current of 74mAin a small die size. It offers over13dB of gain with a maximum RF input power of +20dBm.Both amplifiers are 50Ω matched

designs (eliminating the need forRF port matching) and can operateoff a 3–8V power supply. TheCMD241 also has on-chip blockingcapacitors. Both amplifiers will beoffered in QFN packages later in thesummer. www.custommmic.com/cmd240distributedamplifier www.custommmic.com/cmd241distributedamplifier

Qorvo supports over twenty 5G field trials withleading infrastructure providers

Custom MMIC adds BroadRange distributed amplifierswith positive gain slope

Qorvo’s earlystart in 5Gcomes from ourlegacy of mmWwork in thedefense andaerospacemarkets

News: Microelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

12

Skyworks Solutions Inc of Woburn,MA, USA (which manufactures analog and mixed-signal semicon-ductors) says that Huawei’s newestflagship P9 and P9 Plus smartphonesare being enabled by a broad suiteof its devices, ranging from highlyintegrated modules to analog controlICs. Specifically, Huawei’s latestmobile platforms are utilizing thelow-, mid- and high-band architec-tures of the SkyOne Ultra 2.0, featuring integrated filters and SkyBlue technology, antenna tuners,power management devices andmulti-throw switches. Skyworkssays that its products address LTE Advanced carrier aggregationstandards and support up to nineglobal combinations, including thetwo most challenging mid-band/mid-band cases. “Huawei’s adoption of multiple

solutions from our broad portfoliofurther solidifies Skyworks leader-ship position with the world’s lead-ing smartphone providers,” saysCarlos Bori, VP of sales & marketing.“Given our systems expertise andinnovative designs, Skyworks is

able to offer customers highly configurable and customized architectures that reduce complexityand deliver unparalleled perform-ance. We are adeptly addressingcarrier aggregation challenges andincreasing frequency bands, whileminimizing board size and optimizingbattery life to provide competitiveadvantages and reduced time tomarket,” he adds. “Skyworks’ advanced solutions

are enabling unrivaled new featuresin the P9 and P9 Plus including avirtual triple-antenna architecturedesigned for consumers who needrobust and seamless connectivity tocellular and Wi-Fi networks, allowingthem to fully harness the power ofthe mobile Internet no matterwhere they are in the world,” saysHuawei. According to a forecast by GfK,

China smartphone demand in 2016is stronger than expected and couldreach 442 million units (up 7% onlast year). In 2012, Huawei becamethe world’s third largest smartphonemanufacturer and in 2015 was thefirst Chinese company to sell more

than 100 million mobile phones inone year. The following solutions are powering

Huawei’s P9 and P9 Plus platforms: ● SkyOne Ultra 2.0 — a highlyintegrated single stock-keeping-unit(SKU) LTE platform (including theSKY78113 low-band, SKY78114mid-band and SKY78117 high-band3G/4G Tx-Rx SkyOne modules)with flexible antenna support and abaseband-agnostic interface thatcontains the firm’s SkyBlue tech-nology for what is claimed to bebest-in-class power efficiencies andlinear power capability: ● SKY77360-12 — 2G poweramplifier module; ● SKY87020-11 — RF front-endpower management IC; ● SKY19003 and SKY19225 —antenna tuners; ● SKY13351 — Wi-Fi single-poledouble-throw (SPDT) switch; ● SKY13552 — double-pole 12-throw(DP12T) switch; and ● SKY13598 — high-isolationantenna swap switch. http://consumer.huawei.comwww.skyworksinc.com

Skyworks has expanded itsantenna tuning product portfolioby adding several new high-performance solutions. Skyworks’ latest antenna tuning

offerings address the design challenges that mobile devicemanufacturers face as smart-phones become thinner, leveragerevolutionary case materials andintegrate more cellular bands. By optimizing radiated efficiency,Skyworks says that its antennaaperture tuners enable higher datathroughput and smaller footprints,minimizing dropped calls.“Cellular band proliferation in

smartphones increases complexityand requires highly sophisticatedRF solutions,” notes David Stasey,

general manager & VP of diversifiedanalog solutions. “With our newestsuite of antenna tuners, Skyworksis once again pushing the perform-ance envelope and enabling break-through front-end performance aswell as size reductions and costsavings.” The firm’s new antenna tuning

switches provide low Ron for higherefficiency, transfer more power tothe antenna, offer low Coff todeliver accurate and consistenttuning results, enable high Vpeakfor improved radiated spuriousemission (RSE) performance andintegrate GPIO-control for ease ofuse. To simplify the design processand accelerate time-to-market,Skyworks assists with antenna

modeling, data simulation, designand layout optimization, andonsite support to help customersleverage these advanced antennatuning products into their platforms. The parts are shipped in compact

10-pin 1.1mm x 1.5mm x 0.5mmquad-flat no-lead (QFN) RoHS-compliant plastic packages. Thecommon footprint of the devicesallows one layout to be utilizedacross multiple designs. The new devices are available in

the following configurations: SP2T (SKY19243-686LF); SP3T (SKY19244-686LF); andSP4T (SKY19245-686LF). The new antenna aperture tuners

are available now for sampling andproduction.

Skyworks expands antenna aperture tuning switches

Huawei using ten Skyworks devices in flagship next-generation smartphones

News: Microelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

13

Skyworks Solutions Inc of Woburn,MA, USA (which manufactures analog and mixed-signal semicon-ductors) says that its board ofdirectors has declared a quarterlycash dividend of $0.28 per share ofcommon stock (an 8% increasefrom the prior quarterly dividend of $0.26 per share) payable on 25 August to stockholders of recordas of the close of business on 4 August. In addition, the board has author-

ized the repurchase of up to $400mof the firm’s common stock from

time to time prior to 19 July 2018,on the open market or in privatelynegotiated transactions, in compli-ance with applicable securities lawsand other legal requirements. Thisnewly authorized stock repurchaseprogram replaces in its entirety the$400m stock repurchase programthat was approved by the board on10 November 2015 and had about$73m of repurchase authorityremaining. The timing and amount of any

shares of common stock repurchasedunder the new repurchase program

will be determined by managementbased on its evaluation of marketconditions and other factors. The repurchase program may besuspended or discontinued at anytime. Any repurchased shares willbe available for use in connectionwith the company’s stock plans andfor other corporate purposes. Skyworks currently expects to

fund the repurchase program usingworking capital. As of 1 July, thefirm had cash and cash equivalentsof about $974m. www.skyworksinc.com

Skyworks increases quarterly dividend andannounces new $400m stock repurchase program

The pan-European REFERENCEresearch project — created toleverage disruptive radio-frequencysilicon-on-insulator (RF-SOI) technology in developing industrialsolutions for the performance, cost and integration needs of RFfront-end modules (FEMs) in wirelesscommunications — was launchedrecently at the facilities in Bernin,near Grenoble, France of projectdirector Soitec, which makes engineered substrates including silicon-on-insulator (SOI) wafers. Selected as part of a call for

proposals signed on 5 July by theElectronic Components and Systemsfor European Leadership (ECSEL)European Initiative, the project issupported by the European Com-munity (EC) and several nationalgovernments. With an eligiblebudget of €33m, the project aimsto extend RF-SOI technology fornew cellular, Internet of Things(IoT), automotive and aeronauticapplications. Over the next three years, the

REFERENCE project will focus on

developing innovative means toaddress the unresolved require-ments for 4G+ communicationsusing RF FEMs with data ratesabove 1Gb/s and pave the way fornext-generation 5G communica-tions. The scope of work encom-passes materials, engineeredsubstrates, processes, design,metrology and system integration. The REFERENCE project consortium

involves 16partners fromfive countriesincluding sixlarge industrialcompanies inthe materials,foundry andaeronauticssectors, foursemiconductormanufacturingequipmentcompanies(SMEs) and anetwork ofpublic researchinstitutes and

academic organizations:France — Soitec, STMicroelectronics,TELIT, CEA-Leti, Université ClaudeBernard Lyon 1/Laboratoire Multi-materiaux et Interfaces (LMI); Germany — GlobalFoundries, Siltronic, Airbus, AED, Sentronics,Fraunhofer Gesellschaft (FhG),Technische Universitat Dresden(TUD), Universitat der Bundeswehr(UBWM)Belgium — IMEC; Portugal — NANIUM; and Ireland — Ferfics. “We are very happy to lead this

important European research project involving many key part-ners beyond our direct customers,”says Soitec’s partnership directorNelly Kernevez. “This initiativeallows us to build the EuropeanUnion's RF community, consolidateour vision of what the future can be and leverage proven materialtechnology to create RF communi-cation solutions for tomorrow,” he adds. www.soitec.com www.ecsel-ju.eu

Three-year €33m European project REFERENCE to extend RF-SOI technology for above-1Gb/s 4G+ wireless communication front-end modules Soitec leading 16-member consortium from five countries

The REFERENCEproject willfocus ondevelopinginnovativemeans toaddress theunresolvedrequirementsfor 4G+communicationsusing RF FEMswith data ratesabove 1Gb/s

News: Microelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

14

At the International Symposium on3D Power Electronics, Integrationand Manufacturing Symposium inRaleigh, NC, USA (13–15 June),power management componentsupplier Sarda Technologies ofDurham, NC, USA announced a col-laboration to implement its Hetero-geneous Integrated Power Stage(HIPS) in the three-dimensionalsystem-in-package (3D SiP) of Singapore-based semiconductorassembly & test services providerUTAC Holdings Ltd, based onEmbedded Component Packaging(ECP) technology from printed-circuit board (PCB) maker AustriaTechnologie & Systemtechnik AG(AT&S) in order to improve data-center energy efficiency. Designed to address the rapidly

escalating power consumption indata centers, Sarda’s HIPS replacessilicon switches with gallium arsenide(GaAs) in voltage regulators thatare said to increase switching fre-quency by 10 times, improve tran-sient response by five times andreduce size by 80%. With thesefast, small voltage regulators, thisenables granular power delivery toreduce data-center power con-sumption by 30%, it is reckoned. “UTAC’s 3D SiP enables Sarda to

integrate GaAs switches, silicondriver and passive components in acompact, low-profile package thatminimizes parasitics for efficient,high-speed operation,” says Sarda’sCEO & co-founder Bob Conner.“UTAC’s collaboration with AT&Salso provides a full turn-key supplychain assembly and test flow withmuch needed alignment of roadmapsas well as design rules for 3D SiPsolutions with embedded chip insubstrate technology,” he adds. “System manufacturers are moving

from use of discrete components to

highly integrated power manage-ment solutions to improve powerdensity and energy efficiency,”notes Lee Smith, UTAC’s VP ofAdvanced Package Product Line.“UTAC is very excited in workingclosely with Sarda and AT&S todemonstrate the benefits of using3D SiP to reduce footprint andimprove electrical and thermal per-formance,” he adds. “Our collaboration with UTAC

maximizes the benefits of utilizingAT&S’ ECP technology for the SardaHIPS Solution,” says Michael Lang,CEO of Advanced Packaging at AT&S.“The major ECP advantages com-pared to standard IC packaging andPCB assembly include a significantform-factor reduction, higher relia-bility, improved thermal manage-ment, and a fast and easy systemintegration with high efficiency.” Reducing data-center cost-per-workloadServers, routers and communica-tions systems require new powermanagement technology to keep upwith the growth in data consumptionand mobile connectivity, says Sarda.But power delivery and heat removal

issuescon-strainsystemper-for-mance.More-over,eachsystemboardusesdozensof volt-ageregula-tors

which consume precious board space. Designers can no longer rely

solely on Moore’s Law to deliver therequired gains in energy efficiency,the firm adds. Leading-edgeprocessors now operate at lessthan 1V, which prevents designersfrom reducing operating voltageenough to keep power consumptionconstant while increasing transistordensity. Instead, developers areturning to ‘More-than-Moore Scaling’,which heterogeneously integratesdifferent materials and componentsto improve system performance-per-watt. Small, fast voltage regulators

enable granular power, whichreduces system power consumptionthrough dynamic power manage-ment of each load, notes Sarda.Miniaturizing the voltage regulatorsalso frees up board space for moreprocessors and memory to increasesystem performance. Increasingsystem performance-per-wattdecreases the system cost-per-workload.www.sardatech.com www.utacgroup.com www.3D-PEIM.org

UTAC, Sarda and AT&S collaborate on small, fastGaAs-based voltage regulators to improve data-center energy efficiencySarda’s Heterogeneous Integrated Power Stage to employ UTAC’s 3Dsystem-in-package based on AT&S’ embedded component packaging

HIPS integratesGaAs FETs,CMOS driver &performance-critical passives

At the IEEE MTT-S InternationalMicrowave Symposium (IMS 2016)in San Francisco (24–26 May),Peregrine Semiconductor Corp ofSan Diego, CA, USA — a fablessprovider of radio-frequency integ-rated circuits (RFICs) based on silicon-on-insulator (SOI) — haslaunched the UltraCMOS PE19601,a monolithic phase and amplitudecontroller (MPAC) solution forbeam-forming. The new MPAC–Beamforming device spans a fre-quency range of 8–12GHz and hasan extended frequency range of6–14GHz, expanding Peregrine’sMPAC product family into higherfrequencies. The PE19601 is designedfor X-band radar applicationsincluding active electronicallyscanned array (AESA) weather, air-traffic control and military radar. “Peregrine has proved that RF SOI

can deliver a high-performing, reliable and integrated solution athigh frequencies,” says director ofmarketing Kinana Hussain. “As thedemand for high-frequency appli-cations increases, Peregrine willcontinue to support the future ofcommunications with intelligentlyintegrated solutions, like the MPACportfolio.” The MPAC product family showcases

UltraCMOS technology’s capabilitiesfor intelligent integration (the seam-

less integration of RF, digital andanalog components on a single chip).The PE19601 MPAC–beam-formingsolution integrates a 6-bit digitalstep attenuator (DSA), a 6-bit or10-bit digital phase shifter (DPS),RX/TX switching and a digital serialinterface on a monolithic die. TheDSA covers a 31.5dB attenuationrange in a 0.5dB step with a lowRMS amplitude error of 0.2dB. The phase shifter has a 360° phaserange with a very fine resolution of5° and RMS phase error of 2°. ThePE19601 maintains high attenuationand phase accuracy over frequencyand temperature. Through intelligentintegration, the PE19601 combinesRF and analog processing with digital programmability to deliverthe flexibility to tune gain andphase at fine resolution. The UltraCMOS technology plat-

form also offers high reliability,repeatability and high linearity(critical to enabling high-dynamic-range, high-sensitivity radar). Highdynamic range is the radar’s abilityto receive a wide range of signalstrengths and levels, hence it canbe more accurate because it candetect weaker return signals. Highlinearity improves the sensitivity ofthe radar and enables the radar tobetter detect small objects in clut-tered environments. Offered as a

2.6mm x 4.65mm bare wirebonddie, the PE19601 MPAC–beam-forming device has linearity ofmore than 40dBm IIP3 (third-orderinput intercept point) and high isolation of 50dB. It delivers highpower handling of 17dBm P0.1dBand exhibits low power consumptionof 0.001mA. Suitable for high-density compact

arrays, the PE19601 delivers thefine resolution and degree of controlthat is critical for radar applications,says Peregrine. Radar’s intelligentarrays can be optimized throughincreased accuracy and resolution.This performance optimization canoccur within the fundamental beampattern or by reducing unwantedinterferers. When the phase accu-racy and resolution of the array islow, the directionality of the beamis also low. Increasing the phaseaccuracy and resolution improvesthe directionality and the ability tofocus the signal. Further fine-tuningthe phase relationships of all theelements in an array can createnulling effects, which can be lever-aged to mitigate the effects ofinterferers and help to reduce thelevel of interference generated. ThePE19601 allows for the precise andfine control of a beam’s key charac-teristics. www.psemi.com

Peregrine extends MPAC product family to 8–12GHzfor X-band radar beam-forming

Peregrine Semiconductor Corp ofSan Diego, CA, USA — a fablessprovider of radio-frequency integrated circuits (RFICs) basedon silicon-on-insulator (SOI) —has announced the availability ofits discontinued products throughthe Supply Assurance program ofdistributor Arrow Electronics,enabling customers to order obsolete Peregrine productsbeyond their end-of-life processand last-time-buy event. “Arrow can support the customers’

ongoing demand for parts afterthe component manufacturer hasdiscontinued production,” saysArrow’s director of supply assuranceTyler Moore. “By working with Arrow’s supply

assurance team, we are comple-menting the work currently under-taken with Richardson RFPD, anArrow company and RF design-inspecialist distributor,” says Peregrine’s VP of worldwide salesColin Hunt. “The new collaborationwill extend the availability of our

devices to our mutual customersbeyond the end-of-life date.” Arrow procures inventory through

its Supply Assurance program toextend factory-direct support. Theprogram supports customers’ongoing demand for 5–10 yearsafter manufacturing has been discontinued. Parts purchasedfrom Arrow are shipped with fullcertificates of compliance, whichremoves the risk of counterfeitparts entering supply chains. www.arrow.com/supplyassurance

Peregrine’s discontinued products available via Arrow Electronics

News: Microelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

16

News: Microelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

17

By the end of 2016, more thanhalf of all new automotive77GHz radar systems world-wide will be equipped withchips from Munich-based Infineon Technologies AG,meaning that about one in 15 new cars will use a driverassistance system using its77GHz radar chips, says thefirm. Infineon’s market leadership

in the rapidly growing marketfor radar chips for driver assis-tance systems was recentlyconfirmed by market researchfirm IHS Technology. WhileInfineon has sold a total of 20 million radar chips in thepast few years, the firm aims toship a further 30 million chipsfor driver assistance systemsnext year alone, hence doubling its radar chip sales annually for five years consecutively. “Of the world’s five largest manu-

facturers of radar systems, fouralready rely on 77GHz radar chipsfrom Infineon,” says Ralf Bornefeld,VP & general manager, Sense &Control at Infineon. “We make driving safety the standard in mid-sized and small vehicles,” he adds.“Our sensor chips place the vehicleinside the kind of safety cocoonthat is essential for autonomousdriving.”

Sensors for the car of the future Depending on a vehicle’s category

and how it’s equipped, it will havebetween one and three radar systems. Soon up to five will beoffered, together ensuring an ‘all-around view’ that makes newfunctions like the intersection assis-tant and parking assistant possible.In the autonomous vehicle (whichthe automobile industry expects to be available from around 2020)at least ten radar systems may beinstalled. Together with camera,laser and ultrasonic systems, they

form a safety cocoon around thevehicle and comprise the key tech-nology for autonomous driving. “With Infineon as a partner, man-

ufacturers of radar systems havean experienced technology leaderat their side,” says Bornefeld.”Whether they need a radar chipwith silicon germanium [SiGe]technology or a highly integratedCMOS solution, our advantage isour product and system expertiseat 77GHz and 24GHz, in silicon-germanium and CMOS.” www.infineon.com/rasic

One in 15 new cars to use Infineon SiGe 77GHzradar chips for driver assistance by end-2016

In the autonomous vehicle (available from about 2020) at least ten radar systemsmay be installed. Together with camera, laser and ultrasonic systems, they form asafety cocoon around the vehicle and are the key technology for autonomous driving.

Specialty foundry TowerJazz (whichhas fabrication plants at TowerSemiconductor Ltd in MigdalHaemek, Israel, and at its US sub-sidiaries Jazz Semiconductor Inc inNewport Beach, CA and TowerJazzTexas Inc in San Antonio, TX, andat TowerJazz Japan Ltd) hasextended its long-term global busi-ness partnership with financial andbanking institution JA Mitsui Leas-

ing Ltd, with which TowerJazz hasan established relationship in Japanthrough its affiliate TowerJazzPanasonic Semiconductor Co(TPSCo). The agreement provides Tower-

Jazz with up to $40m of an asset-based loan, which will carry annualinterest of Libor+2.0%, maturebetween 2019 and 2022, and beused to support growth and ramp-

up plans at the San Antonio fab(acquired in February from MaximIntegrated Products Inc of SanJose, CA, USA), including cross-qualification activities to addressthe firm’s excess customer demandin its other fabs worldwide. www.towerjazz.com www.tpsemico.comwww.jamitsuilease.co.jp/en/profile.html

TowerJazz extends partnership with JA Mitsui to drive San Antonio fab growth and ramp-up

Japan’s Rohm Semiconductor hasannounced the availability of a new 1700V silicon carbide (SiC)metal-oxide-semiconductor field-effect transistor (MOSFET)optimized for industrial applications,including manufacturing equipmentand high-voltage general-purposeinverters. In recent years, the growing trend

to conserve energy in all areas hasincreased the demand for energy-saving power semiconductors, particularly for applications in theindustrial sector such as general-purpose inverters and manufac-turing equipment. In most auxiliarypower supplies, which are used toprovide drive voltages for powersupply circuits, control ICs and various supplementary systems,high-breakdown (1000V+) siliconMOSFETs are normally utilized.However, these high-voltage MOS-FETs suffer from large conductionloss (often leading to excessiveheat generation) and problemsrelated to mounting area and thenumber of external components,making it difficult to reduce systemsize. In response, Rohm developedlow-loss SiC MOSFETs and controlICs that maximize performancewhile contributing to end-productminiaturization. Compared with 1500V silicon

MOSFETs used in auxiliary powersupplies for industrial equipment,the SCT2H12NZ provides thehigher breakdown voltage (1700V)required for auxiliary power suppliesin industrial equipment. Also, compared with conventional siliconMOSFETs, conduction loss is reducedby 8x (a smaller on-resistance of1.15Ω), contributing to greaterenergy efficiency, says Rohm.

In addition, the compact TO-3PFMpackage maintains the creepagedistance (distance measured alongsurface of the insulating material)required by industrial equipment. Also, using the SCT2H12NZ

together with Rohm’s BD7682FJ-LBAC/DC converter control IC(designed specifically for SiC MOSFET drive) makes it possible tomaximize performance and improveefficiency by up to 6%, reckons the

firm. At the same time, heat gener-ation is reduced, minimizing ther-mal countermeasures and enablingthe use of smaller components. Rohm is also launching evaluation

boards and kits that make it possibleto immediately begin evaluationand development. In addition tothe BD7682FJ-LB-EVK-402, a gatedrive board for evaluating Rohm’sfull SiC module along with a snub-ber module are offered. The SCT2H12NZ is available at

$3.38/unit (in 100-unit quantities).The Evaluation Board (BD7682FJ-LB-EVK-402) is available at $492. Rohm is also developing the

SCT2H12NY and SCT2750NY1700V SiC MOSFETs (with 1.15Ω and0.75Ω on-resistances, respectively)in a TO268-2L surface-mount-typepackage that also provides adequatecreepage distance.www.rohm.com/web/global/products/-/product/SCT2H12NZ

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

18

Rohm launches 1700V SiC MOSFET for industrial use Prototyping & development aided by control IC and evaluation board

AC/DC inverter efficiency comparison: silicon versus silicon carbide.

IXYS Corp of Milpitas, CA, USA andLeiden, The Netherlands, whichprovides power semiconductorsand mixed-signal ICs for powerconversion and motor control applications, has announced avail-ability of its IXFN50N120SK andIXFN70N120SK 1200V silicon carbide(SiC) MOSFETs in SOT-227 packages. IXYS says that the additions to its

SiC MOSFET portfolio enablehigher-power applications for SiC-based products in switching andcontrol for high-efficiency DC–DCsolar inverters, uninterruptiblepower supplies (UPS) systems andrapid-charger solutions. “One keyaspect of the devices is using ourmatching assembly technology to

harvest the full advantage of theIXYS’ SiC power MOSFET,” says Dr Elmar Wisotzki, director of technology for IXYS Germany.“IXYS also offers the best driver ICsfor such high-power MOSFETs; thus we offer the total solution toour customers, to improve efficiencyat best performance-over-costratio,” he claims. The IXFN50N120SK and

IXFN70N120SK SiC MOSFETs haveon-resistance of 40mΩ and 25mΩ(typical), respectively, with a1200V blocking voltage in aMiniBLOC (SOT-227) package featuring 3kV isolation to heat-sinkand what is claimed to be an out-standing low thermal impedance.

The ‘cool’ solution is based on heat-spreading technology beforeisolation and the usage of AlN substrate as isolator to furtherenhance thermal performance andallow optimized cooling of SiC diesoperated at high power densities.Both new products provide a real Kelvin gate connection for optimized gate control. Additional features of the new

1200V SiC MOSFETs include verylow gate charge for easy drive, a fast body diode, low input andoutput capacities, and a positivetemperature coefficient supportingparalleling options for higher-powerapplications. www.ixys.com

IXYS launches 1200V SiC power MOSFETs in SOT227MiniBLOC packages for higher-power applications

News: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

19

Tokyo-based Showa Denko K.K.(SDK) has expanded its capacityfor producing high-quality-gradesilicon carbide (SiC) epitaxial wafersfor power devices, and begun massproduction of the High-Grade Epi(HGE) wafers. The expanded HGEproduction facility has a capacity of3000 wafers per month (equiva-lent, for power devices with abreakdown voltage of 1200V). HGE is a grade of SiC epiwafer

with very low crystal defect density,developed and commercialized bySDK in October 2015. SDK has sincebeen working on sample shipmentsto device makers. SDK says that

HGE can contribute to improvingthe reliability of SiC metal-oxide-semiconductor field-effect transistor(MOSFETs) by controlling the number of basal plane dislocation(the typical crystal defect) to under0.1/cm2. Moreover, the establishment of

technology to lower the number ofdefects has enabled SDK to massproduce thick-film epiwafers (with athickness of 100µm or more) andp-type epiwafers (both for potentialuse in bipolar power devices),which are said to be difficult to pro-duce with conventional technolo-gies. SDK expects its thick-film

HGE to contribute significantly tothe development of SiC insulated-gate bipolar transistors (IGBT),which can be used as ultra-high-voltage devices for power genera-tion/transmission systems. The market for SiC epiwafers for

power devices is expected to reach¥100bn in 2025 as the early use ofSiC power devices in vehicles isunder consideration. SDK says thatit will continue to meet marketdemand for high-quality SiC epi-wafers, aiming to contribute to theimprovement in energy efficiencyof power devices.www.sdk.co.jp

SDK expands capacity of high-grade SiC epi formass production to 3000 wafers per month Sub-0.1/cm2 defect density targets MOSFETs, while thick-film epiwafers targets IGBTs

REGISTERfor Semiconductor Today free at

www.semiconductor-today.com

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

20

Plextek RFI Ltd of Cambridge, UK,which designs and develops RFICs,MMICs and microwave/millimeter-wave modules, has unveiled a newreference design for a gallium nitride(GaN) power amplifier (PA) mono-lithic microwave integrated circuit(MMIC) for X-band active phased-array radar applications. “Active phased arrays require

numerous PAs, which need to havehigh efficiency, and to have a smallsize and relatively low cost,” notesCEO Liam Devlin. “Our new design

has a die size of only 1.5mm x 2mm,which means around 2300 PAs canbe fabricated on a single 4” (100mm)-diameter wafer. This makes the costvery competitive compared withother commercially available MMICsoffering this level of RF output power.” The X-band GaN PA MMIC covers

frequencies of 9.0–11.5GHz anddelivers 7W (+38.5dBm) of RF out-put power from a +29dBm input,with a power-added efficiency (PAE)of 42%. This means that it can bedriven by readily available GaAs parts

when used as the output PA stage.Plextek RFI designed the MMIC

using Keysight ADS 2015 electronicdesign automation (EDA) software,and it was manufactured by UnitedMonolithic Semiconductors (UMS)using its GH25 0.25µm-gate-lengthGaN-on-SiC foundry process.“As the IC is designed and manu-

factured in Europe, it will have theadded advantage of not being subject to US export control,” notesDevlin. www.plextekrfi.com

Raytheon UK’s Integrated PowerSolutions (IPS) business unit inGlenrothes, Scotland, has developeda high-temperature, small-form-factor bridge leg power module.Aimed at high-speed switchingapplications, the module haspotential uses in the aerospace sec-tor as it requires minimal externalcooling and presents considerableweight-saving opportunities withinthe More Electric Aircraft powersystem. Also, by supporting appli-cations in harsh environments andin meeting high operating tempera-ture demands, the module can alsobe used in the geothermal powerand oil and gas sectors. A prototype module that includes

two 1200V silicon carbide (SiC)bipolar junction transistors (BJTs)has currently amassed more than1000 hours of stable operation at300ºC (a temperature at which traditional silicon-based semicon-ductors cannot operate). Tests onthe module have been performedswitching 500V at room temperatureand switching 200V at 300ºC. The BJTs are controlled by integ-rated base driver circuitry, fabri-cated using Raytheon’s proprietyHigh Temperature Silicon Carbide(HiTSiC) process.

“The co-location of BJT base drivercircuitry and power transistors intoa single high-temperature moduleis a major industry breakthrough,”claims David Gordon, technical leadwith Raytheon’s IPS. “For example,in many instances it is necessary toswitch power-stage transistors attens of kHz, and that requires gettingthe base driver circuitry as close aspossible to the power transistors.However, in a high-temperatureenvironment, that presents a prob-lem,” he notes. “While silicon carbidetransistors can switch high voltageand handle high temperatures, traditional silicon-based gate drivercircuitry cannot cope with the heat.Silicon-on-insulator (SOI) raisesthe bar to about 220ºC, but that’sstill not high enough for someexisting and emerging applicationsfor power electronics. Raytheon’sHiTSiC CMOS circuitry on the otherhand was designed to operate at

300ºC, and has been tested at con-siderably higher temperatures.” The under-test switching speeds of

Raytheon’s prototype high-temper-ature bridge leg power module areexceeding expectations. Whenswitching 200V, the turn-on time atroom temperature is 20ns. Thisincreases linearly to 40ns at 300ºC.“This performance has remainedconsistent throughout the 1000+hours of testing,” notes Gordon. The module is packaged in a

32-pin hermetic dual in-line (DIL)ceramic package of 40mm x 23mm.“Performance stability at extreme

temperatures is one of the semicon-ductor industry’s biggest challenges,”says Gordon. “Our prototype andthe hours it has amassed thereforerepresents an extremely encourag-ing demonstration of Raytheon’sdevice capability,” he claims. “Ageingtests continue, along with furthertesting at higher power levels.” Raytheon’s development of this

high-temperature module draws on experience gained during anInnovate UK project LAMPS (Lightweight, Affordable Motorsand Power electronics Systems) incollaboration with other UK partnersand led by UTC Aerospace Systems. www.raytheon.co.uk

Raytheon UK develops SiC-based high-temperaturesmall-form-factor power module for electricalswitching in harsh environments

Plextek unveils phased-array GaN MMIC reference design

News: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

21

Infineon Technologies AG ofMunich, Germany has entered intoa definitive agreement to acquirethe Wolfspeed Power & RF divisionof Cree Inc of Durham, NC, USA for$850m in cash (about €740m). The deal also includes the relatedsilicon carbide (SiC) wafer substratebusiness for power and RF power. The business to be acquired has

generated pro-forma revenue of$173m in the 12 months ending 27 March. The acquisition will beimmediately accretive to Infineon’sadjusted earnings-per-share andmargin. Infineon will fund thetransaction with bank financing of$720m and $130m of cash-on-hand.The firm’s capital structure shouldstay well within the previouslycommunicated targets of €1bngross cash plus 10–20% of revenue,and no more than two times thegross debt-to-EBITDA. Cree’s boardof directors and Infineon’s supervi-sory board have approved theacquisition, which is expected toclose by the end of calendar 2016(subject to regulatory approvalsincluding HSR and CFIUS clearance). Infineon says that the acquisition

will enable it to provide the broadestoffering in compound semiconductorsand further strengthen it as a supplierof power and RF power solutions inhigh-growth markets such as electro-mobility, renewables and next-gener-ation cellular infrastructure relevantfor the Internet of Things (IoT).Based in Research Triangle Park, NC,

USA and part of Cree for almost threedecades, Wolfspeed is a provider ofSiC-based power and GaN-on-SiC-based RF power solutions. This alsoincludes the related core compe-tencies in wafer substrate manufac-turing for SiC, as well as for SiC witha monocrystalline GaN layer for RFpower applications. With over 550staff and an IP portfolio of about2000 patents and patent applications,the deal complements Infineon’sprior acquisition of International

Rectifier in early 2015. Wolfspeed’sSiC-based product portfolio adds toInfineon’s existing offering. “Joining forces with Wolfspeed

represents a unique growth oppor-tunity,” believes Infineon’s CEO Dr Reinhard Ploss. “Wolfspeed’s andInfineon’s businesses and expertiseare highly complementary, bringingtogether industry-leading expertsfor compound semiconductors. Thiswill enable us to create additionalvalue for our customers with thebroadest and deepest portfolio ofinnovative technologies and productsin compound semiconductors avail-able in the market,” he reckons.“With Wolfspeed we will becomenumber one in SiC-based powersemiconductors. We also want tobecome number one in RF power.This will accelerate the marketintroduction of these innovativetechnologies, addressing the needsof modern society — such asenergy efficiency, connectivity andmobility,” Ploss adds. “After much consideration and due

diligence over the past year, weconcluded that selling Wolfspeed toInfineon was the best decision forour shareholders, employees andcustomers,” comments Cree’schairman & CEO Chuck Swoboda.“Wolfspeed will now be able tomore aggressively commercializeits unique silicon carbide and gallium nitride technology as partof Infineon,” he believes. “Wolfspeed will now have all the

advantages of a global company inour sector, including the ability toleverage Infineon’s market reachand infrastructure,” says Wolfspeed’sCEO Frank Plastina. “With Infineon’scomplementary culture and addi-tional investment, we’ll be betterpositioned to unlock the potential ofour portfolio and our people.” Infineon stresses that power man-

agement solutions based on compound semiconductors haveadvantages enabling its customers to

develop systems with higher energyefficiency, smaller footprints andlower system costs. By combiningtheir technology portfolios, productsand manufacturing capabilities,Infineon and Wolfspeed aim to speedcomponent development, enablingcustomers to develop differentiatingsystems. Major areas where appli-cations will profit from SiC are renew-ables and especially automotive.Both benefit from the increasedpower density and improved effi-ciency. In automotive it fits wellwith the recent increased commit-ment of the industry to plug-inhybrid and all-electric vehicles (xEV),says Infineon. Combining bothportfolios and competencies shouldaccelerate time-to-market for newproducts based on compound semi-conductors, the firm reckons. Next-generation cellular infra-

structure standards such as 5G andbeyond will use frequencies up to80GHz. Only compound semicon-ductors can deliver the requiredefficiencies at these high frequencies,notes Infineon. GaN-on-Si allowshigher levels of integration andoffers advantages at operating fre-quencies of up to 10GHz. GaN-on-SiC enables maximum efficiency atfrequencies of up to 80GHz. Bothtechnologies are crucial for next-generation cellular infrastructurestandards, says the firm. Togetherwith its Si-based LDMOS products,Infineon claims to be the industry’smost complete provider for RFpower components.Infineon says the combined port-

folio advances its strategic ‘Productto System’ approach. Additionally,it will benefit from accelerating theadoption of SiC- and GaN-basedcomponents in early-adopter mar-kets, e.g. electro-mobility, high-endphotovoltaic inverter, xEV charginginfrastructure, and RF power com-ponents in cellular infrastructure.www.infineon.com www.wolfspeed.com

Infineon to acquire Wolfspeed for $850m by end 2016 Infineon compound semiconductor power solutions range boosted bySiC, GaN-on-Si and GaN-on-SiC

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

22

When it comes to putting technol-ogy into space, size and mass areprime considerations. High-powergallium nitride (GaN)-based high-electron-mobility transistors(HEMTs) are appealing in thisregard because they have thepotential to replace bulkier, lessefficient transistors, and are alsomore tolerant of the harsh radiationenvironment of space. Compared tosimilar aluminum gallium arsenide/gallium arsenide (AlGaAs/GaAs)HEMTs, the GaN-based HEMTs areten times more tolerant of radiation-induced displacement damage. Until recently, the cause for this

phenomenon could only beguessed. Was the gallium nitridematerial system itself so inherentlydisordered that adding moredefects had scant effect? Or did the strong binding of gallium andnitrogen atoms to their lattice sitesrender the atoms more difficult todisplace? The answer, according toscientists at the US Naval ResearchLaboratory (NRL) in WashingtonDC, is none of the above. Examining radiation response In a recent article ‘On the RadiationTolerance of AlGaN/GaN HEMTs’ (in the open-access ECS Journal ofSolid State Science and Technology(2016) vol5, issue7, pQ208), theNRL team states that, by studyingthe effect of proton irradiation onGaN-based HEMTs with a widerange of initial threading dislocationdefectiveness, they found that thepre-irradiation material quality hadno effect on radiation response. Additionally, the team discovered

that the order-of-magnitude differ-ence in radiation tolerance betweenGaAs- and GaN-based HEMTs ismuch too large to be explained bydifferences in binding energy.Instead, they noticed that radiation-induced disorder causes the carrier mobility to decrease and

the scattering rate to increase asexpected, but the carrier concen-tration remains significantly lessaffected than it should be. Applications in space exploration Because of their relative radiationhardness, GaAs- and GaN-basedHEMTs are desirable for spaceapplication, such as the JunoSpacecraft, which on 4 July enteredorbit around Jupiter (a planet thatscientists still know very littleabout), which generates extremelevels of radiation. Without theappropriate technology, Jupiter’sradiation levels could destroy thesensitive electronics in the satelliteupon approaching the planet. Better understanding of why GaAs- and GaN-based HEMTs aremore tolerant of radiation couldultimately accelerate innovationand bolster projects where radiationlevels prove to be barriers. Unexpected answers The explanation for this novel discovery turns out to be ratherelegant. In GaN-based HEMTs, apiezoelectric field forms at the

AlGaN/GaN interface due to latticestrain. The field gives rise to a two-dimensional electron gas(2DEG) by which carriers travelacross the transistor from source todrain. It also provides an electri-cally attractive environment thatcauses carriers that are scatteredout of the 2DEG by radiation-induced defects to be reinjected. In this way, the scattering rate canincrease and the mobility candecrease without greatly affectingthe 2DEG carrier density. In otherwords, it is the internal structureitself that renders AlGaN/GaNHEMTs rad-hard. “Gallium nitride is such a compli-

cated system — not like galliumarsenide at all,” says BradleyWeaver, co-author of the study.“We struggled for four years to figure out why it’s so rad-hard,expecting a complicated solution.But the answer turned out to bereally simple.” http://jss.ecsdl.org/content/5/7/Q208.full?sid=f0826ce1-0261-4799-863f-cc3468ee56db

NRL explains radiation tolerance of AlGaN/GaN HEMTs versus AlGaAs/GaAs HEMTsPiezoelectric field at AlGaN/GaN interface causes scattered carriers tobe reinjected into 2DEG channel, mitigating harmful radiation effects

Schematic band diagram of GaN/AlGaN HEMT before and after irradiation bya fluence of 6x1014 2MeV H+/cm2, as calculated using BANDENG. The valuesof (Nd – Na) were (a) 2x1016cm_3 and (b) _2.55x1016cm_3, and were chosento reproduce the experimentally determined sheet carrier density.

News: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

23

Peregrine Semiconductor Corp ofSan Diego, CA, USA — a fablessprovider of radio-frequency integrated circuits (RFICs) basedon silicon-on-insulator (SOI) — has launched what it claims is thefastest gallium nitride (GaN) field-effect transistor (FET) driver. Built on Peregrine’s UltraCMOS

technology, the PE29100 GaNdriver is targeted at enablingdesign engineers to extract the fullperformance and speed advantagesfrom GaN transistors. Designed todrive the gates of a high-side and alow-side GaN FET in a switchingconfiguration, the PE29100 deliverswhat are claimed to be the industry’sfastest switching speeds, shortestpropagation delays and lowest riseand fall times to AC–DC converters,DC–DC converters, class D audioamplifiers and wireless chargingapplications. Peregrine notes that, in the power

conversion market, GaN-basedFETs are displacing silicon-basedmetal–oxide–semiconductor field-effect transistors (MOSFETs),as they operate much faster andhave higher switching speeds in thesmallest possible volume. GaNpromises to dramatically reducethe size and weight of any powersupply. To reach their performancepotential, GaN transistors need anoptimized FET driver that mustcharge and discharge gate capaci-tance as fast as possible and musthave very low propagation delay toallow fast signals. It also mustavoid ‘shoot through’ by not turningon high-side and low-side FETs at thesame time. The PE29100 is designedspecifically for this purpose. “Our enhancement-mode GaN

(eGaN) transistors deliver a wholenew spectrum of performance compared to MOSFETs,” claims Alex Lidow Ph.D., CEO & co-founderof Efficient Power Conversion Corp(EPC) of El Segundo, CA, USA,which makes eGaN power FETs forpower management applications.“GaN FET drivers like Peregrine’sUltraCMOS PE29100 enable design

engineers to unlock the true poten-tial of eGaN FET technology,” headds. “The availability of thePE29100 further enhances our ability to deliver the best possiblesolution into the power conversionmarket where size, efficiency andsimple design are critical.” As the driving force behind the

PE29100’s speed, Peregrine’s UltraCMOS technology platformenables integrated circuits to operate at much faster speeds thanconventional CMOS technologies.The speed ofPeregrine’snew GaN FETdriver resultsin muchsmaller powerconverters,offering thedesign engi-neer increasedpower density. “Design

engineers areincreasinglyusing GaNtransistors forapplicationswhere higherswitching frequency andhigh power is

required,”says MarkMoffat,director ofPeregrine’spower man-agementproduct line.“However,the currentlyavailablegate driversand con-trollers donot supportthe fullpotential ofGaN,” headds. “Withthe enablingpower of

UltraCMOS technology, thePE29100 achieves the industry’sfastest switching speeds at frequencies higher than competingproducts.” Manufactured on a truly insulating

substrate, UltraCMOS technologyhas no bulk or well junctions, andtherefore it has low parasitics. It also has low on-resistance forimproved efficiency and low off-capacitance at higher operatingfrequency. The PE29100 is a half-bridge GaN

FET driver with internal dead-timecontrol. The high-speed driveroperates at switching frequenciesup to 33MHz and handles voltagesup to 80V. It delivers a short propa-gation delay of 8ns, and has a risetime of 2.5ns and fall time of 1.8nswhen driving a 1000pF load and1ns rise and fall times with 100pFload. The PE29100 has a one-pin,single-phase input mode, and anoutput source current of 2A and anoutput sink current of 4A. Volume-production parts, samples

and evaluation kits for the PE29100are available now. Offered as a2mm x 1.6mm flip-chip die, pricingfor the PE29100 is $1.80 each for1000-unit orders. www.epc-co.com www.psemi.com

Peregrine unveils fastest GaN FET driver

Built on Peregrine’s UltraCMOS technology, the PE29100 GaNFET driver empowers design engineers to extract the fullperformance and speed advantages from GaN transistors.

Engineers areincreasinglyusing GaNtransistors forapplicationswhere higherswitchingfrequency andhigh power isrequired... The currentlyavailable gatedrivers andcontrollers donot support thefull potential of GaN

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

24

Toyoda Gosei Co Ltd of Kiyosu,Aichi Prefecture, Japan has devel-oped what is claimed to be the first1.2kV-class power semiconductordevice chip capable of large-currentoperation exceeding 20A. Using gallium nitride (GaN) crystal

growth technology developed since1986 for the production of blueLEDs, Toyoda Gosei began researchon GaN-based power semiconduc-tor devices in 2010. Previously,low-loss 1.2kV-class MOSFETs werefabricated on GaN substrates andthen empirically tested (achieving1.8mΩcm2, when current is passedvia a structure in which current flowis perpendicular to the substrateand gate trenches). Toyoda Goseisays that it has now establishedwiring technology for parallel oper-ation of elements, passing a currentexceeding 20A in a vertical GaNtransistor with a 1.5mm x 1.5mmchip size (the first time that this hasbeen achieved, reckons the firm). The technology can be applied to

circuits for power controllers inhybrid vehicles that handle large

amounts of power,and to power con-verters such as thosein solar power gen-eration, promisingto contribute signifi-cantly to makingthese devices morecompact and effi-cient. Toyoda Gosei says

that it will continueresearch to increasethe current-handling

capacity and test reliability,with the aim of developingcommercial applications by2018–2020 in collaborationwith semiconductor andelectronics manufacturers. A report on this technology

was given as a ‘late news’paper at the 28th IEEEInternational Symposiumon Power SemiconductorDevices and ICs (ISPSD) inPrague, Czech Republic(12–16 June). www.toyoda-gosei.com

Toyoda Gosei develops high-voltage GaN powersemiconductor device for large-current operation Operating current of ≥20A demoed with 1.2kV-class vertical transistor

Photomicrograph of Toyoda Gosei’s new MOSFET chip.

Forward current–voltage characteristics.

VisIC Technologies Ltd of Nes Ziona,Israel, a fabless developer of power conversion devices based ongallium nitride (GaN) metal-insulator-semiconductor high-electron-mobilitytransistors (MISHEMTs) founded in2010, has announced the availabilityof its new generation of ALL-Switch(Advanced Low Loss Switch) devices,comprising V22S65A (with an internal SiC diode) and V22N65A(without internal SiC diode). The new version of VisIC’s

ALL-Switch is said to significantlyreduce the Miller effect, enablingreadily available, standard driversto be used in VisIC-based designs.

The new devices also reduce thebill of materials required for specificapplications.Effective in hard-switching topolo-

gies, the V22 series can be used for zero voltage switching or zerocurrent switching topologies. It is

claimed to have the lowest Rdson

among either 650V GaN- or SiC-based MOSFET transistors, and canachieve extremely efficient powerconversion with a slew rate exceed-ing 100V/nS. In addition, since thethreshold voltage exceeds 5V, thedevices work well in harsh EMIenvironments, adds the firm. VisIC has demonstrated what it

claims is record with performanceof its half-bridge demonstrationboard, achieving better than 99.3%peak efficiency at 200kHz in ahard-switched topology, providing2.5kW output. www.visic-tech.com

VisIC launches new generation of low-Rdson ALL-Switch650V GaN devices usable with standard drivers

News: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

25

At the ANGA COM 2016 Exposition& Congress for Broadband, Cableand Satellite in Cologne, Germany(7–9 June), Qorvo Inc of Greens-boro, NC and Hillsboro, OR, USA(which provides core technologiesand RF solutions for mobile, infra-structure and aerospace/defenseapplications) unveiled three newDOCSIS 3.1-ready power doublermulti-chip module (MCM) amplifiersthat, the firm says, provide cablebroadband service providers aneasy upgrade path to DOCSIS 3.1with maximum design flexibilityand functionality while conservingpower and reducing board space. “Our latest power doubler MCMs

give our customers additional toolsto reach more subscribers withgreater bandwidth and higher output power,” says Kellie Chong,director, CATV and BroadbandAccess products. “Additionally, thecompact MCM packaging provides a cost-effective solution, saving upto 70% board space compared totraditional hybrid packages.”

The MCMs feature temperature-sensing pins to ease assembly,reduce power consumption andprovide added confidence duringprinted circuit board (PCB) reflow.A combination of DC power-adjustand current-adjust capability allowsoperators to manage networksintelligently and reduce power consumption without sacrificingperformance, Qorvo says. Featuring Qorvo’s gallium nitride

on silicon carbide (GaN-on-SiC)process to deliver superior thermalconductivity, the RFCM3327 offers23dB gain while the RFCM3328offers 25dB gain. Both power doublers are capable of +63dBmVpower output, the highest perform-ance of their class it is claimed, andboth include an external current-control feature that allows overallpower consumption to be reducedby up to 20% while meetingrequired linearity specifications. The QPB8808 has a compact foot-

print, which saves up to 70% PCBspace over competing solutions, it

is reckoned. Operating at45–1218MHz, the 12V amplifieroffers 20.5dB gain and +58dBmvpower output, and includes a variable current bias adjust featureto conserve power while maintain-ing high output. Qorvo leads the CATV GaN RF

market with more that 50% market share, according to YoleDéveloppement, and offers morethan 60 DOCSIS 3.1-ready compo-nents (including attenuators,switches, power doubler amplifiers,pre-driver gain blocks, push-pullamplifiers and reverse-path ampli-fiers). Qorvo notes that it usesinternally developed process tech-nologies, including GaN high-elec-tron-mobility transistors (HEMTs),to deliver superior linearity, outputpower and reliability. The firm’sreverse-path amplifiers operate upto 300MHz — well beyond the cur-rent requirement of 204MHz forexisting return-path amplifiers. www.angacom.de www.qorvo.com

Diamond Microwave Devices Ltd ofLeeds, UK (which specializes inhigh-performance microwavepower amplifiers) has launched arange of X-band gallium nitride(GaN)-based pulsed solid-statepower amplifiers (SSPA) offeringintegrated monitoring and protec-tion.The new product range features

output power levels up to 300W,and an ultra-compact footprint ofonly 220mm x 150mm x 41mm(excluding heat-sink). Despite theirsmall size, the amplifiers includekey parameter monitoring withself-protect functions which areactivated if the SSPA detects thatthe VSWR (voltage standing waveratio) threshold, duty cycle or

current limits have been exceeded.The SSPA will also alert the hostsystem when a low-output-powercondition is detected, or when tem-perature limits have beenexceeded. An interface to the hostsystem is provided by Ethernetconnectivity.With variants covering the

8.4–9.6GHz band, the amplifiersare suitable for use as a solid-statealternative to travelling-wave tubeamplifier (TWTA) or magnetrontechnology in many radar appli-cations. “Like all our GaN SSPAs, these

amplifiers are extremely compact,”notes business development man-ager Ian Davis. “Our new productrange combines state-of-the-art RF

performance with practical systemhealth and usage monitoring func-tions,” he adds. “Similar designscan be tailored to alternative fre-quencies in the 1-18GHz range.”Diamond Microwave says that its

amplifier range features designsthat are flexible in layout and archi-tecture, and are fully customizableto meet individual specifications forelectrical, mechanical and environ-mental parameters. All amplifiersare suitable for use in demandingdefence, aerospace and communi-cations applications.Diamond Microwave exhibited at

the IEEE MTT-S InternationalMicrowave Symposium (IMS 2016)in San Francisco (24–26 May).www.diamondmw.com

Qorvo expands DOCSIS 3.1 CATV family with threenew power doubler MCM amplifiers

Diamond Microwave launches GaN X-band SSPAs withintegrated monitoring and protection

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

26

Airbus Defence and Space, which isreckoned to be the world’s secondlargest space company (and a divi-sion of Airbus Group, Europe’s topdefence and space enterprise), haswon its third contract in 18 monthsfor its latest gallium nitride (GaN)-based solid-state power amplifiers(SSPAs), bringing the total orderedto more than 350. In satellites, SSPAs are used to

amplify the signals from the groundready to be broadcast down to Earth.Satellites must take a signal, cleanit, and amplify it more than a billiontimes before re-broadcasting for pick

up by small satellite dishes on Earth. Investment leading Airbus’ latest

GaN SSPA was carried out as partof the European Space Agency’sAdvanced Research in Telecommu-nications Systems program, which issupported by the UK Space Agency. Designed for use in both commu-

nications and navigation satellites,the GaN-based SSPAs are claimedto have superior performance and50% less mass per Watt of RF out-put compared with previous gener-ations of SSPA technology. Inparticular, the flight L-band SSPAsdeliver RF power of 50–100W and

are 15% more efficient than previ-ous models. In laboratory demon-strations, the latest GaN SSPAshave produced RF power in excessof 200W in L-, S- and C-bands. “These significant orders prove

that the R&D investments we havemade over the last few years arepaying off,” says Charlie Bloomfield,Airbus Defence and Space’s head ofCommunication Products UK. “Ourexperts are now looking at how wecan further improve our design togive customers more power for lessmass.” https://airbusdefenceandspace.com

Airbus wins third contract for GaN satellite amplifiers

The US Army Research Laboratory(ARL) has entered into a collaborativealliance via a $1.1m grant withRaytheon Company of Waltham,MA, USA to develop Scalable, Agile,Multimode, Front End Technology(SAMFET) for the Army’s Next Gen-eration Radar (NGR) program. As part of a 24-month cooperative

research agreement within ARL’sAdvanced RF Technologies Program,Raytheon will help to create anddemonstrate modular buildingblocks that can easily integrate withnext-generation radar systems. NGR will enhance radar-reliant

Air Defense and Counter Rocket andMortar system performance, partic-ularly in portable configurationssuch as hand-held, vehicle-mounted

and airborne deployments. Raytheonwill work with ARL to explore newapproaches for designing and fabri-cating modular components thatwill fit into NGR’s open architecture,offering processing flexibility, agilityand efficiency across radar bands. “Raytheon’s storied track record of

innovation in applied radar technolo-gies uniquely positions us to play acritical role in the development of theUS Army’s Next Generation Radarsystem,” reckons Colin Whelan,Raytheon’s VP of Advanced Technol-ogy. “With the Army Research Lab,our team will leverage Raytheon’sdeep investment and unmatchedexpertise as a pioneer in galliumnitride technology to dramaticallyimprove radar capabilities.”

Raytheon’s efforts to mature GaNfor military production earned it thehighest OSD (Office of the Secretaryof Defense)-rated manufacturingreadiness level of any organizationin the defense industry. As it canefficiently amplify high-power signals at microwave frequencies,GaN enables radars to operate up tofive times more powerfully than witholder semiconductor technology, it isreckoned, and without overheating.Raytheon’s GaN components gen-erate RF at a third of the cost perwatt compared to gallium arsenidealternatives, deliver higher powerdensity and efficiency, and havedemonstrated mean time betweenfailures (MTBF) of 100 million hours. www.raytheon.com

US Army grants Raytheon $1.1m to develop GaN-basedfront-ends for Next Generation Radar program

Comtech Xicom Technology Inc ofSanta Clara, CA, USA — a part ofComtech Telecommunications Corp’sCommercial Solutions segment thatmakes tube-based and solid-statepower amplifiers (SSPAs) for militaryand commercial SatCom uplinkapplications — has won a $11.8mfollow-on production order for SSPAsfor airborne in-flight connectivity.

“These [GaN-based] products willenable high-speed satellite connec-tivity for airlines based in theAmericas, Europe, and Asia,” saysComtech Telecommunications Corp’spresident & CEO Dr Stanton Sloane.“Comtech continues to increase itspresence in this market and we lookforward to a long period of growthas we transition to higher-volume

production to meet the worldwidedemand for continuous connectivity.” Comtech Xicom Technology’s SSPA

range spans power levels from 8W to 3kW and frequencies in sub-bands within the 2–45GHz spectrum.Amplifiers are available for fixedand ground-based, ship-board andairborne mobile applications. www.xicomtech.com

Comtech wins $11.8m order for in-flight connectivity PAs

Distribution & Control Department

For sales, product & distributor information, please visit http://www.americas.fujielectric.com/componentsdistribution-control

or contact us: [email protected]

Compact! Ground Fault Protection Built in One Unit for Space Saving Designs

SameFootprint!MCCBs&ELCBsInterchangeablewithoutPanelModifications

18mm Per Pole Width(2P & 3P), IEC 35mm DIN Rail Mounting

Higher Capacity SCCR ⇒ 18kA@ 240VAC UL489

The New Generation of Low Voltage Earth Leakage Circuit Breakers

Fuji Electric Lambda SeriesNew, Compact, Powerful

News: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

28

Efficient Power Conversion Corp(EPC) of El Segundo, CA, USA,which makes enhancement-modegallium nitride on silicon (eGaN)power field-effect transistors (FETs)for power management applications,has announced the availability of acomplete demonstration multi-mode wireless power charging kit. EPC says that the superior charac-

teristics of eGaN FETs and ICsenable a lower-cost single transmitamplifier solution that can wire-lessly charge devices regardless ofthe standard used in the receivingdevice. The purpose of theEPC9121 demonstration system ishence to simplify the evaluationprocess of using eGaN FETs and ICsfor highly efficient multi-modewireless power charging systemsthat can cut across any standardused in the receiving units. Wireless power has arrived, but

along with its emergence are twoindustry standards to which end-products are being built — theWireless Power Consortium (Qi)standard and the AirFuel Alliancestandard — based on two differenttechnologies for accomplishingwireless power charging. The Qistandard, based on inductive cou-pling technology, uses a low-fre-quency (<300kHz) approach,

whereas the AirFuel standard usesa magnetic resonant technologyand has both low-frequency(100kHz through 315kHz) and high-frequency (6.78MHz) requirements. There is therefore a need for a

multi-mode solution, with a singletransmitter that can power areceiver built using either standard.The EPC9121 is claimed to be thefirst implementation of a single-amplifier multi-mode solution. GaNenables high efficiency for bothlow- and high-frequency modes,plus the solution also saves spaceand lowers cost, adds the firm. The 10W EPC9121 demonstration

system has four components:

● A multi-mode-capable EPC9511source (transmitteror power amplifier)board specificallydesigned to becompatible with allthe wireless stan-dards. It can oper-ate at either highor low frequency. ● A multi-modesource coil (trans-mit coil) compati-ble with both theAirFuel Class 2standard and Qi

(A6)/PMA standards. ● An AirFuel-compatible Category 3AirFuel device coil (receive coil)with rectifier and DC output. ● A Qi- and Power Matters Alliance(now AirFuel)-compatible devicecoil (receive coil) with rectifier andDC output. The EPC9121 demonstration kit

hence contains all the componentsneeded to demonstrate and evaluatemulti-standard wireless powercharging. The EPC9121 wireless power

charging demonstration system ispriced at $907.20 and is availablevia distributor Digi-Key. www.epc-co.com

EPC launches wireless multi-mode demo systemcompatible with all wireless power charging standards

To support its accelerating growththroughout Europe, EPC hasappointed Ismosys (the principaltrading division of the SpectrumElectronics Group) as its sales,marketing and technical supportrepresentative, assisting customersin adopting eGaN FETs and ICs forpower conversion systems usinggallium nitride. Founded in 1994, Ismosys

provides support to design houses,designers and engineers acrossEurope. This is achieved through 10regional offices covering the entire

EMEA (Europe, Middle East andAfrica) region and a centralizedresource, fostering sales, drivingmarketing and enabling technicalsupport. “Ismosys has extensive reach and

experience throughout Europe inmaking leading-edge electronicsavailable to designers and engi-neers,” comments EPC’s VP ofsales & marketing Nick Cataldo.“Their technical knowledge, alongwith their ability to provide localsupport, will provide the personaltouch for taking our products to

markets throughout Europe,” headds. “Our new partnership with EPC is

an exciting addition to our portfolioand will allow us to bring leading-edge power solutions to Europe,”says Ismosys’ managing directorNigel Watts. “Gallium nitride tech-nologies are an exciting innovationand will enable the design houseswe are partnered with and thewider European design communityto embrace GaN.” www.ismosys.com www.epc-co.com

Ismosys made European sales, marketing & technical support partner

News: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

29

Efficient Power Conversion Corp(EPC) of El Segundo, CA, USA —which makes enhancement-modegallium nitride on silicon (eGaN)power field-effect transistors (FETs)for power management appli-cations — has joined forces withHong-Kong-based ASD TechnologyLtd, which delivers solutions forapplications using eGaN technology.EPC develops technology for

displacing incumbent MOSFETs witheGaN FET and ICs that offer signifi-cant advantages in end-applicationssuch as DC–DC converters, wirelesspower transfer, envelope tracking,RF transmission, power inverters,remote sensing technology andClass-D audio amplifiers. Focused on imaging electronic

components, ASD has over 14 yearsof experience in providing compre-hensive customer solutions, fromconcept development to product

manufacturing. The firm offersdesign and manufacturing servicesto those seeking products for ship-ments worldwide to customers inglobal markets including the USA,Europe and Greater China. “Delivering innovative solutions

while reducing time to market hasbeen a challenge for many cus-tomers, especially when new tech-nologies are involved,” says ASD’sCEO Patrick Lee. “The potential ofthe gallium nitride technology willlead the next uptake of the semi-conductor industry, while customersalso look for effective solutionsfrom product conception to development and to manufacturing,”he believes. “We are very excited to be able to partner with EPC byintegrating its state-of-the-arteGaN technology with sophisticateddesigns for our customers whoengage us to innovate hand-in-hand

from conception to implementation,”he adds. “We have been looking for busi-

ness partners who have extensive,proven system design experiencefrom conception to implementationby supporting the ever-growingmarket demand for eGaN technol-ogy,” says EPC’s CEO & co-founderAlex Lidow. “In quarters ahead, welook forward to EPC and ASD’svalue-added partnership, which cansupport customers in their eGaN-based wireless charging designsand other applications whileexpanding our joint GaN businessdevelopment worldwide,” he adds.“We can see the markets for GaN-based products have been growingfast, and GaN-based applicationsare expected to displace MOSFET-based applications going forward.” www.asdtech.com www.epc-co.com/epc/Applications

EPC and ASD partner to speed customer designs from conception to manufacturing for eGaN-basedwireless power charging applications

At the IEEE InternationalMicrowave Symposium (IMS 2016)in San Francisco (24–26 May), the current state of the RF EnergyAlliance (RFEA) and the impact ithas made in its first two years wasshowcased by its executive directorDr Klaus Werner. The RF Energy Alliance was

founded in September 2014 byAmpleon, E.G.O. Elektro-GerätebauGmbH, Huber+Suhner, ITW, Rogers Corp and Whirlpool R&D (an affiliate company of WhirlpoolCorp) as a non-profit technicalassociation consisting of companiesdedicated to realizing solid-state RFenergy’s potential as a clean, highlyefficient and controllable heat andpower source. Membership hassince tripled. The Alliance aims tobreak down barriers to wide market

adoption by collaborating on methods that reduce costs andcomplexities of solid-state RF energy(SSRFE) systems. Recent globalindustry leaders to become membersinclude Panasonic, Miele, Cellencorand Passive Plus Inc. Research university Worcester PolytechnicInstitute has also joined theAlliance. Additionally,

the RFEArecentlyannouncedthe publicationof its firstspecification,the ‘RF PowerAmplifier (PA)Roadmap:ResidentialAppliances’,

which sets more than 40 technicalparameters for five PA module gen-erations targeting consumer cook-ing applications. “SSRFE specifications and engi-

neering roadmaps are essential tothe advancement of the RF powerand heating industry,” says Werner.“By addressing the necessary eco-nomical, technological and regula-tory challenges, we believe thatthis technology will successfullypenetrate high-volume, consumer-oriented markets in the very nearfuture,” he adds. Werner says thatmultiple companies have alreadyannounced SSRFE solutions lever-aging the guidelines. At IMS2016, the Alliance was

hosted by Ampleon (a developer ofSSRFE applications. www.rfenergy.org

RF Energy Alliance paves way for next-generationsolid-state RF energy applications at IMS

Solid-state RF energyspecificationsand engineeringroadmaps areessential to theadvancement ofthe RF powerand heatingindustry

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

30

EpiGaN nv of Hasselt, nearAntwerp, Belgium, which suppliescommercial-grade 150mm- and200mm-diameter gallium nitride onsilicon (GaN-on-Si) epitaxial wafers,says that on 7 June it received official notification from the International Organization for Standardization that its productionfacility is now fully certified to thequality management systemISO9001:2015. Incorporated in 2010, EpiGaN was

founded by chief executive officerDr Marianne Germain, chief tech-nology officer Dr Joff Derluyn andchief operating officer Dr StefanDegroote as a spin-off of nanoelec-tronics research center Imec ofLeuven, Belgium. The foundersjointly developed GaN-on-Si tech-nology at Imec, part of which hasbeen licensed to EpiGaN. In 2011, EpiGaN was joined by

start-up investment firms RobertBosch Venture Capital, CapricornCleanTech Fund and LRM to enablethe installation of its wafer produc-tion facility. In June, Beijing/Brussels-based Euro-Asia private equity fundA Capital joined the initial investorsto fund expansion of EpiGaN’s salesand support base to Asian markets.EpiGaN is now undertaking volumeproduction and wafer characteriza-tion at its Research Campus Hasseltin the Eindhoven-Leuven-Aachen

high-tech triangle. In January, thefirm signed a global representationagreement for its 150mm and200mm GaN-on-Si power semicon-ductor product solutions with siliconsubstrate maker SunEdison Semi-conductor of St. Peters, MO, USA. EpiGaN now delivers GaN-on-Si

and GaN-on-SiC epiwafers todevice makers worldwide for powerswitching, RF millimeter-wavepower and sensor applications,specifically shipping epitaxial(Al,Ga)N heterostructures grownon silicon substrates up to 200mmin diameter. In particular, EpiGaN isproducing GaN structures on Sisubstrates up to 200mm diameterat the 600V node to enable its cus-tomers to position themselves inrapidly growing market segments. ISO9001:2015 certification “reas-

sures our commercial customersand institutional program partners

on a globalscale of ourunwaveringcommit-ment to theprofessionalqualitymanage-ment of ourwafer deliv-eries,” saysGermain.“It proves

that EpiGaN is a well-establishedentity in its field,” she adds. “Thereare not many organizations thatare certified to the new updatedversion of the standard.” The full range of quality manage-

ment measures according toISO9001 has been in place inter-nally at EpiGaN's Hasselt campussince 2012. “The EpiGaN qualitymanagement system has grown uptogether with the company: all theprescribed standardization proce-dures had been installed, docu-mented and maintained accordingto the ISO9001 requirements,"notes Domenica Visalli Ph.D., GaNproject engineer & quality manager.“The formal application procedurefor ISO9001:2015 was launched inJanuary 2016 and we received thefinal notification within the usualtime frame on 7 June.” www.epigan.com

EpiGaN’s production facility certified as compliantto ISO9001:2015 quality management standard

At the 28th IEEE InternationalSymposium on Power SemiconductorDevices and ICs (ISPSD 2016) inPrague, Czech Republic (12–16 June),engineered ceramics supplierCoorsTek Inc of Golden, CO, USAunveiled its enhanced gallium nitride onsilicon (GaN-on-Si) epitaxial wafers. For the first time at this event,

CoorsTek displayed the integratedcapabilities of CoorsTek and Covalent Materials (formerlyToshiba Ceramics, now CoorsTek KK)

including: ● GaN-on-Si epiwafers for powerdevices and integrated circuits (ICs); ● aluminum nitride (AlN) substratesfor hybrid circuits; and ● engineered ceramic componentsfor semiconductor processingequipment made from PureSiC CVDsilicon carbide, PlasmaPure high-purity alumina, and other technicalceramics. “The latest CoorsTek GaN-on-silicon

technology helps customers achieve

higher device manufacturing yieldsand breakdown voltages based onlower defect densities and leakagecurrent,” says R&D manager JunKomiyama PhD. “The shift from150mm- to 200mm-diameter GaN-on-Si process is also improvingthe economics for power electronicsin electric and hybrid automobiles,solar photovoltaic inverters, RF andmicrowave power, and more.” www.coorstek.comwww.ispsd2016.com

CoorsTek unveils enhanced GaN-on-Si epiwafers

II-VI Inc of Saxonburg, PA, USAsays that the Advanced MaterialsDivision of its Performance ProductsSegment in Pine Brook, NJ, haspurchased a SICA88 SiC inspection& analysis tool made by theLasertec Corp of Tokyo, Japan, forinstallation at II-VI’s silicon carbide(SiC) substrate manufacturing facility in Starkville, MS, USA. “This purchase enables II-VI to

gather data to further its alreadystate-of-the-art SiC substrate tech-nology,” says II-VI Advanced Mat-erials’ general manager Dr GaryRuland. “The SICA88 providesadvanced sensitivity and analysiscapabilities that II-VI can utilize toimprove the quality of our SiC substrates,” he comments. “Theaddition of the SICA88 in our production line will allow II-VI tofind and analyze substrate and surface defects more efficiently and

effectively, in order to enhance ourdefect reduction efforts, providecustomer support to enable higherdevice yields, and to continueadvancing our ongoing efforts tomake SiC-based devices more costeffective. In addition, the SICA88’snew photoluminescence capabilitywill enable us to detect otherdefects important to our epi anddevice customers such as substratestacking faults,” Ruland continues. “SICA is

designedto help fabengineersaccelerateyieldimprove-ments byprovidinghigh-sensi-tivity, high-throughput

defect inspection and highly accu-rate on-the-fly defect classificationalong with a fully automated defectreview feature,” says HirokazuSeki, general manager of Lasertec’sTechnology Department. “SICAenables SiC substrate and devicemanufacturers to take correctiveaction sooner and improve theiryields more quickly. The tool’s userinterface provides an intuitivegraphical method for creatinginspection recipes, further increas-ing its ease of use for automatedreporting,” he adds. “Lasertec willcontinue to pursue the develop-ment and advancement of defectinspection technologies in order tofacilitate the further enhancementof power device quality and produc-tivity.” www.iiviadvmat.com www.lasertec.co.jp/en/products/environment/sic/sica88.html

II-VI purchases Lasertec SICA88 inspection & analysistool for SiC substrate manufacturing

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

31

The SICA88provides advancedsensitivity andanalysiscapabilities thatII-VI can utilize to improve thequality of our SiCsubstrates

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

32

3D-Micromac AG of Chemnitz, Germany (which supplies lasermicromachining systems and roll-to-roll laser systems for thephotovoltaic, medical device andelectronics markets) says that itsmicroDICE laser micromachiningsystem has been adopted by amajor industrial manufacturer forvolume production of high-powerdiodes. Leveraging its proprietary thermal

laser separation TLS-Dicing tech-nology, the microDICE system issaid to provide fast, clean and cost-effective dicing of wafers usedfor advanced semiconductor andpower device applications. Its uniqueapproach uses thermally inducedmechanical stress to separate brittle materials such as silicon, silicon carbide (SiC), germanium(Ge) and gallium arsenide (GaAs). TLS-Dicing is a contact- and

residue-free process that is claimedto provide significantly higherthroughput, higher yields and greaterfunctionality compared with tradi-tional die-separation technologies

(e.g. throughput is up to 30 timesgreater compared with saw dicing).The technology also provides lowercost of ownership than otherapproaches, the firm adds. As aforceless and contactless machin-ing process, TLS-Dicing eliminatestool wear and requires no expensiveconsumables for surface cleaning— resulting in cost savings of up toan order of magnitude or more, it isreckoned. “While significant time and

resources are invested in the front-end of semiconductor manu-facturing to produce a completedproduct wafer, back-end wafer processing has historically beenviewed as a necessary evil,” saysCEO Tino Petsch. “That is allchanged with the adoption of newtypes of wafer substrates, thinnerwafers and scaling to smallerdimensions, larger-size substrates,and new packaging technologieslike 3D-stacking,” he adds. “Back-endprocess steps such as wafer dicingare evolving as critical value-addprocess steps that not only ensure

but also further enhance deviceyields. Using our TLS-Dicing technology, the microDICE systemprovides superior wafer dicing performance over other approacheswhile considerably reducing the dicingcost per wafer. Our technology hasbeen proven in the photovoltaic andother industrial markets, and weare pleased to bring the benefits ofit to the semiconductor and powerdevice manufacturing industry.” 3D-Micromac is also expanding its

global infrastructure with the open-ing of its new 3D-Micromac AmericaLLC headquarters in San Jose, CA,in the heart of Silicon Valley. Servingas both an applications lab andsales and support facility, the officemarks the firm’s first major presencein North America and will enable itto better meet rising customerdemand for its laser micromachiningproducts across all of its servedmarkets, including solar, semicon-ductor, MEMS, display and smartglass. http://tls-dicing.com http://3d-micromac.com/int/

3D-Micromac microDICE laser micromachining systemadopted for volume production of high-power diodes New North American HQ in San Jose adds applications lab andservice/support facility

UK Government agency Innovate UK(formerly the Technology StrategyBoard) is inviting businesses toapply for a share of £4m in fundingthat it is investing to help speed thedevelopment of new applicationsfor compound semiconductors. Since 2000, the UK has invested

nearly £750m in of compoundsemiconductor development. Theglobal market is forecast to grow toabout £125bn by 2025, and it isreckoned that the UK is well placedto exploit this market. The aim of the funding competition

is to ensure that UK businesses can respond to market opportuni-ties in compound semiconductors.Innovate UK is therefore seekingproposals with large and scalablecommercial potential in areas suchas power electronics, RF/microwave,photonics and sensors. Lasting between 6 months and

15 months, the total costs of aproject are expected to range from£50,000 to £500,000. A projectmust be led by a business, but forcosts of £100,000 or above it mustalso be collaborative. Projects may

also lead to future collaborationwith the £50m UK national Com-pound Semiconductor ApplicationsCatapult scheme (launched in January). The registration deadline is noon

on 19 October, and the applicationdeadline is noon on 26 October. www.gov.uk/government/publications/funding-competition-compound-semiconductor-applications www.catapult.org.uk/catapult-centres/compound-semiconductor-applications-catapult

Innovate UK offering £4m funding for projects to speeddevelopment of compound semiconductors applications Power electronics, RF/microwave, photonics and sensors targeted

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

33

At its annual Imec Technology Forum(ITF) USA on 11 July, a half-dayconference at the San FranciscoMarriott Marquis hotel held in conjunction with the SEMICON Westtrade show and supported byindustry association SemiconductorEquipment and Materials Interna-tional (SEMI), nanoelectronics andphotovoltaics research centre imecof Leuven, Belgium has announcedthe opening of imec Florida inOsceola, FL, USA, a new entityfocusing on photonics and high-speed electronics IC design. Imec Florida kicked off with the

signing of a collaboration agreementwith the University of Central Florida(UCF), Osceola County and theInternational Consortium forAdvanced Manufacturing Research(ICAMR), that is setting up fabfacilities for the development andproduction of III-V-on-silicon solutions for a range of applicationsincluding sensors, high-speed electronics and photonics. Imec Florida will be established as

a design center facilitating collabor-ation between imec’s headquartersin Leuven and US-based semicon-ductor and system companies, universities, and research institutes.The initial focus will be R&D onhigh-speed electronics and photonicssolutions, starting with IC designresearch for a broad set of semiconductor-based solutionssuch as terahertz (THz) and LIDAR(light detection and ranging) sensors,imagers, and a broad range of sensors. It will also provide ICdesign needs that will be drivingthe ICAMR manufacturing research.Through imec Florida, imec’sdesign, prototyping and low-volumeproduction service (imec IC-link)will provide the US market withlow-cost access to advanced

foundry services, helping entrepre-neurs (in industry and academia) todesign innovative products and getthem to market. Funding for imec Florida will come

from Osceola County and the University of Central Florida.Through future strategic partnerships,the new center aims to employabout 10 scientists and engineersby the end of 2016 and to increasethis to 100 researchers in the nextfive years. Heading up the facilityas general manager will be imec’svice president Bert Gyselinckx whopreviously served as general man-ager at imec in Eindhoven, TheNetherlands, and helped to co-invent many technologies deployedby innovative semiconductor andconsumer electronics companies. “As the US semiconductor market

continues to strengthen with semiconductor manufacturing,equipment, materials and systeminnovation, we are extremelypleased to collaborate with partnerorganizations in Florida, and seeOsceola County in the Orlandoregion as an interesting location todrive the next phase of imec’sgrowth and innovation,” said imec’spresident & CEO Luc Van den hove.

“Togetherwithindustrialand academicpartners,we wantto developsustain-able solutionsand technol-ogy toaccelerateinnovation

and stimulate economic growthwithin Osceola County and theState of Florida,” he added. “Imec’s international prestige

gives us the opportunity to leverageits standing in a field that is growingexponentially in order to recruitmore partners and funding for ourwork at the new Design Center andthe Florida Advanced ManufacturingResearch Center (FAMRC),” commented Osceola County Com-mission chairwoman Viviana Janer.“The relationships and people thatimec brings to our operation aretangible ways that Osceola County’s5-year, $15m investment will bemore than re-paid… The newDesign Center is going to capturethe attention of everyone in thisfield, thereby ensuring maximumutilization and value of the FAMRC,”she added. “The imec Design Center is the

funnel that will fill ICAMR with high-value manufacturing opportu-nities and we will work closely withthem to make sure our capabilitiestightly align with their technologydirection,” stated ICAMR’s CEOChester Kennedy. www.imec.bewww.icamr.net

Imec extends global R&D footprint withopening of imec Florida Initial collaboration with University of Central Florida andInternational Consortium for Advanced Manufacturing Research to set up III-V-on-silicon fab

Signing ceremony for imec Florida with ICAMR at Osceola County.

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

34

In a trading statement for first-half2016, epiwafer foundry and substrate maker IQE plc of Cardiff,Wales, UK says that it expects todeliver a significant increase in revenues and profits comparedwith first-half 2015 and continues toreduce its balance sheet leverage(deferred consideration plus netdebt). Sales are expected to be at least

15% higher than first-half 2015,with the business seeing increasingdiversification of revenue. “The group’s continued diversifica-

tion of revenues is improving itsresilience to end-market fluctua-tions, and our Photonics businesscontinues to deliver strong double-digit growth driven by a range ofemerging end-markets which areprimed for significant and sustain-able growth,” says chief executiveDr Drew Nelson. In particular, it iswidely accepted that the photonicsmarket will continue its rapidgrowth over the coming years asvertical-cavity surface-emittinglasers (VCSEL) and indium phosphide

(InP) lasers are increasingly adoptedfor a wide range of end-marketapplications including consumerproducts, fiber-optic communications,data centres and industrial processes. License income from joint ventures

is expected to be about £3.5m forfirst-half 2016, with both joint ventures making good progress.“Our Wireless and InfraRed businesses were stable, deliveringslight increases in revenues [year-on-year],” says Nelson.The depreciation of Sterling

against the US dollar due to Brexitoccurred shortly before the end ofthe half year, and as a result thefinancial impact on first-half 2016was limited (translated at averageexchange rates). However, the balance sheet impact was moresignificant, with a presentationalincrease in both assets and liabilities(converted at spot rate). Despitethis, IQE has continued to reduceits leverage in both Sterling and US dollar terms due to its strongcash generation. Deferred consid-eration for previous acquisitions will

be completely eliminated by Sep-tember. IQE says that, other thanthe impact of currency fluctuations,it does not see any material impactof the decision to exit the EU on itsbusiness or prospects. “The growth in IQE’s sales and

profits reflect the increasing diver-sification of its revenues, the grow-ing breadth of its end markets, andthe strength of its IP portfolio,” saysNelson. “As a result, the group’sstrong cash generation continues tode-leverage its balance sheet, withthe deferred consideration fromprevious acquisitions on track to becompletely eliminated by the end ofSeptember,” he adds. IQE says that during first-half 2016

it made good progress on newproduct development and qualifica-tions, strengthening its IP position,and re-enforcing a positive outlook.The board hence remains confidentthat the firm is on track to achievefull-year expectations. IQE will report its full results for

first-half 2016 on 13 September. www.iqep.com

IQE’s first-half growth of 15% year-on-yeardriven by diversification Double-digit growth in photonics driven by a range of emerging end-markets

In-situ metrology system makerLayTec AG of Berlin, Germany says that epiwafer foundry andsubstrate maker IQE plc of Cardiff,Wales, UK has purchased a largenumber of its latest metrology sys-tems for fab-wide metal-organicchemical vapor deposition(MOCVD) process control. In close collaboration with IQE,

LayTec has implemented auto-mated and highly precise newanalysis algorithms into its Gen3metrology tools, which utilize anupdated x-ray diffraction (XRD)gauged high-temperature nk data-

base of aluminium gallium arsenide(AlGaAs). This was the key tomeeting the demands of theworld’s leading compound semi-conductor wafer foundry, saysLayTec. With its in-situ metrology,the fab’s MOCVD systems can betuned much more quickly to newand usually complex processes forbest serving IQE’s large customerbase, it adds. “As the global leader in wafer

outsourcing, IQE is committed todeliver the highest product qualitystandards to its customers,” saysIQE’s engineering & operations

director Matthew Geen. “LayTec’snew unrivalled growth processanalysis offers a compelling alternative to expensive calibrationruns by enabling us to extractmaterial parameters in-situ duringproduction,” he adds. “Our systems cover a complete

range of thin-film applications, providing access to all significantthin-film growth parameters,” saysLayTec’s chief technology officer Dr Kolja Haberland. www.iqep.com www.laytec.de/GaAs www.laytec.de/gen3

IQE equips complete fab with LayTec tools for MOCVD process monitoring

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

35

Deposition equipment maker Aixtron SE of Herzogenrath, nearAachen, Germany says that it isworking with five partners in theHEA2D project to investigate theproduction, qualities and applicationsof two-dimensional nanomaterials. When integrated into mass-

production processes, 2D materialshave the potential to create integ-rated and systematic product andproduction solutions that are sus-tainable in social, economic andecological terms, says Aixtron.Using 2D materials can help toaddress topics such as climatechange, an environmentally friendlyand affordable energy supply ormobility, addressing the increasingscarcity of resources, adds the firm.These materials also enable inno-vative solutions to be explored.Even though the potential harboredby this new class of materials hasbeen demonstrated for increasingnumbers of applications in a labora-tory environment, attempts athigh-volume product manufacturingfunctionalized with 2D materialshave failed due to the fragmentedproduction chain, notes Aixtron.Innovations based on 2D materialshave hence not yet led to any majorproduct innovations in practice.

HEA2D is now researching an end-to-end processing chain consistingof various deposition processes for2D materials, processes for transferonto plastic foils, and mass integ-ration into plastics components.Aixtron’s partners for implementingsystems technology and integratingmaterials into plastic molded partsare the Fraunhofer Institute forProduction Technology (IPT),Coatema Coating Machinery GmbH,and Kunststoff-Institut für die mittelständische Wirtschaft NRWGmbH (K.I.M.W.) Lüdenscheid. The work is being supported interms of nano-analytics and thedevelopment of prototype compo-nents by the Electronic Materialsand Nanostructures workgroup inthe Engineering Faculty of the University of Duisburg-Essen (Uni-DuE) and by the Graphene-based Nanotechnology workgroup inthe Science and Technology Facultyof the University of Siegen. Drawing on co-operations already

in place at the project partners, theproject results will be communicatedto interested companies worldwide.The aim is to integrate suggestionsfrom end users into the productionchain at an early stage of develop-ment. The project will hence also

use the platform operated by theGraphene and 2D Materials special-ist group and the North Rhine Westphalia plastics cluster Kunststoffland NRW. One focus of Aixtron’s subproject

involves researching (MO)-CVD(metal-organic) chemical vapordeposition processes and systemstechnology for depositing opticallyactive 2D semiconductor materials,e.g. molybdenum tungsten (Mo, W),selenium sulfur (Se, S) and graphene.Also, together with its project part-ners, Aixtron will devise a roll-to-rollconcept for use in the synthesisand transfer of graphene. To ensure the efficient realization of

a demonstration tool that is a coreaspect of the HEA2D innovations,preliminary investigations will beperformed, particularly to identifysuitable source chemicals, on anexisting system at Aixtron’s appli-cations laboratory. Based on thefindings, the existing system tech-nology will be enhanced. Togetherwith project partners, demonstra-tors based on 2D semiconductormaterials will be manufactured. HEA2D is being supported by the

European Fund for Regional Devel-opment (EFRE) 2014–2020.www.aixtron.com

HEA2D consortium to create basis for end-to-endprocessing chain for 2D nanomaterials

AKHAN Semiconductor Inc ofGurnee, IL, USA, which manufac-tures nanocrystalline (NCD)-basedmaterials & devices, has joined theUS Council on Competitiveness, anonpartisan, leadership organization. AKHAN Semiconductor Inc was

formed in late 2012 as a subsidiaryof AKHAN Technologies Inc, whichwas founded in 2007 by Adam Khanto commercialize Diamond LatticeTechnology for diamond-basedsemiconductor devices. The firm’sIP portfolio combines AKHAN’sMiraj Diamond portfolio with low-temperature diamond deposition

technology developed by ArgonneNational Laboratory’s Center forNanoscale Materials. “The Council prides itself on its

innovative membership, and AKHANembodies the type of forward-looking,advanced companies that will keepthe US competing at the highestlevel in the coming decades,” comments Council president & CEODeborah L. Wince-Smith. Founder & CEO Adam Khan joins

more than 140 industry CEOs, university presidents, national labdirectors and labor leaders on theCouncil, which celebrates its 30th

anniversary this winter. The groupidentifies policy solutions and cre-ates public–private partnershipsbetween its members and the fed-eral government in order to ensureUS prosperity in the global economy. “Having pledged to increase the

US economic competitiveness inthe global marketplace through ourleadership and growth in the diamond semiconductor market,AKHAN’s work and goals are wellaligned with the broader mission ofthe Council,” says Khan. www.akhantech.com www.compete.org

AKHAN joins US Council on Competitiveness

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

36

Atomic layer deposition (ALD) thin-film technology firm Picosun Oyof Espoo, Finland, says that it isnow providing equipment and solu-tions for the commercial utilizationof photo-assisted ALD. Photo-ALD is said to enable novel

ALD processes, area-selective filmdeposition, low deposition tempera-tures, savings on precursor chemi-cal consumption and costs, andlower environmental impact of theALD processing. Photo-assisted ALD uses light to

enable ALD film growth. Whereas inregular ALD a film grows from twogaseous precursors that react onthe coated surface one by one, inphoto-ALD only one chemical isneeded — light takes care of the rest. In photo-assisted ALD, the coated

surface is exposed to alternatepulses of precursor vapor andflashes of high-intensity light. Theenergy of light makes the precursormolecules on the surface chemicallyconvert into the desired coatingmaterial. Alternatively, two pre-

cursors can be used but the otherbecomes reactive only when illumi-nated. When only one precursor isrequired, both costs and the envi-ronmental effect of the process arelower. In conventional ALD relyingfully on gaseous precursors, area-selective film growth is particularlydifficult to achieve and oftenrequires additional processing stepsfor deposition and etching of passi-vation layers. Light, on the otherhand, is easy to block from theareas that need to be left uncoated,and sharply defined patterns canbe created without direct contact tothe substrate or exposure to chem-icals directing the film growth. Also,when the energy of light replacesthe energy of heat (the drivingforce of conventional thermal ALD),processing can be performed atmuch lower temperatures than inregular ALD. “The photo-ALD method has been

investigated only marginally thisfar, mostly because of the lack ofproper equipment,” notes professor

Mikko Ritala of Finland’s Universityof Helsinki. “Now, using Picosun’sphoto-ALD tools we have been ableto develop this technology andrelated chemistry for several keyALD processes. Potential appli-cations can be found in MEMS(micro-electro-mechanical systems),sensors and other advanced micro-electronics (for example, selectiveALD to keep the chip contact areasclean), and solar cell manufacturing,”he adds. “Picosun’s ambition is to take ALD

where it has never gone before, toenable novel, disruptive industrialsolutions and cutting-edge newproducts,” says Picosun’s managingdirector Juhana Kostamo. “Ourphoto-ALD system has enabledgreat results at our long-term collaboration partner University ofHelsinki,” he adds. “It is fascinatingto introduce this technology to ourindustrial partners to help themfind new ways to solve their manu-facturing challenges.” www.picosun.com

Riber S.A. of Bezons, France, whichmanufactures molecular beam epitaxy (MBE) systems as well asevaporation sources and effusioncells, has reported a 23% rise inrevenue from €5.7m in first-half2015 to €7m in first-half 2016,comprising 56% from Asia (up from43%), 24% from the USA (up from6%) and 20% from Europe (downfrom 40%). MBE systems sales were solid

during first-half 2016. The numberof systems sold has fallen from four research machines in first-half2015 to two machines, but one ofthese was a production machine, sorevenue rose by 29% from €2.8mto €3.6m.

Sales of services & accessories(€2.4m, up 14% from €2.1m) andcells & sources (€1m, up 25% from€0.8m) are up 17% collectively onfirst-half 2015. This growth reflectsRiber’s improved commercial performance in its long-standingmarkets, combined with the development of its position in theUSA following its acquisition of thefirm MBE Control Solutions of Santa Barbara, CA, USA in March2015. Order have risen by 40% from

€6.3m in first-half 2015 to €8.8min second-half 2016. Specifically, MBE system orders

(for delivery in 2016) have risen by33% from €4.5m to €6m, compris-

ing five machines (including oneproduction machine). Orders for services & accessories

have grown strongly by 90% from€1.1m to €2.1m. Orders for cells &sources are level at €0.7m. Riber says that, against a back-

drop of moderate growth for the MBE market, the upturn incommercial business has beendriven by the signing of severalnew contracts and supported bydevelopment of the range of services and accessories offered. Based on these factors, Riber

confirms its full-year 2016 revenuetarget of at least 30% growth on2015. www.riber.com

Riber’s first-half revenue rises 23% year-on-year, driven by MBE system sales Orders rise 40%, as services & accessories orders almost double

Picosun and University of Helsinki develop photo-assisted ALD

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

37

Epitaxial deposition and processequipment maker Veeco Instru-ments Inc of Plainview, NY, USAhas received the first order from aChinese customer for its GENxplorR&D molecular beam epitaxy (MBE)system. Nanjing University isscheduled to receive the system insecond-quarter 2016. The GENxplorsystem is said to be the top-sellingMBE system worldwide since itsintroduction in August 2013. Nanjing University purchased the

system as an addition to its Materials Science and Engineeringresearch program, led by professorDr Hong Lu. It will enable the epi-taxial growth of III-V semiconductormaterials for applications includingoptoelectronic devices and thermo-electric energy conversion. “The open-architecture design and

superior technology of the GENxplor

system is a welcome addition to theresearch facility of the National Lab-oratory of Solid State Microstructuresand College of Engineering andApplied Sciences at Nanjing,” says Lu.The GENxplor system deposits

epilayers on substrates up to 3” indiameter and is used for a widevariety of applications such asdeveloping high-speed transistors,fiber lasers for material processing,and wireless technology. Veeco

says that its efficient sin-gle-frame design com-bines all vacuumhardware with on-boardelectronics to make it upto 40% smaller thanother MBE systems, savinglab space. “Endorsement from

Nanjing University and Dr Lu firmly reinforces

GENxplor as the leading R&D MBEsystem in the industry,” says GerryBlumenstock, VP of Veeco’s MBEOperations. “After launching thesystem at the 2013 China MBEConference, we are excited thatNanjing University, a leading materials science and condensedmatter physics research university,is the first customer to install aGENxplor in China.” www.veeco.com

Veeco wins first MBE R&D system order fromChinese university

Detecting thin-film strain in-situduring epitaxial growth through thetiny openings of the showerheadview-ports of a metal-organicchemical vapor deposition (MOCVD)reactor is a challenge, notes LayTec.However, the firm says that, byusing advanced software algorithms,

it has improved the signal-to-noiseratio of its EpiCurveTT tool by a fullorder of magnitude. The wafer bow data in the Figure

(green line) shows that the wafercurvature noise in the Close CoupledShowerhead (CCS) reactor is nowjust 0.3km–1. With this improve-

ment, in-situ strain balancing ortuning the AlGaN lattice constant(strain changes from compressiveto tensile during AlGaN growth atabout 1000s) is now possible withaccuracy levels formerly knownonly for ex-situ x-ray diffraction(XRD) methods, says the firm.

High-resolution wafer bow measurements for CCS reactors

Aluminium gallium nitride (AlGaN)buffer layers with high aluminiumcontent are necessary for optimalUV-C LED performance. But theirband-edge lies below 300nm, soestablished 405nm in-situ reflectanceis insensitive to the surface morphology of such AlGaN layers.To monitor precisely both theAlGaN growth rate and surfacemorphology during UV-C LED epitaxy,in-situ metrology system makerLayTec AG of Berlin, Germany ishence offering an additional 280nmreflectance channel that employs aUV-C LED as a light source. The Figure shows the results

measured in-situ during growth ofan AlGaN layer: The Fabry–Perotoscillations of the final AlGaN layer

are damping out because the bandedge of the material shifts toward

longer wavelengths atthe growth tempera-ture. The smallreflectance reductionat 12,000s indicates asmall roughening ofthe AlGaN surface.The green line deliversthe high-resolutionwafer bow data. This study is sup-

ported by AdvancedUV for Life funding(grant number03ZZ0105C) from the German FederalMinistry of Educationand Science (BMBF).

www.advanced-uv.de www.laytec.de/UVLED

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

38

LayTec offers EpiTT 280nm reflectance channel tosense AlGaN surface morphology in UV-C LED epi

LayTec says that, following therequest of customers and utilizingthe modular concept of its newGen3 in-situ platform, it has cus-tomized and expanded the relatedin-situ metrology performance forvertical-cavity surface-emittinglaser (VCSEL) epitaxy. VCSELsgrown on gallium arsenide (GaAs)are currently emerging as a leadingtechnology in rapidly expandingmarkets such as gesture recognition,3D imaging, datacom and others.In May, one of LayTec’s lead usersin Europe placed the first order forsuch a system (the EpiTT/VCSEL),to be shipped by the start of 2017. The EpiTT/VCSEL contains two

fiber-optical heads: one for a stan-

dard EpiTT and one for spectralreflectance sensing (R-VCSEL).Both can also be mounted via anadapter flange on an EpiCurve head,making an EpiCurveTT/VCSEL system(pictured). This allows integrationof full EpiCurveTT performance with the spectral monitoring of distributed Bragg reflector (DBR)stop-bands and cavity dip position.In the photo, this 4-in-1 metrologytool is mounted atop an Aixtron G3Planetary metal-organic chemicalvapor deposition (MOCVD) reactor. The EpiTT/VCSEL and EpiCurveTT/

VCSEL are powered by new softwaremodules that enable both single-pocket and multi-pocket operation. www.laytec.de/VCSEL

Growth of AlN/AlGaN(60%Al) on a sapphire/AlNtemplate in Aixtron CCS 6x2 reactor: black – 280nmreflectance; blue – 405nm reflectance; green – high-resolution wafer bow; red – true temperature.

LayTec receives first order for EpiTT/VCSEL

EpiCurve TT/VCSEL – the fourdimensions for VCSEL epi: measuredfeature #1 – wafer temperature(EpiTT head), #2 – growth rates(EpiTT head), #3 – wafer bow(EpiCurve head), #4 – spectralreflectance (R-VCSEL head) – allsensing via the purged view-port of anAixtron G3 Planetary MOCVD reactor.

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

39

Deposition equipment maker Aixtron SE of Herzogenrath, nearAachen, Germany says that opto-electronics manufacturer XiamenChangelight Co Ltd of Xiamen,Fujian Province, China has finalizedqualification of its AIX R6 CloseCoupled Showerhead metal-organicchemical vapor deposition (MOCVD)system, which is designed particu-larly for LED mass production.In the course of the qualification

process, the AIX R6 not only demon-strated its production capabilitiesbut also proved what is reckoned to

be outstanding performances interms of gas consumption, intrinsicyield and uniformity. Changelighthas now put the AIX R6 system intoproduction for LED high-volumemanufacturing. “By meeting Changelight’s

production standards, we haveachieved another important customer qualification milestone for the AIX R6,” says Aixtron’sexecutive VP & chief operating officerDr Bernd Schulte. “Based on ourlong-standing and great businessrelationship with Changelight, we

are looking forward to furtherdeepening our cooperation in thefields of gallium arsenide (GaAs)-and gallium nitride (GaN)-basedapplications,” he adds.Founded in 2006, Xiamen

Changelight mainly produces full-color ultra-bright LED epitaxialwafers and chips, high-performanceGaAs solar cells and LED lightingproducts. The firm also providesenergy-saving lighting applicationsolutions. www.changelight.com.cn/en www.aixtron.com

Changelight qualifies Aixtron’s AIX R6 and putsMOCVD system into LED mass production

In-situ metrology system makerLayTec AG of Berlin, Germany saysdeposition equipment makerAixtron SE of Herzogenrath, nearAachen, Germany has qualifiedEpiNet 2016, its latest control andanalysis software for LayTec’sEpiTT and EpiCurve TT products.

The purpose of EpiNet 2016 isto turn a metrology system’s in-situ data into high-level information. “With EpiNet 2016,our customers have access tokey features of LayTec Gen3metrology tools on our Aixtron’sMOCVD platform,” says

Aixtron’s director of technologyDr Christian Geng. “Theimproved performance andrelated customized upgradepackages of EpiNet 2016 will addfurther values to Aixtron-drivenepitaxy processes.” www.laytec.de/epinet

Aixtron qualifies LayTec’s EpiNet 2016 software

SPTS Technologies Ltd of Newport,Wales, UK (an Orbotech companythat manufactures etch, PVD andCVD wafer processing solutions forthe MEMS, advanced packaging,LED, high-speed RF on GaAs, andpower management device markets)has been presented with a Supplier Excellence Award byQorvo Inc in appreciation of SPTS’hard work, support and commitmentto excellence. Formed from the merger of RF

Micro Devices Inc of Greensboro,NC and TriQuint Semiconductor Incof Hillsboro, OR, USA, Qorvo hasmore than 7000 staff globally and provides RF solutions formobile, infrastructure and

aerospace/defense applications.SPTS is a preferred supplier toQorvo, and its Sigma fxP PVD solu-tion is the process of record fordepositing piezoelectric films. “Qorvo needed to quickly and

cost-efficiently increase productioncapacity of their Richardson, Texasline in order to meet customerdemand,” says Kevin Crofton, president of SPTS Technologies andcorporate VP at Orbotech. “Theyset some challenging timescales,but by adapting our manufacturingand installation processes, we wereable to meet their requirements onschedule and to specification. ThisQorvo Supplier Excellence Awardrecognizes the results achieved

through hard work and close collaboration between our teams,”he adds. “We have been impressed with the

ability of SPTS to achieve the promised delivery deadlines tomeet our planned ramp, with theflexibility to accommodate latechanges, while also providing cost-savings associated with re-config-uring existing toolsets and efficienthand-over of all the tools,” com-ments Howard Witham, VP, TexasOperations, Qorvo. “Open and clearcommunication between us hasbeen key at all stages from PO toprocess qualification.” www.qorvo.com www.spts.com

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

40

SPTS receives Supplier Excellence Award from Qorvo for Outstanding Support and Commitment to Excellence

Semiconductor process equipmentmaker SAMCO Inc has held a completion ceremony for its secondproduction center (a two-floorsteel-framed building adjacent tothe headquarters in Kyoto, Japan),which began construction in Januaryand is expected to begin operationin the fall. The new center boostsSamco’s original shipment capacityof ¥6–7bn per year to a total of¥10–11bn per year). Samco offers systems and services

that revolve around three majortechnologies, namely thin-filmplasma-enhanced chemical vapordeposition (PECVD), metal-organicchemical vapour deposition (MOCVD)and atomic layer deposition (ALD)systems; microfabrication withinductively coupled plasma (ICP)

etch-ing,reactiveionetching(RIE)and

deep reactive ion etch (DRIE) systems; and surface treatmentwith plasma cleaning and ultraviolet (UV) ozone cleaning systems. “We expect to see an increased

demand for dry etching and CVDsystems due to the Internet ofThings’ [IoT’s] rapid expansion, aswell as anticipated growth in themedical and robotics industries,”says president, chairman & CEOOsamu Tsuji. “With the completionof our newest production center,

Samco is prepared to meet thosedemands.” Containing a total land area of

1260m2, the second productioncenter’s total floor space is 1130m2

(including a 217.612m2 cleanroom).It will mainly be used to assembleand modify processing equipmentfor mass production. The ¥600minvestment also included renovationof the existing production technologybuilding. The new center’s eco-friendly

design utilizes LED lighting, as wellas an energy-efficient air condi-tioner and large-scale solar panelthat spans the roof and provides aportion of the building’s electricity(up to 50kW/h). Also, the roof iscoated with high-insulation paint. www.samcointl.com

Riber S.A. of Bezons, France, whichmakes molecular beam epitaxy (MBE)systems as well as evaporationsources and effusion cells, hasreceived an order for a Compact 21TMBE research system for delivery in

2016 to a laboratory in Asia, whereit will help to expand capabilities forresearch on III-V structures. The Compact 21T is derived from

the Compact 21 thin-film deposi-tion research system. The system

was chosen for its high level of flex-ibility, its reliability and its capacityto produce extremely complex andhigh-quality materials on a repro-ducible basis, says Riber. www.riber.com

Samco opens second production center

Riber receives order from Asia for research MBE reactor

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

41

UK-based Oxford Instruments haslaunched a molybdenum disulphide(MoS2) growth process developedusing its Nanofab nanoscale growthsystem. Single-layer MoS2 is a direct-bandgap

semiconductor that has wide-rang-ing applications in optoelectronicssuch as LEDs, photovoltaics, photodetectors, and bio-sensors,while multi-layer MoS2 is an indirect-bandgap semiconductor that showspromise in future digital electronics. Oxford Instruments says that it

has undertaken extensive researchand optimization of the chemical

vapor deposition (CVD) process,developed on a Nanofab systemequipped with precursor deliverymodules capable of delivering awide range of liquid/solid/metal-organic precursors suitable for two-dimensional (2D) materials growth.Offering growth on a range of substrates including sapphire andatomic-layer deposited (ALD) alumina (Al2O3 and SiO2), the systemis capable of depositing other 2Dtransition-metal dichalcogenides(TMDCs) such as WS2, MoSe2 etc. “This process development and its

proven results are extremely excit-

ing, as we enter a new phase in our2D materials processing capabilitieswith the Nanofab plasma processingsystem,” comments Frazer Anderson,strategic marketing & businessdevelopment director at OxfordInstruments Plasma Technology(OIPT). “Raman analysis has demon-strated a high-quality mono-layer,with AFM [atomic force microscopy]showing resultant smooth and uni-form films,” he adds. “We anticipatethat this development in 2D materialsgrowth will facilitate the next gen-eration of nano-electronic devices.” www.oxinst.com/mos2

UK-based plasma etch and depositionprocessing system manufacturerOxford Instruments Plasma Tech-nology (OIPT) has appointedRichard Pollard as managing director. Most recently, Pollard was with

spectrometer and light sourceprovider Ocean Optics Inc (Halmaplc), where he was president basedin Florida, USA. He previously heldseveral senior leadership roles atHalma, in operations, sales, mar-keting and product development inseveral of its instrumentation andcomponents businesses based inthe USA and UK. He hence has aproven track record of delivering

results in tech-nology busi-nesses, saysOIPT. “Richard brings

his alreadyextensive expe-rience in thehigh-technology

capital equipment sector to leadand develop Oxford InstrumentsPlasma Technology,” comments Ian Barkshire, CEO of parent com-pany Oxford Instruments Group,“As our markets expand, Richardwill ensure the continued invest-ment in new technology and

applications,” he adds. “Having now spent some time in

the factory, the field and in the rest of Oxford Instruments gettingto know our people, processes,products, our markets and how the business works, I am confidentthat this new position will enableme to fully utilize my wide knowl-edge of leading a successful globalbusiness,” says Pollard. Pollard is a Chartered Engineer,

with a BEng Manufacturing Engi-neering from Brunel University andan MBA from the Open UniversityBusiness School, Cambridge.www.oxford-instruments.com/plasma

Oxford Instruments Plasma Tech-nology (OIPT) has won an orderfrom Nanjing University of Postand Telecommunications in Nanjiang, Jiangsu, China for multipleplasma etch systems to be usedfor silicon and III-V etching. OIPT says the highly configurable

PlasmaPro 100 systems offer arange of processes that make themsuitable for the nanotechnologyresearch being undertaken byNanjing University. PlasmaPro 100

process modules are built on 200mmplatforms, with both single-waferand multi-wafer batch capability. “We conducted a rigorous tender

process and decided on OxfordInstruments for their state-of-the-art processing equipment that iskey to our successful research,”says Dr Huang Xiaoming of theNanjing University of Post andTelecommunications. “The processmodules offer excellent uniformityand high throughput processes

which, together with a global customer support network and lowcost of ownership, made this thesystem of choice for our university,”he comments. “Our PlasmaPro etch tools,

installed in the Nanjing Universitycleanroom, will enable a wide rangeof research programs utilizing theircapabilities in III-V and siliconetching,” notes OIPT’s strategicmarketing & business developmentdirector Frazer Anderson.

Nanjing University orders Oxford Instruments plasma systems

Oxford Instruments launches molybdenum disulphidegrowth process Nanofab capable of depositing other 2D transition-metal dichalcogenides

New MD for Oxford Instruments Plasma Technology

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

42

Equipment and process solutionssupplier SUSS MicroTec of Garching,Germany has launched the MA/BAGen4 series, the new generation ofits semi-automated mask and bondaligners which extends its capabilitieswith major improvements in align-ment accuracy, ergonomic design andfurther reduced cost of ownership. With this fourth generation,

SUSS MicroTec is introducing a newplatform system. The two platformtypes are configured differently andconsist of the MA/BA Gen4 for standard processes and the MA/BA

Gen4 Pro series for high-endprocesses. By moving to this newplatform concept, SUSS MicroTecsays that it is further optimizing itsmask aligner product portfolio tobetter align with customer require-ments. The main application of the MA/BA

Gen4 series is full-field lithographyin academia, MEMS, 3D integrationand the compound semiconductormarket. Furthermore, it handlesprocesses such as bond alignment,fusion bonding and SMILE imprint.In addition to standard wafer pro-

cessing, the MA/BA Gen4 series canreliably process delicate substrates,such as fragile, warped or unevensurfaced wafers. “With this new platform concept,

we further align with different cus-tomer requirements — the MA/BAGen4 for standard lithographyprocesses or the leading-edgeMA/BA Gen4 Pro for small-seriesproduction and more demandingsolutions, e.g. our Soft ConformalImprint Lithography (SCIL) solution,”says CEO Dr Per-Ove Hansson. www.suss.com

Micro-assembly equipment makerFinetech of Berlin, Germany saysthat a Lambda bonding system has been installed in the LurieNanofabrication Facility (LNF) at theUniversity of Michigan in Ann Arbor.The sub-micron accuracy bonder isdesigned to position and attachmicroelectronic or optoelectroniccomponents on various substrates,including flex circuits, glass, silicon,ceramic, etc. LNF selected the Lambda bonder

to support packaging activities in itsmicro- and nanofabrication center.The 13,500ft2 facility is used byhundreds of students and researchersat the University of Michigan, other

academic institutions, national labsand industry. The lab has expertisein combining technologies and materials within a single device, for example optical or mechanicalsensors with integrated processingcircuitry and on-board power gen-eration. The Lambda is a semi-automated

bonding platform suitable for product and process developmentof photonics devices, sensors, LEDbonding, micro-electro-mechanicalsystems (MEMS), flip-chip andmicro-optics assembly. Bondingtechnologies supported by the sys-tem include thermocompression,thermosonic, ultrasonic, adhesives,

curing and soldering (AuSn, C4,indium, eutectic). “We chose the Finetech Lambda

based on the system’s process flex-ibility, accuracy, ease of use, andreliability — important factors in anactive multi-user environment,”says LNF’s user services directorPilar Herrera-Fierro. “The sub-micron placement capability is criti-cal for our research being done inareas such as silicon integrated cir-cuits, optoelectronics, MEMS, Bio-MEMS and microfluidics.” www.finetechusa.com/products/micro-assembly/fineplacerr-lambda.html https://lnf.umich.edu

Finetech’s Lambda bonder enhances packagingcapabilities at Lurie Nanofabrication Facility

SUSS MicroTec launches fourth generation ofsemi-automated mask and bond aligners

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

The Mark of

Precision.Purity.

Performance.

SeMI-GaS® IS Powered By

For over 35 years, SEMI-GAS® has been the

semiconductor industry’s go-to brand for

premier, production-ready, ultra high purity

gas delivery systems. Today, Tier 1 leaders and

high-tech innovators all over the world look to

SEMI-GAS® for proven solutions that uphold

their rigorous application demands.

When it comes to ultra high purity gas delivery systems, look for the mark of excellence.

Learn more at www.semi-gas.com/mark

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

44

ClassOne Technology of Kalispell,MT, USA, which makes wet-chemicalprocessing equipment includingSolstice electroplating systems(especially for emerging marketsand other cost-conscious users of≤200mm substrates), is reportingsignificant savings in the plating ofgold in ≤200mm applications usingits Solstice systems. Savings comefrom eliminating gold waste, fasterand simpler processing, and Solstice-enabled techniques that can sub-stantially reduce gold usage. “Many users have been spending

millions of dollars on gold each year,”says Byron Exarcos, president ofClassOne Group. “It’s a major issue,especially in emerging markets suchas lasers, LEDs, RF and MEMS whichoften require gold layers as thick as3–35µm. That’s why they are becom-ing keenly interested in Solstice tocut their gold spending,” he adds. “One fundamental advantage of

Solstice electroplating is its elimina-tion of gold waste,” says ClassOneTechnology president Kevin Witt.“Previously used CVD and PVD

methods deposited gold not just onthe wafer but also on the entirechamber interior. That ‘over-sprayed’gold was difficult to remove and inefficient to reclaim — which led toa considerable net loss of gold,” headds. “By contrast, Solstice depositsonly on the wafer, so there is nogold waste, and no need for cleaningor gold reclamation efforts.” Solstice economies also come from

its higher gold deposition speed.Plating at 150–300nm/min, it isabout ten times faster than CVD andPVD methods. In addition, Solsticestarts processing immediately, notrequiring an hour or more for pump-down (as vacuum-based tools do).All this translates to additional savings, via higher throughput andmore cost-efficient production. The unique eight-chamber design

of the Solstice S8 enables it toreadily replace a solid gold layerwith a multi-metal stack — andreduce gold usage very substantially.For example, a feature that previouslyrequired a 5µm layer of solid gold cannow be replaced with a ‘sandwich’

of 0.25µm Au, 1µm Ni, 2.5µm Cu,another 1µm Ni, topped with0.25µm Au — to achieve equivalentfunctionality while reducing goldusage by a factor of ten. Also, Solstice’s multi-chamber designenables it to deposit all five layersin a single cycle. So, no additionalprocess steps or time are requiredto gain very significant cost savings. ClassOne notes that, over a year,

total gold savings can grow quitelarge. In the above case, if the solidgold 5µm layer covers 50% of a150mm wafer area, and if the fab isrunning 1500 wafers per weekthrough a metal lift-off process andgold costs of $1200 per troy ounce— even if all over-sprayed goldwere recovered — the annual goldexpenditure would be $2,150,000.However, if the Solstice multi-metallayering technique were used, thetotal metal cost (for Au, Ni and Cucombined) would be cut to about$108,000, yielding annual savingsof $2,042,000 (more than payingback the cost of a Solstice system). www.classone.com/products

Integrated passive device makerOnChip Devices Inc of Santa Clara,CA, USA says that its wafer fabri-cation facility is offering backsidemetallization (BSM) of thin films forapplications such as military, medicaland instrumentation. Back-metal is required on a wide

range of devices to form a solderabledie-attach metal stack to ensuregood electrical contact to the chip(ohmic contact) or proper bondingof the chips to their mounting cases.Specifically, power devices such ashigh-brightness light-emitting diodes(HB-LEDs) require backside metalfor improved and reliable thermalconductivity. These metal layers aredeposited using RF or DC sputtering

and electron-beam evaporation.In backside metallization of discrete

devices, the typical layer stack con-sists of three to four metal layers.The most common stack consists ofsputter-deposited titanium (500Å)then nickel (3000Å) and a flash ofgold (200Å). The metals offered byOnChip are: layers for providing goodohmic contact (gold, aluminiumand titanium); barrier and superioradhesion layers (copper, chromium,palladium and titanium); and solderlayers (nickel, gold and silver). OnChip says its systems deposit

ultra-clean metal and dielectricfilms in a class-100 cleanroom.Generally, an in-situ RF etch is per-formed prior to sequential deposition

of multi-layers to ensure good filmadhesion and ohmic contact tounderlying conductive layers or tothe bare silicon. All sputter films arecurrently available on silicon wafersup to 150mm (6”) in diameter.Larger wafer sizes may be consid-ered on a case-by-case basis. BSM processing is available imme-

diately worldwide. The lot chargeranges from $750 to $1450(depending on metal stack, thick-ness of layers, wafer diameter, etc).Typical lot size is 11 for 100mm (4”)wafers, 9 for 125mm (5”) wafers,and 7 for 150mm (6”) wafers. Typical lead times are two weeksafter receipt of order. www.onchip.com

ClassOne reports ten-fold saving in gold usageusing multi-chamber plating system

OnChip offers thin-film wafer backside metallizationof solderable die-attach metal stacks

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

45

EVG has launched the EVG50 automated metrology system. Designed to support the increasingly

stringent manufacturing require-ments for advanced packaging,microelectromechanical systems(MEMS) and photonics applications,the EVG50 performs high-resolutionnon-destructive multi-layer thicknessand topography measurement, as well as void detection, in bondedwafer stacks and in photoresistsused in optical lithography. Thesystem measures layers down to2μm in thickness, can inspect up to1 million points, and achievesthroughputs of up to 55 300mmwafers per hour. This combination ofextremely high resolution and highthroughput provides cost-efficientfull-wafer inspection that enablesdevice makers to improve waferbonding and lithography processes,as well as achieve higher yields,EVG claims. “The semiconductor industry is

witnessing a trend toward total con-trol and monitoring of all productionprocesses,” notes corporate tech-

nology director Dr Thomas Glinsner.“Mid-end-of-line and back-endpackaging processes face tighterprocess constraints at levels previ-ously seen only in front-end-of-linewafer processing. This is creatingan urgent need for highly accuratein-line metrology that can providecritical process data quickly andcost-effectively,” he adds. “TheEVG50 is an important addition toour suite of metrology solutionsthat achieves these goals at speedsand resolutions.” The standalone EVG50 system is

based on the firm’s existing in-linemetrology module (IMM), which isavailable as an option in EVG’s lineof 300mm process equipment andhas been widely implemented inhigh-volume manufacturing. TheEVG50 complements the versatileEVG40NT measurement system(said to be the industry standardfor bond overlay inspection) tomeet increased demand for full-area layer thickness and topogra-phy measurement in criticalapplications. The new system’s

high throughput, accuracy andrepeatability — even at ultra-highresolutions — enables cost-effec-tive, 100% inspection of productionwafers, resulting in improvedprocess control, the firm claims. The EVG50’s versatility allows it to

measure coating thickness forlithography as well as wafer bow andwarp, and make void inspectionsfor a bonded wafer stack on thesame system, while its low-contactedge handling enables particle-free,full-area wafer inspection. Regard-ing flexibility, by leveraging a multi-sensor measurement mount, thesystem can be customized for dif-ferent thickness ranges and sub-strates to address a wide variety ofrequirements. Its self-calibrationcapability also allows better systemreproducibility and productiveuptime, says the firm.EVG’s suite of metrology solu-

tions, including the EVG50, was ondisplay at the SEMICON West showin San Francisco (12–14 July). www.evgroup.com/en/products/bonding/inspectionsystems/evg50

EVG launches automated metrology system foradvanced packaging, MEMS & photonics manufacturing

For a fourth consecutive year, EV Group of St Florian, Austria (a supplier of wafer bonding andlithography equipment for MEMS,nanotechnology and semiconductorapplications) has earned all threeawards resulting from VLSIresearchInc’s annual Customer SatisfactionSurvey. For 2016, EVG was rankedas one of the ‘10 BEST FocusedChip Making Equipment Suppliers’(having steadily increased its over-all ratings since 2013) and one of‘THE BEST Suppliers of Fab Equip-ment’ (for the 14th consecutive year).EVG also won a ‘RANKED 1st award’in the ‘Specialty Fab Equipment’category. According to VLSIresearch, EVG

excelled in the supplier performancecategories, which include trust in

supplier, technical leadership, rec-ommended supplier, partneringand commitment. Moreover, EVGscored well across the board,increasing its scores in eight of the15 total categories. In particular,this is the fourth year in which EVGwas the highest-ranked supplier ofwafer bonding equipment.“EVG continues to rank highly

and grow its position on ourannual survey, thanks to itsstrong, global customer-focusedstrategy,” notes VLSIresearch’sCEO & chairman G. Dan Hutcheson.“The company’s approach integratesan emphasis on high-volumemanufacturing with its long-runningcommitment to technology invention, innovation and imple-mentation. The results of our

annual survey exemplify EVG’scontinued success in deliveringleading wafer bonding and litho-graphy solutions.” For this year's survey, VLSIresearch

received feedback from over 95%of the chip market and 80% ofsubsystems customers. Participantswere asked to rate equipment suppliers among 15 categoriesbased on three key factors: supplier performance, customerservice, and product performance.A total of 3619 surveys werereturned, resulting in 54,282 totalresponses. A white paper detailing EVG’s

survey results is available fromweSRCH.com. www.wesrch.com/electronics/pdfEL1SE1CEEHTYN

Fourth consecutive triple win in customer satisfaction survey

Kyma Technologies Inc of Raleigh,NC, USA (which provides crystallinenitride materials, crystal growthand fabrication equipment, andpower switching electronics) andQuora Technology Inc haveannounced a strategic partnershipto develop and commercialize GaNsubstrate materials. Kyma is a developer of wide-

bandgap semiconductor (WBGS)materials solutions, including gallium nitride (GaN), aluminiumnitride (AlN), gallium oxide (Ga2O3)and diamond. GaN materials prod-ucts include free-standing GaNsubstrates and GaN templates.Established in March 2015 in

California’s Silicon Valley, Quora is aprivately held fabless technologystartup focusing on energy-efficientand high-performance WBGS materials and device solutions. Quora is commercializing its QST

substrate technology, which is fullydiameter-scalable (6”, 8”, 12” andbeyond) and engineered at a fun-damental level to alleviate stressfrom epitaxial layers, allowing thedeposition of tens of microns ofhigh-quality and low-dislocation-density GaN on 6” or larger diame-ters. Quora reckons that, withvalidated performance results inLED, power and RF applications bymajor GaN device manufacturers,its QST substrate solution is poisedfor adoption in the WBGS industry. Kyma and Quora have teamed

to demonstrate that Kyma’s high-growth-rate GaN processescan be used to realize a low-defectGaN-on-QST template that leveragesthe QST properties and provides ahigh-quality epi-ready surface forGaN epitaxial growth and devicefabrication. Kyma has confirmed that they can

produce uncracked, low-defect-density (<108cm–2) 6”-diameterGaN-on-QST templates that haveup to 40% narrower x-ray diffraction(XRD) linewidths, smoother surface

morphology, and much lower bowthan for similar structures grownon sapphire. Along with other wafershape issues, low bow is importantfor achieving high-yielding andadvanced device fabrication, saysKyma. Wafer shape control, including GaN cracking or entirewafer breakage, is a major problemwith traditional approaches onlarge-diameter wafers and is one ofthe major obstacles for the WBGSindustry, limiting achievableeconomies of scale. The firms say that there is clear

potential to extend the GaN-on-QSTtemplate approach to full GaNboule manufacturing. Accordingly,they have already won support ontwo separatefederalresearch projects: (1) to developprocesses formanufacturingcost-effectivelarge-diam-eter high-growth-rateGaN-on-QSTtemplates upto 8” diameter,and (2) totake thatapproach tothe next levelto create 4”-and 6”-diameter free-standingGaN sub-strates. In the first

project, Kymais developingan 8”-diameterGaN growthtool that isdesigned toproduce uniform low-defect-density

GaN-on-QST wafers in GaN thicknesses up to 100μm at a fastcycle time which will support lowtool cost of ownership (CoO). Kyma is already teamed with aleading OEM tool maker to supportrapid market penetration of thetechnology once the team furtheradvances the growth process andproves its ability to support high-performance device manufacturing.Kyma says that its device partnersinclude leading US universities andmultiple large device manufacturers. In the second project, Kyma will

create low-defect-density GaNboules and fabricate 4” and 6” sub-strates from them. The substrateswill be made available to leadingUS device developers to prove theability to support high-performance1200V vertical power electronicdevice operation.“We are very excited for Kyma to

integrate its rapid GaN growthprocess on our high-performancesubstrate technology QST for delivering low-defect-density andlarge-diameter GaN wafers to thedevice manufacturers,” says Quora’spresident & CEO Cem Basceri. “This special class of material willhopefully improve the existingdevice processes, designs, and performances, and also unlock newapplications,” he adds. “We believe they have a game-

changing baseline materials tech-nology that will make a majorimpact on GaN-based LED, high-power-switching and RF devicemarkets,” comments Kyma’s president & CEO Keith Evans. “By combining Kyma’s advancedand high-growth-rate GaN technol-ogy with Quora’s QST technology,we have the potential to helpdevice manufacturers to acceleratetheir roadmaps for making higherperformance devices at lower cost,”he adds. www.kymatech.com www.quoratechnology.com

News: Materials and processing equipment

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

46

Kyma isdeveloping an8”-diameterGaN growthtool that isdesigned toproduce uniform low-defect-densityGaN-on-QSTwafers in GaNthicknesses upto 100mm at afast cycle timewhich willsupport lowtool cost ofownership.

In the secondproject, Kymawill create low-defect-densityGaN boules andfabricate 4” and6” substratesfrom them

Kyma and Quora partner on GaN substrate materials Low-defect GaN-on-QST template to be scaled to 8”, and 4” and 6” free-standing GaN substrates to be created

News: Materials and processing equipment

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

47

During the St Petersburg Interna-tional Economic Forum 2016,Monocrystal Inc of Stavropol, Russia(which manufactures large-diametersapphire substrates and cores forLEDs, optical products and RFICapplications) has signed a memo-randum of cooperation with Russianstate corporation Bank for Develop-ment and Foreign Economic AffairsVEB (Vnesheconombank) involving

investment in the firm’s significantexpansion of production capacity. “It was extremely challenging to

become a global leader in such ahighly competitive industry as sap-phire components for electronics,”says Monocrystal’s CEO OlegKachalov. “Our cooperation with VEBand other state business supportprograms will contribute to our suc-cess on global markets and help us

enlarge market share,” he believes. During the Development Award

Ceremony held by VEB during theSt Petersburg International Eco-nomic Forum, Monocrystal washonored as ‘The Best Export Project’.For the past 10 years, the firm hasinvested more than $220m in production capacity and technologydevelopment. www.monocrystal.com

Rubicon Technology Inc ofBensenville, IL, USA (which makesmonocrystalline sapphire substratesand products for the LED, semicon-ductor and optical industries) saysthat, based on the preliminary votecount provided by the firm’s proxysolicitor following its 2016 annualmeeting, stockholders have votedoverwhelmingly to re-elect Rubicon’sdirector nominees Don N. Aquilano

and Donald R. Caldwell. “Rubicon is going through

unprecedented difficult market conditions, and we are workinghard to improve cash flow, launchnew differentiated products andpursue new opportunities,” saysRubicon’s CEO Bill Weissman. “Wewill continue to do our utmost tostrengthen performance and maxi-mize stockholder value,” he adds.

“We look forward to continuing toengage productively with our stock-holders.” Preliminary results also indicate

that stockholders have voted to (1) ratify the appointment of thecompany’s independent registeredpublic accounting firm for 2016 and(2) approve the company’s 2016Stock Incentive Plan. www.rubicon-es2.com

BluGlass Ltd of Silverwater, Australia— which was spun off from the III-nitride department of MacquarieUniversity in 2005 to develop alow-temperature process usingremote plasma chemical vapordeposition (RPCVD) to grow mater-ials including gallium nitride (GaN)and indium gallium nitride (InGaN)on glass substrates — has reportedprogress in key areas critical to thecompletion of its evaluation agree-ments with three leading firms. Lumileds evaluation BluGlass’ chief technology & opera-tions officer Dr Ian Mann recentlyreturned from visiting LED makerLumileds of San Jose, CA, USA inthe USA to review recent RPCVDdata and plan the next iterations ofexperiments critical to the collabor-ation milestones. Veeco evaluation Samples were recently prepared byBluGlass and shipped to epitaxial

deposition and process equipmentmaker Veeco Instruments Inc ofPlainview, NY, USA. Veeco has com-piled recent data for both the greenLED and high-electron-mobilitytransistor (HEMT) projects, withboth returning progressive results.Technical and commercial discus-sions with Veeco are ongoing. HC SemiTek evaluation Collaborative development workannounced in April with LED epitaxyand chip maker HC SemiTek Corpof Wuhan, China (which suppliesfull-color ultra-high-brightness LEDproducts throughout China) hasnow begun. The firms are exploringRPCVD’s advantages for both greenLEDs and aluminium nitride (AlN)to use in high-brightness LEDs. A planned set of experiments hasbeen agreed for both projects. The4” sapphire wafers have nowarrived in Silverwater, and depositionof the RPCVD AlN films has begun.

These will be shipped to HC SemiTekto fabricate into LEDs for testing.BluGlass has also just received theLED wafers for the green LEDdevelopment, and this RPCVD workis beginning this week. Uniformity and scaling project The RPCVD chamber upgrade toimprove RPCVD deposition uniformityis well underway, with the firstupgraded system expected to beoperational in July. The upgrade ofthe second, larger RPCVD systemwill be determined by the progresson industry evaluations (to ensureminimal interruption to ongoingprojects with partners). Other applications and interest BluGlass says it continues to receiveinterest from major industry partic-ipants looking at novel ways ofexploiting RPCVD, and discussionsare ongoing under confidentialityagreements. www.bluglass.com.au

BluGlass progress in Lumileds and Veeco collaborations;first iteration of HC Semitek collaboration begun

Monocrystal gains investment from Russian bank

Rubicon’s stockholders re-elect incumbent directors

RayVio Corp of Haywood, CA, USA,which is commercializing deep-ultraviolet (UV) LEDs and consumerdisinfection solutions, is expandinga research program with BostonUniversity to develop new treatmentsfor vitamin D deficiency (a disorderassociated with osteoporosis, ricketsand other metabolic bone diseases).The research will expose variousskin samples to UV light generatedby RayVio’s LEDs to determineappropriate exposure times andintensity that can be effectively andsafely used to treat vitamin D defi-ciency disorders. “Vitamin D deficiency affects more

than 100 million Americans andover a billion people worldwide,"notes lead researcher Michael F.Holick Ph.D., M.D., professor ofMedicine, Physiology and Biophysicsat Boston’s School of Medicine, an

endocrinologist at Boston MedicalCenter. “Finding a solution will reducethe onset of catastrophic illnessesand potentially saves hundreds ofthousands of lives,” he adds. “Our previous work with RayVio

demonstrated that very low-intensityUV light from LEDs — the kind thatmight be incorporated into today’swearable technologies — will boostvitamin D production in skin and canimprove peoples’ health,” continuesHolick. “Our new program expandson that work to determine the bestwavelength of light, appropriateduration and intensity that will opti-mize new and more effective treat-ments.” Vitamin D deficiency leads to

debilitating diseases like osteoporo-sis, rickets and even increases therisk of developing common deadlycancers, diabetes, autoimmune dis-

eases including multiple sclerosisand heart disease. Studies havealso shown links between vitamin Ddeficiency and brain disordersincluding and Alzheimer’s disease,depression and schizophrenia. “At RayVio, we can produce LEDs

targeted to specific wavelengths,and working with Dr Holick and histeam will allow us to mass produceLEDs that provide the optimum UV light and intensity to addressvitamin D deficiency,” says RayVio’sCEO Robert C. Walker Ph.D. Further to the joint research project

with Holick, RayVio’s latest deep UVLEDs are being applied to disinfectionand water purification applicationswith the potential to reduce hospital-acquired infections and illnessesresulting from drinking unsafe water. www.rayvio.com www.bu.edu

UV LED maker Seoul Viosys Co Ltdsays the US Federal District Courtfor the Southern District of Floridahas issued a judgment in whichSalon Supply LLC (which sells UVLED curing device) acknowledgesinfringement of its asserted patentsas well as their validity. Salon Supplyhas agreed to pay past damages,as well as a license fee, and to stopusing unlicensed infringing products. Seoul Viosys’ asserted patents span

a wide range of UV LED technologiesincluding, but not limited to, LEDpackaging, LED chips and epitaxiallayers, and UV curing device struc-ture. Together, these technologiescover key components and featuresof UV LED curing devices. Seoul Viosys was founded in 2002

as Seoul Optodevice (a subsidiaryof South Korean LED maker SeoulSemiconductor Co Ltd) based on atechnical cooperation with Japan'sNitride Semiconductor Co Ltd (thefirst firm to develop long-wavelengthUV LEDs, emitting at 360–400nm,

in 2001). It is said to be the firstfirm specializing in UV LEDs (span-ning epitaxy, chip, package andmodule manufacturing) and thefirst to develop short-wavelengthUV LEDs. Seoul Optodevice wasrenamed Seoul Viosys in 2013 todenote its expansion from a visibleLED and UV LED chip maker to aUV LED system provider. In 2005 the firm made an equity

investment in Sensor ElectronicTechnology Inc (SETi) of Columbia,SC, USA, and subsequently producedits first 254–340nm UV-C and UV-B(deep UV) LEDs. Seoul Viosys hassince maintained close technicalcooperation with SETi for over 10years to commercialize UV LED chipswith wavelengths below 350nm. Last August, with the approval of

the US Committee on ForeignInvestment in the US (CFIUS),Seoul Viosys acquired a majoritystake in SETi, and has continuedexpanding and commercializing itsUV LED business. In particular,

Seoul Viosys’ Violeds technologycan be applied to biotechnologyequipment and medical diagnosisequipment as well as skin andother medical treatment, includingbeing used as part of the Interna-tional Space Station (ISS) as wellas MOSCLEAN (a mosquito trap). “We have developed Violeds tech-

nology, not just for profit, but alsoto assist with critical health andenvironmental measures,” saysYeojin Yoon, VP of the UV Develop-ment Center at Seoul Viosys. “Withour ability to mass produce theselife-saving devices at a low cost, wecan supply Violeds technology andits products to people around theworld,” he adds. “We have investedtremendously to achieve this tech-nology. We will strongly enforce ourpatents against infringers that donot respect our intellectual property,and we will initiate additional patentinfringement lawsuit against suchinfringers within the next quarter.” www.seoulviosys.com

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

48

Seoul Viosys wins UV LED infringement lawsuit in USA

RayVio and Boston University expand UV LED researchto combat vitamin D deficiency disorders

News: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

49

HexaTech Inc of Morrisville, NC,USA — which manufactures aluminium nitride (AlN) substratesand long-life UV-C LEDs for disinfection applications, as well asdeveloping deep UV lasers for biological threat detection, andhigh-voltage power semiconductorsdevices for smart grid and efficientpower conversion — has demon-strated its first-generation UVSureUV-C LED, based on HexaTech’sproprietary aluminium nitride (AlN)substrate material. The 263nm-wavelength device

achieves output power of 6mW in a0.15mm2 active-area die. Whenscaled to the firm’s second-genera-tion larger footprint, the die isexpected to produce about 24mW(twice the radiant flux of competingproducts, it is reckoned). Further,

when driven in pulse mode at acurrent of 300mA, the same0.15mm2 active-area die can reach19mW, or about 76mW in the largedie format. “This demonstration is a milestone

in our business, and is the directresult of intense device R&D coupledwith the use of our exclusive high-quality AlN substrate material,”says CEO John Goehrke. “Thiscapability allows us to engage theUV-C LED market at the rightmoment, linking together incrediblystrong interest with cutting-edgeperformance. It also clearly demo-nstrates our continued assertionthat the best substrate materialyields the best device performance,and this first-generation result isjust the beginning,” he adds. “With point-of-use (POU) steriliza-

tion applications alone representinga $400m+ opportunity in the coming years, we anticipate significant corporate expansion andstrategic customer engagement,”says Gregory Mills director of business development. “HexaTech’s world-leading, high-

quality bulk AlN substrates are theessential foundation for attainingthese results, enabling near perfectepitaxial growth quality throughoutthe active region of the device,essential to produce both highinternal quantum efficiency (IQE)and long component lifetimes,”says Dr Joseph Smart, director ofLED development. “This is some-thing that competing sapphire sub-strate technology simply cannotsustain at these wavelengths.” www.hexatechinc.com/uv–c–led

UV-C LED disinfection system makerAquiSense Technologies LLC ofErlanger, KY, USA has received certification from the Water QualityAssociation (WQA) for its PearlAquawater disinfection system. WQA is an independent public

health organization that tests andcertifies a wide range of plumbingand drinking water treatment prod-ucts. Specifically, the PearlAqua

meets the stringent requirementsof NSF/ANSI 372 (an accreditationfor providing lead-free water) andNSF/ANSI 61 (which certifies thePearlAqua for safe drinking water). PearlAqua was the first-to-market

UV-C LED water disinfection sys-tem, it is claimed, and is the firstUV-C LED system to receiveNSF/ANSI certification, confirmingthat it provides clean water without

the use of lead (which has contami-nated water in a number of com-munities around the world). “This isa significant step in our overallcommercialization strategy,” saysCEO Oliver Lawal. “It further vali-dates that switching over to semi-conductor-based technology isviable in providing equal or saferwater quality,” he adds. www.aquisense.com

HexaTech demonstrates first-generation 263nmAlN-based UV-C LED

UK-based Plessey has appointedMaarten Klein as head agronomistfor its horticultural lighting solutions.Klein brings specialist expertise inthe use of LED lighting for growingcommercial crops, developed whilehe was research director at Delphyin Wageningen, Holland. In April, Plessey signed a global

exclusive licence agreement withPhytolux for the manufacture,

design and commercialization of itsproducts, including the Attis set ofhorticultural lighting products. “Having spent some time with the

Plessey team and working with theirPhytolux products, I am convincedthey have the winning solution,”says Klein. “I have been impressedwith their commitment to under-standing the problems being facedby individual commercial growers

and working in collaboration withthem to provide commercially viable,LED lighting solutions,” he adds. “Maarten’s expertise will be

invaluable in supporting ourplanned growth in the horticulturalmarket, especially in the very chal-lenging Dutch market,” says SteveEdwards, head of horticultural LEDfixtures at Plessey. www.phytolux.com

UV-C LED water disinfection system first to receive NSF lead-free certification

Plessey appoints head agronomist for PhytoLux

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

50

Rohm of Kyoto, Japan hasadded seven new colors toits PicoLED series of low-profile, ultra-compact chipLEDs optimized for wear-able technology, portabledevices, and drones,allowing for greater colorexpression and improveddesign freedom it isclaimed. In recent years the num-

ber of applications thathave adopted LEDs forlighting (in conjunctionwith a notification func-tion) has grown signifi-cantly, says Rohm, andgreater miniaturization atthe component level is increasinglydemanded for compact portableequipment. For drones in particular,adoption in new applications isexpected, such as utilizing multipledrones that integrate LEDs in visualperformances. Also, due to signifi-cant expansion in these markets,companies have begun focusing onproprietary designs that requirecompact LEDs in a wider selectionof colors, notes Rohm. In response, Rohm developed the

SML-P1 series of class-leading thin,compact chip LEDs, using an integ-rated production system and lever-aging its strengths in elementtechnology to eliminate wavelengthvariations that in the past have

proven problematic. Rohm washence able to increase the numberof colors from 8 to what is claimedto be an industry-leading 15. Combining a lower gold wire loop

with a thinner light-emitting ele-ment (developed using proprietaryproduction technology) makes itpossible to achieve what is claimedto be the thinnest form factor onthe market (just 0.2mm) in a class-leading small package size(1.0mm x 0.6mm). This con-tributes to the greater compact-ness, lower profile and light weight(0.2mg) required for portabledevices and drones. Also, packageminiaturization technology makes itpossible to position the light-emit-

ting element in a0.6mmx0.6mmarea, providingsquare emissioncharacteristics idealfor dot matrix dis-plays. In addition, taking

into considerationusage conditionsduring reflowallowed Rohm toprevent solder intru-sion into the resinby implementingpenetration counter-measures within thepackage itself. Inparticular, a type of

stopper called a solder resist isimplemented before the gold plat-ing process to block the gold pat-tern (which features goodwettability). This makes it possibleto prevent solder from penetratingthe resin, eliminating failures dueto short-circuits and improving reli-ability considerably. Going forward, Rohm will focus on

strengthening its PicoLED lineup toinclude high-brightness types aswell as expand its lineup of RGBLEDs capable of improved colormixing. Pricing of the new LEDs is from

$0.078 each (in 1000-unit quanti-ties). www.rohm.com

Rohm expands lineup of low-profile, ultra-compactPicoLEDs from 8 to 15 colors

WPG Americas Inc (WPGA) of San Jose, CA, USA (a subsidiary ofAsia’s number-one electronics distributor WPG Holdings) hassigned an agreement to distributethe complete product line-up ofSouth Korean LED maker SeoulSemiconductor Co Ltd (said to be theworld’s fifth largest LED supplier). The broad product portfolio

includes a wide array of packageand device choices such as AC-

driven LEDs, high-brightness LEDs,mid-power LEDs, side-view LEDs,through-hole-type LED lamps, cus-tom displays, UV LEDs and sensors. “Seoul strengthens our total LED

Lighting solutions for our cus-tomers with the addition of theirhighly competitive mid-poweroffering and Acrich products fordirect AC applications,” saysWPGa’s president Rich Davis. “As the LED market continues to

grow, we are glad to expand ourdistribution channel through WPGAto reach into the vast client base inthe Americas,” says Kyu Uhm,Seoul Semiconductor’s executiveVP of worldwide marketing.“WPGA’s strength in demand cre-ation, solution selling and opera-tional excellence is a huge assetthat Seoul can lean on for profitablegrowth.” www.wpgamericas.com

WPG Americas to distribute for Seoul Semiconductor

An agreement has been reached tosettle the case pending betweenLED manufacturer Nichia Corp ofTokushima, Japan and Hirose Radio & Electronics (a Japanesecorporation manufacturing and selling electronic appliances) in theTokyo District Court. In the case, Nichia claimed that its

Japanese patents 5,177,317 and5,610,056 (both related to whiteLEDs using YAG phosphors) wereinfringed by some of the LED lights

imported and sold in Japan byHirose, and sought a preliminaryinjunction against the importationand sale of infringing products. While Hirose had been unaware of

infringement at the time Nichiafiled the case, it acknowledged during the proceedings that someof the LED lights that it purchasedfrom Taiwan-based Yadent andimported into Japan used whiteLEDs made by Taiwan’s EverlightElectronics, and that the Yadent

LED light products using Everlightwhite LEDs fall under the claims ofNichia’s YAG patents. In light of these acknowledgement

by Hirose, and for the purpose ofamicable, quick resolution of thedisputes, Nichia and Hirose agreedto resolve the case by a settlementbefore the court, on the conditionthat Hirose should stop importationand sale of the infringing productsand pay settlement fees to Nichia.www.nichia.com

News: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

51

Vishay Intertechnology Inc ofMalvern, PA, USA has introduced anew dual-color red and IR emittingdiode designed to save space inwearable devices and medicalpatient monitoring systems. Offered in a compact 2mm x 2mm

x 0.87mm package, the VishaySemiconductors VSMD66694 haspeak wavelengths of 660nm (red)and 940nm (IR), and is built onSurfLight technology, yielding radiantpower of 9.5mW and 8.5mW,respectively. For space-constrained devices like

smart watches and fitness bands,the VSMD66694 can replace twoleaded diodes with one componentin a surface-mount package thatcan be combined with any custom-designed lens system. The devicefeatures a narrow spectral band-width of 20nm at 660nm and 40nmat 940nm, and its balanced radiantpower at red and IR wavelengthsprovides balanced signal levels forSpO2 and pulse rate monitoring. The new emitter provides fast

switching times of 10ns and forward voltage down to 1.4V.

Suitable for high-pulse-currentoperation to 1A at 100µs, thedevice features a ±60° angle ofhalf intensity and operates over atemperatures from –25°C to +85°C.The VSMD66694 provides a moisture sensitivity level (MSL) of 3in accordance with J-STD-020 for afloor life of 168 hours, supportslead (Pb)-free reflow soldering, andis RoHS-compliant, halogen-free,and Vishay Green. Samples and production quantities

are available now, with lead timesof 10–12 weeks for large orders.

Vishay launches dual-color red and IR diode

Nichia settles patent lawsuit against Hirose’s sellingof Yadent lights using Everlight white LEDs

Vishay has expanded its offering ofmid-power UV LEDs in the 365nmwavelength range with a new devicefeaturing a silicone lens in a compact1.6mm by 1.6mm by 1.4mm surface-mount package. Designedto provide a reliable, energy-savingreplacement for mercury lamps,the Vishay SemiconductorsVLMU1610-365-135 delivers longlifetime for medical, industrial andprinting applications. The silicone lens enables extremely

long lifetimes of up to 25,000hrcompared with the typical mercurylamp lifetime of 10,000hr. Theenvironmentally friendly UV LED is

free of heavy metals and providesincreased reliability through itsshock resistance and immunity todegradation from frequent on/offswitching. While mercury lampsrequire complex drive circuits andneed 2-15 minutes to warm up,the VLMU1610-365-135 allows theuse of simple low-voltage circuitryand requires no warm-up period. Fabricated from indium gallium

nitride (InGaN) technology, thenew LED features typical radiantpower of 18mW at 20mA and50mW at 60mA in a wavelengthrange of 362.5–370nm. TheVLMU1610-365-135 has an

emission angle of 135°. The LED’s specifications make it

suitable for UV curing in nail salon,dental, and poster printing appli-cations; blood and counterfeitmoney detection; and photocat-alytic purification. RoHS-compliant, halogen-free

and Vishay Green, the VLMU1610-365-135 is compatible with reflowsoldering processes and features aMoisture Sensitivity Level of 3 inaccordance with J-STD-020. Samples and production quantities

are available now, with lead timesof 6–8 weeks. www.vishay.com

Vishay launches mid-power 365nm UV LED delivering long lifetimein compact 1.6mm package

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

52

LED maker Kingbright Co LLC City of Industry, CA, USA haslaunched what it claims is theindustry’s smallest SMD LED, theHELI-UM series. The 0.65mm x 0.35mm x 0.20mm

(0201) footprint of the HELI-Ultra-Miniature allows it to fit into ultra-compact designs for wearable,portable consumer products anddisposable medical device appli-cations. Engineered with either

aluminium gallium indium phosphide(AlGalnP) or indium gallium nitride(InGaN) chips, various colors areavailable. Compatible with automatic

placement equipment, the HELI-UltraMiniature can be easily utilized, similarly to 0201-packagedpassive components, notes thecompany. www.kingbrightusa.com/lobbyheli-UM.asp

Building on the new commitmentsto the Global Lighting Challengeannounced in early June during theClean Energy Ministerial, the USDepartment of Energy (DOE) isannouncing funding for nineresearch and development projectsthat will support solid-state lighting(SSL) core technology research,product development, and manu-facturing research and develop-ment. The 18–24-month projectsaims to help to accelerate thedevelopment of high-quality light-emitting diode (LED) andorganic light-emitting diode (OLED)lighting products. “Solid-state lighting research and

development has contributed tomore than $2.8bn in US energycost savings over the past 15 years,and further improvements in thetechnology will increase those savings even more in the years tocome,” says Energy SecretaryErnest Moniz. “By 2030, solid-statelighting could reduce national light-ing electricity use by nearly half —which would equate to the totalenergy consumed by 24 millionAmerican homes today and couldsave American families and busi-nesses $26bn annually,” he adds. Today’s most advanced LED prod-

ucts are about 10 times moreenergy efficient than conventionalincandescent lighting and last more

than 25 times longer, notes theDOE. Department-funded R&D aims to

foster technology breakthroughs tounlock new levels of SSL perform-ance and energy savings. Forexample, DOE targets aim toincrease the efficiency of existingLEDs by an additional 66%. LEDlighting also offers new potential foradvanced lighting control, includingcolor tuning and intelligent, adap-tive lighting. In total, the nine selected projects

will receive more than $10.5m andwill make a cost-share contributionfor a total public-private investmentof over $13.5m, as they help to fur-ther reduce the cost and improvethe quality of SSL products: ● Cree Inc (Durham, NC) — Devel-oping a high-efficacy LED lightingfixture that has good color render-ing as well as advanced featuressuch as the ability to tune the colorof the light; ● Columbia University (New York, NY)— Developing improved quantumdots to increase the efficiency andlower the cost of LEDs; ● GE Global Research (Niskayuna, NY)— Developing an efficient LED fix-ture that features interchangeablemodules and allows for simplifiedmanufacturing and customized per-formance specifications; ● Iowa State University (Ames, IA)

— Demonstrating a method to sig-nificantly increase the light outputof white OLEDs by changing theirinternal features; ● Lumenari Inc (Lexington, KY) —Developing a narrow-bandwidthred phosphor to improve the effi-cacy of phosphor-converted LEDs; Lumileds (San Jose, CA) — Improv-ing the design of an LED to make itmore efficient by using a patternedsapphire substrate (PSS) flip-chiparchitecture; ● North Carolina State University(Raleigh, NC) — Developing a wayto get more light out of OLEDsusing low-cost corrugated sub-strates; ● Pennsylvania State University(State College, PA) — Developing away to better understand and pre-dict the occurrence of short circuitsin OLED lighting panels in order toreduce failure rates; and ● University of Michigan (Ann Arbor,MI) — Developing three innovativemethods to harness the light withinOLEDs. This is the eleventh round of DOE

investments in solid-state lightingcore technology research and prod-uct development. No manufac-turing projects were selected in thisround. http://energy.gov/eere/ssl/doe-announces-selections-ssl-rd-funding-opportunity

US DOE investing over $10.5m in nine solid-state lightingresearch & development projects Cost-share contributions raise public–private investment over $13.5m

Kingbright launches smallest SMD LED, using 0201 package

News: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

53

Lumileds has launched the LUXEONStylist Series, which is claimed to bethe first series of LEDs engineeredto provide the optimum lightingenvironment to sell products infashion retail stores, fresh foodmarkets and restaurants. The Stylist Series is based on

four proprietary technologies:CrispWhite Technology, CrispColorTechnology, FreshFocus Technologyand AtmoSphere Technology. “We know that the right lightinghas a profound impact on customerbehavior, affecting the time spentin stores and net revenues,” saysLuis Aceña, senior manager, Stylist Series. “With the StylistSeries, lighting designers are nowable to bring out the very best colors in the products and places itilluminates,” he adds.

In fashion retail in particular,Lumileds’ new CrispColor Technologyhighlights rich colors by achievinga higher color gamut. LUXEONLEDs with CrispColor Technologyhave color points below the black-body line to target proposedASSIST and ANSI requirements forClass A and TM30 specifications.CrispColor Technology can be usedto achieve a more continuousspectrum, making it a suitablereplacement for halogen andincandescent lighting. CrispWhiteTechnology, which has been imple-mented in a retail venues over thelast two years, activates fluorescentwhitening agents in retail items,making white fabrics and paintsappear vivid and bright white.“What CrispWhite did for white,CrispColor will do for color,” says Aceña.

In the fresh food market segment,Lumileds has invested in spectradevelopment that presents food inits most appealing light. FreshFocusTechnology under the LUXEONStylist Series offers five differentlighting approaches for fish, marbledmeat, red meat, bread and pastries.“Customer loyalty in markets islargely built on the quality of thefresh food area,” says Aceña. For restaurants, bars and other

gathering places, new AtmoSphereTechnology is designed to helpreinforce the image and brand.The Stylist Series can help create awarm ambiance, says Lumileds. The LUXEON Stylist Series will be

available in product families includingCoB (chip-on-board), Mid Powerand Matrix Platform. www.lumileds.com/StylistSeries

Lumileds introduces LUXEON Stylist Series of LEDs optimized for fashion retail, fresh food and restaurant industries

LED maker Lumileds of San Jose,CA, USA has expanded its line ofLUXEON C Color LEDs to a total of12 colors (and 8 whites), making itthe industry’s broadest line of colorLEDs available, it is claimed. In the LUXEON C Color Line, the

focal length of each LED is identical,enabling maximum optical effi-ciency in design. “Lumileds hasfilled out the spectrum on an LED color line that really sets thestandard in color mixing,” claimsJennifer Holland, product managerfor the LUXEON C Color Line.“We’re delivering seamless colormixing and the right colors forevery application, including studioand stage lighting, architecturallighting, emergency vehicle lightingas well as color tunable lamps/fixtures,” she adds. The LUXEON C Color Line now

includes Mint, Deep Red and Far Redcolors, joining the existing range of

Red, Red-Orange, Amber, PC Amber,Green, Cyan, Blue and Royal Blue.In addition, the typical flux of LUXEON C Red and LUXEON C Bluehave been boosted by 12%, deliv-ering better output from two of themost commonly used colors. The white portion of the LUXEON C

Color Line has been expanded toinclude color temperature and

color rendering index (CRI) combi-nations of 4000K, 5000K, 5700Kand 6500K at 70 CRI; 2700K,3000K and 4000K at 80 CRI; and5700K at 90 CRI. The LUXEON C Color is said to be

the only line of color LEDs to be hot tested at 85°C to ensure per-formance at application conditions.With what is claimed to be theindustry’s lowest thermal resistance(2.8°C/W), users can save on heat-sink cost or drive the LEDsharder to attain higher output.In addition to expanding the

LUXEON C Color Line, Lumileds hasalso added a Far Red offering to itsLUXEON Rebel Color Line (a portfoliodefined by its light output, high efficacy and clear saturated colors).Additionally, the LUXEON RebelGreen, Cyan and Blue colors nowfeature 12% higher flux than theprevious-generation emitters. www.lumileds.com/LUXEONCColors

Lumileds’ new LUXEON C Colors LEDs.

Lumileds expands LUXEON C Color Line to Mint, Deep Red and Far Red, and boosts Red and Blue performance by 12%

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

54

LED chip, lamp and lighting fixturemaker Cree Inc of Durham, NC,USA has announced a strategicagreement with global technologydistributor Avnet Inc that expandscoverage in the Americas for thedistribution of Cree’s portfolio of LED components including high-power, chip-on-board (COB),high-brightness and LED modules. “Adding Avnet to Cree’s industry-

leading distribution networkdemonstrates Cree’s continuedinvestment in and commitment toits LED business,” says DaveEmerson, VP & general managerfor Cree LEDs. “Combining Cree’sLED technology with Avnet’s dedicated team of LED-focused

Illumineers and state-of-the-artLightLab [in Chandler, AZ, USA]will accelerate the adoption of thenext generation of LED solutionsthat combines lighting with IoT[the Internet of Things].” Cree has a broad portfolio of

application-optimized, lighting-class LED components. With morethan 4000 patents, Cree offershigh-power LEDs for applicationssuch as street, outdoor area, sta-dium, retail spot and high-baylighting. “Avnet and Cree share a commit-

ment to not only facilitate thetransition from conventional filament and fluorescent lamps toLED lighting, but to also help our

customers bring true intelligenceto lighting,” comments Alex Iuorio,senior VP, supplier development,Avnet Electronics Marketing Americas. “With the support ofAvnet’s Illumineers and LightLaband Cree’s portfolio of componentsand modules, we can providedesigners who want to leveragethe IoT to add more value to theirproducts with easy-to-implementsolutions that will reduce develop-ment time and cost, while fast-tracking time to market,” Iuorioadds. https://products.avnet.com/shop/en/ema/manufacturer/cree-inc www.cree.com/LED-Components-and-Modules/Products

Cree expands LED components distribution network with Avnet

Leveraging key elements of its SC5Technology Platform, LED chip,lamp and lighting fixture makerCree Inc of Durham, NC, USA hasupgraded its XLamp XT-E LED familyby adding a new High Efficacy (HE)option that delivers a 25% increasein luminous efficacy compared tothe previous XT-E LED and providesa guaranteed minimum efficacy of164 LPW at 85°C and 350mA. These improvements make 130LPW

(lumens per watt) or higher at thesystem level achievable under realoperating conditions and enableexisting customers to quicklyupgrade system performance forapplications such as outdoor andindustrial lighting while still achiev-ing the lowest total cost, the firmsays. “We are committed to building the

most energy-efficient industriallighting systems, and the newlyimproved High Efficacy XT-E LEDenables us to do that without anyadditional investment or re-qualifi-cation,” comments Colin Piepgras,VP of engineering at LED lightingsystem maker Digital Lumens.“With the new XT-E LED, we are

able to leverageexisting optical,mechanical andelectrical designelements toquickly improveour portfolio ofintelligent light-ing solutions.” Cree says that

the enhancedXT-E LEDimproves thebrightness, the voltagecharacteristicsand the optical

performance in the same proven3.45mm x 3.45mm XT package.Demonstrating the long-term relia-bility of Cree’s high-power ceramicLEDs, the XT-E LEDs now havemore than 10,000 hours of LM-80data available, delivering reportedL90 lifetimes of greater than60,000 hours (at 105°C, 1A). This reliability is suitable for appli-cations such as outdoor and high-baylighting, where long lifetimes at highambient temperatures are important,says the firm. For example, XT-E’simproved efficacy and reliability makeit suited to the recently updatedDesignLights Consortium (DLC) 4.0requirements (which focus onhigher efficacy for outdoor andhigh-bay luminaires). “Cree’s improved XT-E LED

provides an immediate solutionthat eliminates the time and cost ofre-design around other unprovenalternatives,” says Dave Emerson,VP & general manager for Cree LEDs. Product samples are available at

the end of the June, and productionquantities are available with standard lead times. www.cree.com/xlamp/xte

Cree’s new High Efficacy option for XT-E LED delivers 25% higher lumens per watt

Cree’s XLamp XT-E LED, now with aHigh Efficiency option.

Improvementsmake 130LPWor higher at thesystem levelachievableunder realoperatingconditions andenable existingcustomers toquicklyupgradesystem

Cree Inc of Durham, NC, USA hasexpanded its portfolio of LEDs opti-mized for horticulture lighting withthe introduction of the XLamp XQ-EPhoto Red LED. The new LED is capable of provid-

ing very high levels of growth-pro-moting light wavelengths from afootprint that is less than one-thirdthe size of its closest competitorwith similar output, it is reckoned.The new XQ-E extends the familyof smallest LEDs optimized for horticulture and enables lightingmanufacturers to reduce the size ofluminaires and lower their systemcost, adds Cree. The new addition expands Cree’s

range of XQ and XP LEDs that deliverwhat is claimed to be the industry’shighest photosynthetic photon flux(PPF), efficiency and reliability.Both LED families enable thereplacement of incumbent lightingtechnologies at much lower powerwith similar spectral content. For example, a high-bay referencedesign using Cree white and photored LEDs delivers higher averagePPF density than a 1000W double-ended high-pressure sodium (HPS)fixture while drawing half thepower, it is reckoned. “Cree LEDs enable us to offer full-

spectrum LED grow lights that truly

mimic natural sunlight,” commentsRami Vardi, CEO of LED grow-lightmanufacturer Spectrum King LED.“The high performance, high effi-ciency and high reliability of CreeLEDs allow us to match the intensityof traditional HPS lamps at a lowersystem cost, longer life and lowerpower.” The XQ-E Photo Red LED is capable

of delivering more than 6.4μmol/sof the 660nm peak wavelengthlight that can be beneficial for plantgrowth from a 1.6mm x 1.6mmfootprint. Cree says that its white andcolor LEDs deliver the full spectrumof light and mimic natural sunlight.The firm’s color LEDs (includingroyal blue, green, red, photo red,and far red LEDs) deliver high PPFin the wavelengths best suited forthe different stages of plant growth.

The combination of consistent pack-ages, footprints and drive currentsallows easy tuning of spectral contentand intensity at the luminaire level,Cree says. The new photo red LEDleverages the XQ-E family’s provenoptical symmetry and consistencyacross all colors to improve coloruniformity and simplify the productionprocess for lighting manufacturers. “Our mission at Local Roots is to

improve global health by building abetter food system, and that meansgrowing food in a responsible wayat a cost that increases access tofresh and delicious produce,” saysMatt Vail, chief operating officer ofLos Angeles-based indoor verticalfarming company Local Roots.“Cree’s wide range of high-performing LEDs optimized for horticulture allows us to controlspectrum and intensity and provideour crops precisely the light theyneed in order to maximize growth,nutrition and quality,” he comments.“The high efficiency and long-termreliability of Cree LEDs enables us tolower the cost of the food we growand feed more people better food.” Product samples of the new XQ-E

Photo Red LED are available now andproduction quantities are availablewith standard lead times. www.cree.com/xlamp/horticulture

News: LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

55

Darren Jackson, most recently CEOof Advance Auto Parts Inc (AAP)from January 2008 to this January,has been appointed to Cree’sboard of directors. “He has a proven track record

leading large companies throughindustry transitions and we wel-come the opportunity to work withDarren as we pursue our missionto build the most valuable lightingtechnology company,” says chair-man & CEO Chuck Swoboda. Jackson originally joined AAP in

July 2004 as a board member.

He was also AAP president fromJanuary 2008 to January 2009 andfrom January 2012 to April 2013.Prior to becoming AAP’s CEO, heheld various executive positionswith Best Buy Co Inc, a specialtyretailer of consumer electronics,office products, appliances andsoftware, ultimately serving fromJuly 2007 to December 2007 asexecutive VP of customer operatinggroups. Jackson joined Best Buy in2000 and was appointed as itsexecutive VP-finance & chief finan-cial officer in February 2001. Prior

to 2000, he served as VP & chieffinancial officer of Nordstrom Inc,Full-line Stores (a fashion specialtyretailer) and held various seniorpositions, including CFO of CarsonPirie Scott & Company (a regionaldepartment store company). Jack-son has also served as a directorof Fastenal Company (which sellsindustrial and construction sup-plies) since July 2012. Jackson has a Bachelor’s Degree

in Accounting from Marquette University, where he also serveson the board of trustees.

Cree appoints experienced retail executive to board

Cree expands portfolio of LEDs for horticulture lightingwith XQ-E Photo Red LED

The new XLamp XQ-E Photo Red LED.

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

56

Samsung Electronics Co Ltd ofSeoul, Korea has launched Fx-CSP— a line-up of LED packages fea-turing chip-scale packaging (CSP)and flexible circuit board technology— for use in automotive lightingapplications. “Our new Fx-CSP line-up will bring

greater design flexibility and costcompetitiveness to the automotivelighting industry,” claims Jacob Tarn,executive VP, LED business team,at Samsung Electronics. “We willcontinue to introduce innovativeLED products and technologies,such as multi-chip array technology,that can play a key role in thegrowth of the automotive LED light-ing industry,” he adds. The combination of chip-scale

packaging and flexible circuit boardtechnology together enables morecompact chip sizing and a higherdegree of reliability, says the firm.The use of a flexible circuit boardalso enables greater heat dissipa-tion, which leads to lower resist-ance and brings about a greater

degree of lumen-per-watt efficiencythan using a ceramic board. In addition, the new automotive

LED line-up allows car designers touse a variety of chip arrangementssuch as a single chip, a 1x4, or a2x6 multi-chip arrangement to suitdifferent lighting configurations,says Samsung. The Fx-CSP line-upcan be used in automotive lightingapplications that include positionlamps and daytime running lamps(DRLs) as well as headlamps thatrequire higher luminous flux andreliability than other automotivelamps.

The Fx-CSP line-up consists of thesingle packages Fx1M (1W, forDRLs and position lamps) and Fx1L(3W, for DRLs) plus the high-voltagearray packages Fx4 (14W, for head-lamps and light-guide-type DRLs)and Fx2x6 (40W, for multi-beamheadlamps). The variation inwattage levels allows Samsung LEDlighting packages to work with awide range of exterior automotivelighting. Samsung says that, by adding the

new Fx-CSP line-up to its existingmid-power and high-power auto-motive LED component line-ups, it now provides a family of auto-motive lighting components. Also,the new Fx-CSP LED line-up wasrecently selected by a major globalautomotive manufacturer for acompact car headlamp project,notes Samsung. The firm plans to introduce more

CSP technology-based LED compo-nents for automotive lighting laterthis year. www.samsung.com

As part of its three-pillar strategyannounced last November, OsramGmbH of Munich, Germany is furtherexpanding the leading position ofits Specialty Lighting (SP) businessby agreeing to acquire Novità Technologies of Hendersonville, TN,USA, a manufacturer of automotiveLED modules that are used particu-larly in tail lights, fog lights anddaytime running lights. With about 100 staff, Novità ships

mainly to headlight and tail-lightmanufacturers in the USA, and hasannual sales of over €40m. Thetransaction is planned to be com-pleted by October, and shouldimmediately be margin-accretive inOsram’s Specialty Lighting segment. “The purchase of Novità Technolo-

gies is an outstanding addition toour project and system business

and at the same time strengthensour position on the important USmarket,” believes Hans-JoachimSchwabe, CEO of Osram’s SpecialtyLighting business unit. As technological changes continue

to take place around LEDs, therequirements of manufacturers inthe automotive industry are alsochanging with regard to the designand functionality of light, notesOsram. As a result, there is increas-ing demand for complete systemsand modules rather than for thepure light sources. An example isthe Ford F-150 pick-up truck, forwhich Osram has developed a com-plete LED-based front lighting sys-tem comprising low and high beam,turn indicators, parking light andcontrol module. By purchasingNovità, Osram is complementing its

LED module business with regardto the tail light and forward auxil-iary lighting such as fog lights anddaytime running lights (DRLs). The global market for LED modules

for front and rear lights is forecastto increase by an average of 20%per year by 2020. It is reckonedthat Novità is well positioned in thissector, particularly in the USA, andtherefore adds to Osram in termsof both the regional market and theLED automotive portfolio for projectand system business. Founded in2007 as an asset management buy-out, Novità has recorded rapid growthin the last three years and is said tohave a high level of engineering andmanufacturing expertise, specificallyin the LED module business. www.novitatech.com www.osram-os.com

Osram acquiring automotive LED module maker Novità

Samsung’s 14W (1x4) Fx-CSP4 LED.

Samsung launches line-up of LED components for automotive lighting, featuring CSP

KEEPING AN EYE ON THE FUTURE

www.evatecnet.com

ADVANCED PACKAGING • HP OPTICS • MEMS • OPTOELECTRONICS • POWER DEVICES • WIRELESS • THE THIN FILM POWERHOUSE

MORE INFO

Evatec brings you advanced thin fi lm productionsolutions for Advanced Functionality Materials

From new high piezoelectric coeffi cient materials like AlScN to highly

aligned NiFe soft magnetic layers or complex optical interference coatings,

Evatec sputter platforms and processes help you keep an eye on the

future. Advanced Process Control (APC) technologies bring precision and

throughput to the deposition of new Advanced Functionality Materials

in Wireless, MEMS and LED technologies. To fi nd out more about

Evatec processes and platforms visit www.evatecnet.com

RADIANCE SPUTTER CLUSTER MSP 1232 LLS EVO II LOAD-LOCK SPUTTER CLUSTERLINE® 300 II SPUTTER SOLARIS S380 SPUTTER

News: LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

58

For fiscal third-quarter 2016 (toend-May), LED chip and componentmaker SemiLEDs Corp of Hsinchu,Taiwan has reported revenue of$2.38m (slightly below the expected$2.4–3m), down 18% on $2.92mlast quarter and down 47% on $3.5ma year ago. Gross margin was –61%, worsen-

ing from –27% last quarter (and–24% a year ago) and back to the–61% of two years ago. Operating expenses have been cut

from $1.98m last quarter to $1.69m,due mainly to R&D expenses fallingfrom $0.62m to $0.39m andemployee termination benefits

falling from $0.15m to $0.06m.Operating margin has worsenedfurther, from –88% a year ago and–95% last quarter to –132%. On a non-GAAP basis, net loss has

risen from $2.2m ($0.77 per dilutedshare) last quarter to $3.1m ($1.06per diluted share), back above $2.8m($0.10 per diluted share) a year ago. Capital expenditure has risen from

$0.14m last quarter to $0.18m(still less than $0.28m a year ago).Also, net cash used in operatingactivities has risen from $1m last quarter to $1.3m (comparedwith cash inflow from operatingactivities of +$0.1m a year ago).

Total free cash outflow has hencerisen from $0.17m a year ago and$1.15m last quarter to $1.5m. During the quarter, cash and cashequivalents fell from $5.3m to $3.5m. “The transition toward the fabless

business model has taken longerthan we anticipated,” notes chair-man, president & CEO Trung Doan.“However, we still believe it is theright model,” he adds. “This shouldhelp us to lower our cash needswhile evaluating other potentialbusiness opportunities.” For fiscal fourth-quarter (ending

31 August), SemiLEDs expects revenue of $2–2.5m.

Seoul Semiconductor says Japaneselens maker Enplas’ LED lens patenthas been revoked in Taiwan, addingto a series of legal victories againstEnplas in the USA, Korea and Europe. Since patent litigation between the

two firms began, Seoul has pursuedenforcement of its LED backlightlens and system patents againstinfringing products by Enplas. On 24 March, a US jury ruled thatEnplas had induced infringement ofSeoul’s patented technology withrespect to all of the patent claimspresented by Seoul. The jury foundthat Enplas’ infringement was willful.It also unanimously agreed that

Seoul’s LED backlight lens patentswere valid, rejecting all of Enplas’invalidity arguments. The juryawarded Seoul $4.07m in damagesfor induced infringement. Previously, in the USA, Seoul filed

inter partes review (IPR) petitionsagainst three backlight lens patentsowned by Enplas. All three wereinvalidated by the US Patent Trialand Appeal Board. In Korea, theSupreme Court affirmed the decisionof the USPTO, invalidating all claimsof Enplas’ backlight lens patent. InEurope, the European Patent Officedeclined to register Enplas’ backlightlens patent based on prior art refer-

ences brought to its notice by Seoul. On 26 January, Seoul filed an

invalidation action against Enplas’backlight lens patent in Taiwanbased on the same invaliditygrounds. Enplas was unable todefend against these invalidationcontentions and has had to cancelall of its patent claims, which hasresulted in revocation of the patent. “A series of patent litigations against

Enplas has demonstrated that SeoulSemiconductor has pioneered patentportfolios regarding LED backlighttechnology,” says Seung Ryeol Ryu,Seoul’s IT application R&D officer. www.SeoulSemicon.com

SemiLEDs’ revenue falls 18% quarter-on-quarterCash reserves fall as margins and losses worsen

On 6 July, SemiLEDs entered intoan agreement for Dr Peter Chiouto purchase 577,000 newly issuedshares (about 19.6% of its out-standing common stock) at $5 pershare (worth $2,885,000 in total). Chiou has also agreed to purchase

a $1,615,000 0%-interest con-vertible note with a maturity dateof 29 September 2017. Subject toapproval at the next shareholdersmeeting, the note will be convertibleinto a number of shares equal to

$1,615,000 divided by the conver-sion price ($3.40, or the 5-trading-day volume-weighted averageprice on the NASDAQ Stock Marketending on the maturity date,whichever is less).These investments are expected

to be made in three instalments: ● $1,000,000 (already received); ● $1,885,000 (to be wired to thefirm on or before 15 August) —upon completion of the share pur-chase, Chiou will be appointed a

member of SemiLEDs’ board ofdirectors (Chiou has agreed towaive any compensation for hisservices on the board); and ● $1,615,000 (to be wired to thefirm on or before 29 September). SemiLEDs cautions that there is

no assurance that it can success-fully close the financing or if DrChiou is able to meet the remain-ing funding requirements of thepurchase agreement. www.semileds.com

SemiLEDs sells 20% stake to raise $2.885m

Seoul Semiconductor wins revocation of Enplas’ patent

Pick your size.The Temescal UEFC-4900–ultimate lift-o� metallization

performance like the UEFC-5700, but optimized for smaller wafers and smaller production volumes.

It’s the elephant in the room. With our Auratus™ deposition

enhancement methodology and the UEFC-5700, we brought

you huge metallization process improvements including near

perfect uniformity; but UEFC-5700 is a high-volume production

tool. Now we’ve packed this performance into a mid-sized system,

the UEFC-4900, because sometimes the elephant is just too big.

Harmonize your process to the vapor cloud and experience the

huge performance bene�ts, even if you run smaller wafers and

smaller production volumes.

A Temescal system can bring near perfect uniformity to your

lift-o� metallization coating process. To �nd out more, visit

www.temescal.net/auratus-elephant or call +1-925-371-4170.

© 2015 Ferrotec (USA) Corporation. All rights reserved. Temescal is a registered trademark and Auratus is a trademark of Ferrotec (USA) Corporation.

TemescalUEFC–4900

News: Optoelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

60

An international collaboration work-ing on Artemis — the ultrafast laserand XUV (extreme ultraviolet) science capability of the UK Scienceand Technology Facilities Council’sCentral Laser Facility (CLF) — hasshown how the electronic proper-ties of a two-dimensional (2D)semiconductor can be controlled bylight (Søren Ulstrup et al, ACSNano vol10, p6315 (2016)). The expanding toolbox of 2D

materials has allowed researchersto assemble new materials thatcould have a disruptive impact onoptoelectronic technologies. Theall-carbon 2D material graphene isan excellent conductor when supported on a substrate andhence promises to be an ideal electrode material in a 2D device. By placing a single-layer of the

semiconductor molybdenum disulphide (MoS2) on top of thegraphene, one obtains a heterostructure with enhancedoptical properties. MoS2 plays animportant role in such an assemblybecause it transforms from an indirect-bandgap semiconductor toa direct-bandgap semiconductor inthe 2D limit. This greatly enhancesthe material’s ability to absorb lightand leads to new properties such asan ability to discriminate the polari-zation of an optical excitation. In a recent experiment performed

at the Artemis facility, a team ofresearchers used the time- andangle-resolved photoemissionspectroscopy (TR-ARPES) techniqueto record ultrafast snapshots ofhow such a 2D MoS2-graphene heterostructure responds to anoptical excitation by a tunable laserpump pulse. Surprisingly, the band structure of

the MoS2 layer changes dramaticallyonce free carriers are excited in thevalence and conduction bands of thematerial. The direct bandgap shrinks

as the number of free carriers isincreased due to the build-up ofscreening in the system. The numberof free carriers and the followingbandgap renormalization could becontrolled by the power of the

pump pulse inthe experiment. Since the size

of the bandgapin a semicon-ductor deter-mines itselectronic andoptical proper-ties, the opticaltunability dis-covered in theMoS2-grapheneheterostructurein the experi-ment couldopen newavenues for the

application of 2D optoelectronicdevices, it is reckoned. www.clf.stfc.ac.uk/CLF/Facilities/Artemis/12270.aspx http://pubs.acs.org/doi/abs/10.1021/acsnano.6b02622

UK’s Artemis facility demonstrates optical controlof 2D semiconductor bandgap Tunability of MoS2-graphene heterostructure could open newapplications of 2D optoelectronic devices

Schematic of a laser beam energizing a monolayer ofmolybdenum disulphide. (Credit: Der-Hsien Lien, Berkeley)

(Left) Schematic of pump-probe experiment: The pump pulse excites aheterostructure consisting of a single-layer of MoS2 on graphene. The excitedstate is probed via photoemission by an ultraviolet probe pulse. (Right) Theupper panels present the excited signal measured in the MoS2 valence band(VB) and conduction band (CB). Red indicates excited electrons in the CB anda shift in the VB towards the CB. The lower panel presents a schematic of theobservation: the bandgap in MoS2 renormalizes depending on the number ofexcited carriers generated with the pump pulse. (Credit: Soren Ulstrup)

News: Optoelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

61

Assisted partly by the Program forStrategic Innovative Energy SavingTechnology run by Japan’s NewEnergy and Industrial TechnologyDevelopment Organization (NEDO),Tokyo-based Ricoh has developedan 808nm-wavelength fiber-coupledhigh-power vertical-cavity surface-emitting laser (VCSEL) module thatcan be used as a light source forthe firm’s production printers currently on the market as well asin new applications such as engineignition. The power output of the VCSEL

array is 310W standalone, and200W with the fiber output module— under quasi-continuous-wave(QCW) drive conditions of 500μspulse width and 20Hz repetitioncycle — which is claimed to beunprecedented for a fiber-coupledVCSEL module. The high-power VCSEL module is

said to incorporate three technicaladvances: high output performance,compact size, and wavelength

stability in variable temperatures. Ricoh has increased the lumines-

cence efficiency of the VCSELs. Ithas also achieved large-scale integ-ration of the light-emitting channels.Specifically, the module uses aVCSEL array with a large number oflight-emitting channels on its surface,along with a micro lens array (MLA)that integrates lenses correspondingto each light-emitting channel on asingle chip. As it has fewer compo-nents compared with modules usingconventional edge-emitting-typelasers, the VCSEL module is morecompact. Altogether, the outputpower of the laser array is signifi-cantly boosted. By improving the heat dissipation

of the overall module, it can nowdeliver the high energy outputneeded by the laser crystal lightsource in a laser ignition plug head.This type of plug head has beenattracting attention as a means ofigniting gas engines for power generation used in cogeneration

systems and other equipment. Due to its structure, the VCSEL

features what is claimed to beexceptional wavelength stability invariable temperatures, offeringabout ten times the thermal stabilityin wavelength compared with conventional edge-emitting-typelasers. In solid-state laser excita-tion used in applications such asthe laser crystals of laser ignitionplug heads, the wavelength stabilityof the excitation light is vital toachieving stable output. As a result,a precision temperature control unitis not required, allowing a significantreduction in size of the VCSEL module. Ricoh is also looking to expand

into applications such as laser processing machines, surface treat-ment processing such as laser patterning, non-thermal processingand sensing, as well as the devel-opment of other applications. www.ricoh.com/technology/tech/038_vcsel.html

In a ceremony in Nagoya, Japan on8 August, Gerald Stringfellow, whois a Distinguished Professor in theUniversity of Utah’s departments ofmaterials science & engineering andelectrical & computer engineering(and dean of the College of Engi-neering from 1998 to 2003), willreceive the International Organizationfor Crystal Growth’s Frank Prize, in honor of his career-long work indeveloping processes for makinglight-emitting diodes. The Frank Prize is an international

award given once every three yearsto a researcher who has made“outstanding contributions to thefield of crystal growth through technical achievements, publicationsand presentations and through theirimpact worldwide on science andtechnology.” Stringfellow was a group manager

with HP Labs in Palo Alto, CA, in the1970s when he began developing a

new process tocreate LEDs withmultiple colorsthat requiremuch less power.He proposedorganometallicvapor-phase epi-taxy (OMVPE) forthe deposition of semiconductoralloys incorpo-rating aluminum,

gallium, indium and phosphorous ona substrate to create red, orange,yellow and green LED crystals. Thisled to better HP handheld calcula-tors that used red LEDs for the dis-play. Stringfellow took his research to

the University of Utah, where hewas hired as a professor in 1980.He made conceptual advances inthe field and would later publish abook on the process that has since

become the standard reference forthe science of growing LED crystals. With Stringfellow’s work, along

with the later development of blueLEDs by Japanese researchers, thistechnology led to the advancementof LED-backlit flat-screen LCD TVs,cell-phone screens, high-efficiencysolar cells and LED light bulbs thatare far more efficient than incandes-cent bulbs (as well as being used inautomobile tail-lights as well astraffic and pedestrian lights). “While professor Stringfellow’s

invention of organometallic vapor-phase epitaxy facilitated thecommercialization of LEDs andimproved the TV and computer dis-plays we all use, its greatest benefitto society is in the energy savingsthat these devices brought about,”comments Richard B. Brown, deanof the College of Engineering. http://mse.utah.edu/faculty/gerald-stringfellow.php

Ricoh develops 200W fiber-coupled 808nm VCSEL module

University of Utah’s Stringfellow receives Frank Prize

GeraldStringfellow.

News: Optoelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

62

At the IEEE Honors Ceremony inNew York City on 18 June, Dr DavidF. Welch — co-founder & presidentof Infinera Corp of Sunnyvale, CA,USA, a vertically integrated manu-facturer of digital optical transportnetworking systems incorporatingits own indium phosphide-basedphotonic integrated circuits (PICs)— received the 2016 IEEE ErnstWeber Managerial LeadershipAward, recognizing exceptionalmanagerial leadership in the fieldsof interests to the IEEE. Welch wasspecifically honored for his leader-ship in enabling the growth ofcloud-based services and the Inter-

net in optical transport networks.The IEEE Awards Program has

paid tribute to researchers, inven-tors, innovators and practitionerswhose exceptional achievementsand outstanding contributions havemade a lasting impact on technol-ogy, society and the engineeringprofession. Each year, the IEEEAwards Board recommends a smallnumber of outstanding individualsfor IEEE’s most prestigious honors.Welch leads Infinera’s engi-

neering, business development andmarketing groups. He has madenumerous contributions to the fieldof optical communication systems

(including more than 250 publishedarticles and 169 patents). Welch has received a number of

honors and awards including the2013 Institute of Engineering andTechnology J J Thomson Medal forElectronics, 2011 Optical Society ofAmerica (OSA) John Tyndall Award,1999 OSA Joseph FraunhoferAward/Robert M. Burley Prize, 1998IEEE LEOS Engineering Achieve-ment Award and the 1992 OSAAdolph Lomb Medal. He serves onthe board of directors for OSA andInfinera, and is a fellow of OSA andIEEE. www.infinera.com

The University of Glasgow in Scotland, UK has signed a partner-ship agreement with a state-ownedenterprise company in China todevelop an international opto-electronics industry base in the Lingang area of Shanghai. Earlier this year, a memorandum

of understanding (MoU) was signedby the university and senior repre-sentatives of the Shanghai LingangScience and Technology InnovationCity Economic Development Co Ltd(a subsidiary of the Lingang Groupwhich specializes in industrial parkdevelopment) and the ShanghaiShunmao Information Technology Co(a private company established tomanage and commercialize someof the technology that will be devel-oped by the new initiative). John Marsh, Professor of Opto-

electronic Systems and Dean of theUniversity of Glasgow-University ofElectronic Science and Technology ofChina (UESTC) Partnership, attendedan inaugural event at Lingang rep-resenting the University of Glasgow.

The agreement has led to thefounding of the Shanghai LingangInternational Photonic IntegratedCircuit Joint Laboratory (PIC Lab),which will foster collaborationbetween the University of Glasgowand its partners in Lingang. PIC Labaims to accelerate the developmentand commercialization of optoelec-tronic integrated chip technology —integrating multiple optical compo-nents on a single chip and packagingthe chips with high-speed electronics— to address the demand for high-speed network connections for thenext generation of the Internet. “The University of Glasgow is

delighted to be working with our newpartners to strengthen internationallinks relating to optoelectronic devicesand the development and integrationof an optoelectronic integration plat-form and industry incubation basein Lingang,” says Marsh. “We shallalso be working to create a Scottishplatform. This is internationalrecognition of Glasgow’s long-termcommitment to optical research.”

A spokesman for the ShanghaiLingang Science and TechnologyInnovation City Economic Develop-ment Company said that the newPIC Lab would capitalize on thecombination of domestic and foreignskills and resources to develop “an effective concentration of high-end technical and commercialtalent in the field of photonics todeliver a world-leading, cutting-edge technology and industrial capital”. The partnership also aims to give

clear leadership to the global PICindustry and offer a strong impetusto optoelectronic integrated chiptechnology R&D and to attract further domestic and foreign optoelectronic talent technology,adds the spokesman. The Chinese partners in the

agreement plan to visit the University of Glasgow later thisyear to promote and cement thenew bilateral cooperation project. www.shlingang.com www.gla.ac.uk

University of Glasgow partners with Shanghai LingangScience and Technology Innovation City to developoptoelectronics industry base

Infinera’s co-founder & president receives IEEE Ernst Weber Managerial Leadership Award for optical and cloud networking innovation

News: Optoelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

63

POET Technologies Inc of San Jose,CA, USA — which has developed theproprietary planar optoelectronictechnology (POET) platform formonolithic fabrication of integratedIII-V-based electronic and opticaldevices on a single semiconductorwafer — has completed its stock-only acquisition of BB Photonics Inc,a designer of integrated photonicsolutions for data communications(announced on 17 May), inexchange for issuing 1,996,090common shares (for a total deemedpurchase price of $1.55m, basedon a price of the US equivalent of$1.00 per share). POET now owns100% of BB Photonics and its assets,including intellectual property andtechnologies. BB Photonics had noliabilities at closing. BB Photonics (a pre-revenue,

New Jersey-based privately held firm)develops photonic integrated components for the data-centermarket utilizing embedded dielectrictechnology that is intended toenable on-chip athermal wavelengthcontrol and lower the total solutioncost of data-center photonic integ-rated circuits (PICs). The strategic acquisition is designed

to provide POET with additional differentiated intellectual propertyand know-how for product develop-ment, to enable POET to betterservice its first identified commer-cialization market (the end-to-enddata communications market), andto augment its sensing roadmap. “The addition of BB Photonics sig-

nificantly enhances our integratedphotonic solution set and advancesour commercialization initiative,”says POET’s CEO Dr SureshVenkatesan. “By internal develop-ment and acquisition, we are accel-erating our drive from technologyleadership to market entry in differ-entiated photonics.” The POET platform and process

technology continue to be the focalpoint of the firm’s commercializationstrategy. To this end, the POETteam continues to make progresstoward its previously announced

goal of demonstrating an integratedproduct prototype by the end of2016 using the POET platform. Thefirm’s recent acquisitions (includingDenseLight Semiconductors) andorganic development are intendedto serve as a logical continuum ofthe roadmap by enabling immedi-ate market entrance into its first

identified commercialization market(datacoms). The firm says that itsacquisitions also allow it to engageprospective customers with anextensive suite of integrated pho-tonics products, enabling multipledifferentiated product sales andenhancing potential revenue. www.poet-technologies.com

POET completes acquisition of BB Photonics

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

64

With the re-grouping of teams fromIII-V Lab (the joint Alcatel-Lucent,Thales and CEA-Leti industrialresearch laboratory), Almae Tech-nologies SAS is taking over III-VLab’s facilities at Marcoussis, whichis sited on the Plateau de Saclay (a long-established and growinghigh-tech center for materials andoptics research in the Paris region). Almae was spun-off by III-V Lab

of Palaiseau, France in October2015 at the initiative of one of thedirectors of III-V Lab and two managers of InPACT of Pomblière,France, which manufacturesindium phosphide (InP) single-crystal wafers. In February, thefirm received a capital input from“a major player in the photoniccomponents industry”. Almae willuse the epitaxial reactors and nano-lithography equipment validated byIII-V Lab to begin production of III-V semiconductor wafers for thetelecoms market. With over 2000m2 of cleanrooms,

Almae will have an annual full production capacity of severalthousand wafers including for new-generation laser componentssupporting very high-speed accessover optical fiber. Along with acquiring the equip-

ment, Almae will benefit from atechnology transfer from III-V Lab,including operational support fromits R&D teams in laser design,fabrication and characterization.

The technology transfer shouldenable the start-up to rapidlyachieve industrial scale and todevelop products that meet thegrowing demand globally for III-V-based laser materials. The deal with Almae “brings to

market more than 10 years ofresearch work on access photonics,strengthens our position as a tech-nology leader in the field of laserapplications for telecoms, anddemonstrates the value of ourmodel of an innovative, openindustrial laboratory,” commentsIII-V Lab’s president François Luc. Technical breakthrough in photonic integration moves out of the lab Almae designs and produces InPepiwafers used to implement photonics circuits integrating semi-conductor lasers, made possible bylicensing a portfolio of patents fromNokia. This involves technologydeveloped by III-V Lab for growingmaterials with atomic-scale control.This ‘buried strip’ laser technologyconsists of covering the semicon-ductor strip constituting the laserwith an electrical insulator materialwith sub-micron precision, enablinggood thermal exchange and opti-mum optical guidance of the beam.This technique enhances the imple-mentation, stability and performanceof integrated lasers. A range ofproducts operating at data trans-mission rates up to 25Gb/s is in

development. “The photonic technologies devel-

oped by Nokia Bell Labs and III-VLab will now be applied by AlmaeTechnologies in the creation ofsemiconductor wafers for thetelecommunications industry,” saysJean-Luc Beylat, president of NokiaBell Labs France. “Many of theseoptical technologies are at the coreof next-generation networks,including 5G. They will provide thegreater speed and processingrequired to meet the needs of afully mobile and connected societywhile consuming less power. AlmaeTechnologies will also provide a reli-able industrial supply chain for ourinnovations going forward,” he adds. The agreement with III-V Lab “will

enable Almae Technologies todevelop its epitaxial wafer manu-facturing business on an industrialscale, along with high-added-valueservices in collaboration withInPACT, a III-V Lab partner for 10years, while positioning Almae as amajor player in the field of photonicintegrated circuits,” reckonsAlmae’s founder & CEO Jean-LouisGentner. “This new R&D and indus-trial production activity will con-tribute to the dynamism of theecosystem of the Saclay plateautechnology region by creating valueand highly skilled jobs in the grow-ing sector of photonics applied totelecommunications.” www.3-5lab.fr

III-V Lab spin-off Almae takes over Marcoussis facilities Start-up to industrialize InP-based epitaxy for photonic integration in telecoms applications

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

News: Optical communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

65

ICT-STREAMS, a part of the European Union Horizon 2020 program, is a new three-year projectlaunched on 1 February (followedby a kick-off meeting at the Aristotle University of Thessaloniki,Greece on 24–25 February) withthe goal of developing the requiredset of transceiver and routing technologies to enable multi-terabiton-board chip-to-chip communica-tions. The ever-growing demands of

mega data centers and high-performance computers for increasedbandwidth at a fraction of real-estateand power consumption are pushingexisting pluggable optics inter-connection solutions to their limits.The research efforts of ICT-STREAMSare therefore aligned with the nextgeneration of embedded opticaltransceivers, which are placed on-board and in close proximity tothe electronic modules, as a way todrastically reduce the requiredphysical space and power budget. To this end, the project aims to

develop a set of innovative tech-nologies for the optical engines andboard platform and combine themin a radically new approach forwavelength division multiplexing(WDM) routing architecture, aimingto increase server-board density by

>400% and throughput by 1600%(with a 10-fold reduction in energyconsumption). ICT-STREAMS will exploit silicon

photonics technology to developultra-powerful, compact, densewavelength division multiplexing(DWDM), high-channel-count anddense embedded optical engineswith the ability for aggregatethroughputs beyond 1Tb/s. The project will also develop a

thermal drift compensation systememploying a non-invasive wave-length monitoring and control technology to guarantee real-lifeapplicability of the proposed multi-channel silicon photonics (Si-Pho) technology. On the board level, a single-mode

polymer-based electro-optical PCB(EOPCB) will be developed to serveas the host platform that will effi-ciently route both optical and high-frequency electrical data across theboard. As a way to relax manufacturing

time and cost requirements associ-ated with complex optical assemblyprocesses, optical engines will relyon III/V-on-Si in-plane lasers foroptical sourcing, while adiabaticcoupling will be employed for I/Ointerfacing with the electro-opticalPCB host platform.

Finally, ICT-STREAMS will assemblethe new optical engines on thepolymer EOPCB together with a16x16 arrayed waveguide grating(AWG)-based routing component toleverage WDM technology from justa parallel transmission tool to amassive any-to-any, collision-lessand low-latency routing platformwith 25.6Tb/s aggregate throughputcapability. Photonic-crystal-basedIII/V-on-Si nano-amplifiers will beintroduced as a new amplificationparadigm to enable optical powerbalanced links with advanced featuresand smart routing functionalities. The project brings together three

industrial partners, one small-to-medium enterprise and five aca-demic and research institutes in theoptical interconnects value chain.The partners are project coordinatorAristotle University of Thessalonikiin Greece, Centre National de laRecherche Nationale (CNRS) —Laboratoire de photonique et denanostructures (LPN) in France,IBM Research Zurich GmbH inSwitzerland, IMEC in Leuven, Bel-gium, Politecnico di Milano in Italy,STMicroelectronics in Italy, iMindsin Belgium, Vario Optics AG inSwitzerland, and FCI Connectivityin Germany. www.ict-streams.eu

ICT-STREAMS EU project to develop transceiverand routing technologies for multi-terabit on-boardchip-to-chip communications III/V-on-Si in-plane lasers and silicon photonics target 1600% increasein throughput and 10-fold cut in energy consumption

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

66

Communications network equipmentmaker ADVA Optical Networking SEof Martinsried/Munich, Germany isparticipating in the four-year projectDirectly Modulated Lasers on Silicon(DIMENSION), which aims to create a platform for single-chipelectro-optical integration, takingelectro-optical integration to a newlevel by producing silicon chips builtwith active laser components. Thetechnology it produces will involvelasers built with active III-V mater-ials embedded into silicon photon-ics chips, generating the versatile,cost-efficient components needed tooptimize data-center interconnect(DCI) transport and creating thenext generation of data centers. Funded by the European Union’s

Horizon 2020 research and innova-tion program and running until theend of January 2020, DIMENSIONbrings together a consortium ofresearch and industry partnersfrom four European countries: Germany, Switzerland, Greece andthe UK. Coordinated by Germany’sDresden University of Technology,the two research centers included

are Germany’s Innovations for High Performance Microelectronics(IHP GmbH) and Greece’s AIT(Athens Information Technology)Center of Excellence for Research andEducation. The large industry part-ners are ADVA Optical Networking,Optocap Ltd of Livingston, Scotland,UK and Switzerland-based IBMResearch – Zurich. The consortiumof partners also forms a completevalue chain for the production ofthe new technology, from researchthrough to innovative packagedesign and assembly. “DIMENSION unites specialists

from different fields and enables usto address the complete valuechain of directly modulated lasers,from materials research to appli-cation,” says Bert Offrein, manager,photonics, IBM Research – Zurich.“What we’re bringing to the table isa lot of experience with transforma-tional data-center innovation,” headds. “We’re focusing on incorpo-rating highly efficient III-V materialsinto silicon chips. Our role is todesign and produce the integratedactive optical components,” Offrein

continues. .”This technology willbring the optics to where the datais generated, and that leads toimprovements in every part of thedata center. By enhancing intercon-nections at different reaches, fromcentimeters up to kilometers, we’llbe able to reduce size, cost andpower on links between boards,computers and facilities.” “Improving efficiency in the

data-center interconnect (DCI)couldn’t be more vital given theincreasing demand for cloud com-puting and the growing scale of theInternet of Things,” commentsMichael Eiselt, director, advancedtechnology, ADVA Optical Networking.“Much of our recent innovation hascentered on enhancing the DCI,such as our FSP 3000 CloudConnectsolution,” he adds. “By integratingthe three distinct technologies ofsilicon photonics, electronics andactive photonics, we’re giving datacenters what they need to meettomorrow’s demands.” http://cordis.europa.eu/project/rcn/199148_en.html www.advaoptical.com

ADVA joins EU-funded DIMENSION project for single-chip electro-optical integration in data centers Consortium forms complete value chain for integrated optical circuitswith active photonics

MACOM Technology Solutions Holdings Inc of Lowell, MA, USA(which makes semiconductors,components and subassemblies foranalog RF, microwave, millimeter-wave and photonic applications)has launched the MAOM-003419, a quad-channel linear electro-absorptive modulated laser (EML)driver for 28 Gbaud PAM-4 solutionsfor 200G and 400G. Samples areavailable now. The MAOM-003419 is a low-power

EML driver with high gain, highbandwidth and output voltagecapability up to 2Vpp while it con-sumes less than 500mW of power.The device has differential inputs toprovide common-mode rejectionand single-ended output to driveindustry-standard EMLs. The deviceis available in a small-form-factorsurface-mount package while alsointegrating the high-frequency bias Tfor the electro-absorptive (EA)modulator.

“The MAOM-003419 is an idealsolution for customers developingEML-based PAM-4 transceivers,”says Raymond Moroney, director ofproduct marketing, High PerformanceAnalog. “MACOM has achievedexcellent performance with ourPAM-4 chipset IP... This product is a great addition to enabling thenext generation of 200G and 400Goptical connectivity for enterpriseand datacenter applications.” www.macom.com/opto-1

MACOM launches quad-channel linear driver for 28Gbaud PAM-4 applications to support 200G and 400G transceiver development

News: Optical communications

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

68

The board of directors of EmcoreCorp of Alhambra, CA, USA —which provides indium phosphide(InP)-based optical chips, compo-nents, subsystems and systems forthe broadband and specialty fiber-optics markets — has appointedJikun Kim as chief financial officer,succeeding Mark Weinswig (whoserved as CFO since October 2010,and will be assisting through atransition period). “We want to thank Mark for all of

his contributions during his tenureand his support for the changesmade at Emcore over the past few

years,” says president & CEO Jeffrey Rittichier. “Kim brings broad operational and

strategic experience to the organi-zation and will play a critical role inleading the company through theexecution of our business plan,”comments Rittichier. Kim has more than 20 years of

experience in the high-technologyindustry. He has held senior finan-cial management positions at sev-eral public and private high-growthcompanies. Most recently, heserved as CFO of after-marketaerospace support services firm

Merex Group.Prior to Merex, Kim was CFO of

AeroVironment (a technology solutions provider with a portfolioof unmanned aircraft systems andelectric transportation systems)and held senior finance positionswith Raytheon Vision Systems. He holds a Masters of BusinessAdministration in Finance from the Columbia Business School, a Masters of Science in ElectricalEngineering from the University ofCalifornia Los Angeles, and a Bach-elors of Science from the Universityof California Berkeley.

Emcore has completed its strategicreview and will distribute $1.50per share via a special dividendpayable on 29 July to shareholdersof record as of 18 July.Emcore’s board of directors will

have hence returned about $85m ofcash to its shareholders since June2015, representing about 50% ofthe cash received from operationssold in the prior fiscal year. “The return of cash to sharehold-

ers will strongly improve thereturn on assets of the business byreducing our overall capitalization,while maintaining flexibility toinvest in new market opportunitiesto accelerate earnings growth,” sayspresident & CEO Jeffrey Rittichier.“We are encouraged by the performance of our CATV and Fiber Optic Gyro businesses andsee strong growth opportunities inthese and other areas to continueimproving our financial perform-ance,” he adds. “During my first year at Emcore,

we grew revenues 47% andimproved gross margins 13 pointsfrom fiscal-year 2014 to fiscal-year2015, positioning the company forprofitable growth. Building on thisprogress, we returned $45m toshareholders in June 2015 andbegan executing a strategic

re-alignment of the manufacturingoperations to drive marginshigher,” continues Rittichier. “Withthe core operations of the businesson improved footing, in December2015 the board and managementbegan a comprehensive strategicreview to strike the right balancebetween returning assets to share-holders and investing in growthopportunities,” he adds. “Duringthis review period, we activelyworked to eliminate risks to ourbalance sheet posed by the Sumitomo arbitration and otherlingering liabilities. Given the recentsuccessful outcome of the Sumitomoarbitration and the completion ofour strategic review, we are pleasedto announce this return of capitalto our shareholders.” As part of the strategic review

process, the firm evaluated itsgrowth opportunities in existingand adjacent markets, analyzed itsproducts, technologies and pro-duction capabilities, and concludedthat it could fully leverage its corecompetency in mixed-signal opticsin both existing and new markets.As mixed-signal devices have bothanalog and digital circuits on mul-tiple chips, or even a single chip,the value of these solutions isoften far greater than traditional

digital applications, and as a resultthey require specialized expertisethat is unique in the optics indus-try, reckons the firm. “Given Emcore’s existing leader-

ship in mixed-signal optic productssuch as DOCSIS 3.1 transmissiondevices, and emerging position innew products such as fiber-opticgyros and 5G distributed antennasystem components for wirelessapplications, it became clear thereis an opportunity to leverage ourcore mixed-signal competencies topenetrate new markets,” says Rit-tichier. “Emcore is uniquely posi-tioned as a supplier of advancedmixed-signal solutions given ourdesign expertise and our captivewafer fabrication facility,” he adds.“Mixed-signal technology is at theheart of all of our products, and isshared between fiber-optic gyros(sensor) and our CATV (transmis-sion) products alike… If one wereto open up one of our fiber gyros,one would see a miniature com-munication link that requires thesame technologies, chip designsand production assets as our CATVproducts, giving us the ability toleverage our high-volume infra-structure against lower-volume,higher-value-added product.” www.emcore.com

Emcore to issue special dividend of $1.50 per share, completing return of $85m to shareholders

Emcore appoints new chief financial officer

News: Optical communications

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

69

Emcore Corp of Alhambra, CA, USA— which provides indium phosphide(InP)-based optical chips, compo-nents, subsystems and systems forthe broadband and specialty fiber-optics markets — has appointed EdCoringrato to its board of directors.Ettore (Ed) J. Coringrato Jr cur-

rently serves as a board member ofNanowave Technologies Inc, amanufacturer of microwave andmillimeter-wave components andhigh-power solid-state transmit/receive subsystems for commercialaerospace, defense, medical, communications and industrialapplications. He was a senior advisor

to Nanowave from January 2014 toJanuary 2016. Previously, Coringrato served as

president, CEO and board memberof CyOptics Inc from January 2005until its sale to Avago Technologiesin June 2013, and he was VP ofbusiness development for CyOpticsfrom February 2003 throughDecember 2004. From 2000 until2003, he was co-founder and chief financial officer of CENiX Inc,an optical start-up that developedhigh-speed optical modules using anautomated manufacturing platform.Coringrato also worked for 18 yearsat AT&T and Lucent Technologies in

its Microelectronics Group, wherehe held positions in engineering,marketing and sales, strategicplanning, business developmentand product management.Coringrato has a Bachelor of Science

degree in Industrial Engineering andSystems Management, and an MBAfrom Pennsylvania State University.“His extensive background in the

telecommunications industry andexpertise in wafer fabrication tech-nology will be extremely valuableas Emcore continues to grow and expand into new markets,” comments Emcore’s chairman Dr Gerald Fine.

At ANGACOM 2016 Exhibition &Congress for Broadband, Cableand Satellite in Cologne, Germany(7–9 June), Emcore launched theMedallion 8100 series DOCSIS 3.1,L-EML 1550nm externally modulatedCATV transmitter. The 8100 series leverages the

L-EML (Linear Externally ModulatedLaser), which was invented, developed and manufacturedexclusively at Emcore. The L-EMLconsists of a high-power, low-noise,narrow-linewidth laser combinedwith a proprietary highly linearizedmodulator in a monolithic assembly.It enables long-distance opticallink performance approaching traditional lithium niobate-basedexternally modulated transmitters,but is more cost-effective for itstargeted applications and farexceeds the performance of distributed feedback (DFB)-basedsystems, claims Emcore.To accommodate a broad range

of network architectures, theMedallion 8100 series providesoptions, including P-type, C-type,T-type and R-type transmitters.The P-type transmitters offer ahigh-performance solution for

applications where the simul-taneous transport of CATV andsatellite intermediate frequency(SAT-IF) signals are required. TheSAT-IF signals can be applied any-where in the 950–3500MHz band.The C-type and T-type series

transmitters are for use in node-splitting architectures requiringcost-effective dense wavelengthdivision multiplexing (DWDM)transmission over medium-lengthfiber distances. They can be con-figured to meet most hybrid fibercoaxial (HFC) networks requiringlink lengths from 0 to 65km withone erbium-doped fiber amplifier(EDFA) as well as links utilizingmultiple EDFAs.The R-type series transmitters are

designed for fiber-to-the-premise(FTTP) and radio frequency overglass (RFoG) architectures requiringhigh-quality transmission overvarying transmission lengths andEDFA output powers. The trans-mitters support very high opticallaunch powers while controllingthe detrimental effects of stimu-lated Brillouin scattering (SBS),group velocity dispersion (GVD),and self-phase modulation (SPM).

“The Medallion 8100 series ofrack-mount CATV transmittersushers in a new era of transmissiontechnology for the industry,” sayssenior product line director GrantOlecko. “With the rapid adoption ofnext-generation DOCSIS 3.1deployments throughout the world,Emcore recognized the need for acost-effective solution that over-comes the technical limitations oflinear DFBs, while pushing theboundaries of performance towardstraditional, but higher-cost, lithiumniobate-based solutions,” he adds. At ANGACOM, Emcore showcased

its full system-level portfolio forCATV, including the new Medallion6100 series transmitters, the 8000series of directly modulated trans-mitters, the new 7110 series oflow-noise, high-power EDFAs, andthe 2100 series of optical switches.Emcore also featured its full line ofDFB butterfly lasers, DOCSIS 3.1lasers, TO-56 lasers, low-noiseoptical receivers, broadbandphotodiodes and components forwireless and distributed antennasystem (DAS) applications. www.angacom.de www.emcore.com

Emcore launches Medallion 8100 series DOCSIS 3.1 1550nm CATVtransmitter and unveils linear externally modulated laser technology

Emcore appoints ex-AT&T/Lucent/CyOptics veteranEd Coringrato to board

China-based thin-filmsolar power firmHanergy HoldingGroup has launchedfour full-solar-power vehicles at a cere-mony (attended byover 4000 guests)themed ‘DisruptiveInnovations Drivethe Future’ outsideits headquarters inBeijing. R&D on thefull-solar-powervehicles was under-taken independentlyby Hanergy, whichowns more than120 patents andproprietary intellec-tual property rightsfor them. Board chairman &

CEO Li Hejun debutedthe new sports car‘Hanergy Solar R’ bydriving it around the venue. Thenew series of vehicles also includesthe Solar O, Solar L and Solar A,targeted at different groups ofusers. In his speech, Li elaborated on the

advantages of thin-film solar cellssuch as light weight and flexibility,enabling the cells to be integratedinto products like cars, unmannedaerial vehicles, mobiles, backpacksand clothes. The new full-solar-power vehicles showcase the latestachievements of Hanergy’s mobileenergy strategy, he said. With a solar energy conversion

efficiency rate of 31.6%, Hanergy’sgallium arsenide (GaAs) dual-junc-tion solar cell was awarded with aWorld Record Certificate by theWorld Record Association at thelaunch event. Previously, on 5 Jan-uary, the technology had been rec-ognized by the US NationalRenewable Energy Laboratory(NREL) for its record efficiency.

The four new full-solar-powervehicles are integrated with flexi-ble, highly efficient GaAs solar cells,maximizing the area covered(3.5–7.5m2). Through a series ofprecise control and managing sys-tems (including a photoelectric con-version system, an energy storagesystem and an intelligent controlsystem), the zero-emission vehiclesuse solar energy as the main driving force. With 5–6 hours ofsunlight, the thin-film solar cellscan generate 8–10kW-hr of powerper day, allowing the vehicle totravel about 80km (equivalent toover 20,000km annually), andhence satisfying the requirementsfor city driving under normal circumstances. Users can manage different

travelling and weather modes in areal-time, mobile, networked andsmart way, selecting charging modesin accordance with varied weatherconditions through Apps on their

mobiles. In everyday-use mode,the vehicles can charge themselveswith solar energy while traveling,making ‘zero charging’ possible formedium- and short-distance jour-neys. So, unlike traditional electricvehicles, the full-solar-power vehi-cles hence no longer need to relyon charging posts, eliminating theconcept of ‘distance per charge’.For weak sunlight or long-distancetravel, the lithium batteries in thevehicle can get power from chargingposts, enabling them to travel amaximum of 350km per charge. Hanergy claims that the four new

vehicles are the first full thin-filmsolar power vehicles that can becommercialized, breaking the bottleneck of poor practicality ofprevious solar-powered vehicles.The firm has also signed a frame-work agreement with Foton Motorto cooperate on developing cleanenergy buses. www.hanergy.com

Hanergy launches full-solar-power vehicleswith daily range of 80km 3.5–7.5m2 of GaAs solar cells generate 8–10kW-hr

News: Photovoltaics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

70

Beijing-based Hanergy launches full solar power vehicles.

News: Photovoltaics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

71

Fraunhofer Institute for Solar EnergySystems ISE of Freiburg, Germany,together with NETRA (the researchfacility of the largest Indian public utility NTPC), are workingtogether on a cooperative researchproject to determine the specificrequirements needed for the use ofconcentrator photovoltaics (CPV) inIndia. CPV is a cost-effective method for

generating electricity in regionsthat have a large fraction of directsolar radiation. With the help oflenses, sunlight is concentratedonto small, highly efficient multi-junction solar cells with efficienciesabove 40%. CPV modules aremounted on trackers that continuallyfollow the sun’s path in order to usedirect sunlight. The Indo-German research project

CPVIndia is funded by the Kredit-anstalt für Wiederaufbau KfW withresources from the German FederalMinistry for the Environment,Nature Conservation, Building andNuclear Safety (BMUB), as part ofthe international climate protectioninitiative. Energy demand in India is large

and growing rapidly. To meet thisdemand and at the same timedevelop the economy by climate-neutral means, the Indian govern-ment has declared the expansion ofrenewable energy in India as its goal.In this context, CPV is to be intro-duced as a research area in Indiaand, in the medium term, estab-lished as a power plant technology. “We want to achieve a transfer of

knowledge especially in the area ofCPV,” says Dr Gerald Siefer, teamleader of III-V Cell and ModuleCharacterization at Fraunhofer ISEand head of the Indo-German CPVproject. Numerous seminars areplanned, given by researchers fromFreiburg, together with their Indiancolleagues. “Another focus will be characteriz-

ing CPV technology in the contextof the local climate conditions inIndia,” says Siefer. For this purpose,

four commercial CPV systems willbe installed in India. These will beconfigured so that comparativetests can be performed, for exampleto determine the effects of soilingon the energy performance. On thetest field of its Indian partner,Fraunhofer ISE will install a trackerthat can be used flexibly for meas-uring either CPV or other types ofPV modules. With this tracker, spe-cial measurements can be under-taken, for example to investigatehow different CPV modules react tomisalignments. The informationgained is necessary for some of theevaluations carried out on the fourCPV systems installed at the site. The project

will also providethe researcherswith informationon how toimprove energyyield models forCPV. Relevantfindings will beused as input forstandards activi-ties in the area

of CPV, carried out by WorkingGroup 7 of the Technical Committee82 of the International Electrotech-nical Commission (IEC TC82 WG7).The seminars and workshops areintended to have an impact thatreaches beyond the project partici-pants themselves and are open toexternal participants who are inter-ested in the topics covered. “The ‘CPVIndia’ project not only

enables bringing CPV technology toIndia, but also opens up several newavenues for joint collaboration withFraunhofer ISE in various new areas,”says R K Srivastava, executivedirector, NETRA, NTPC Ltd during hisvisit to the Institute. The GermanAerospace Center (Deutsches Zentrum für Luft und Raumfahrt;DLR) is also involved in a sisterproject on the topic of solar thermalpower production (CSP). It is reck-oned that a synergy could emerge,whereby the information gainedabout the required specificationsfor using concentrator technologiesin India could benefit both types ofpower plants (CPV and CSP). www.ise.fraunhofer.de

CPV test tracker on the rooftop of Fraunhofer ISE — a similar system will beinstalled in India at NETRA's premises. ©Fraunhofer ISE.

Fraunhofer ISE partners with NETRA on CPVIndia Research project to determine requirements for using CPV in India

The projectwill alsoprovideinformation on how toimproveenergy yieldmodels forCPV

News: Photovoltaics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

72

First Solar Inc of Tempe, AZ, USA —which makes thin-film photovoltaicmodules based on cadmium tellurideas well as providing engineering,procurement & construction (EPC)services — is reallocating productioncapacity at its facility in Kulim,Malaysia to support a new assemblyline dedicated to its recentlyannounced Series 5 thin-film photovoltaic module. As a result, First Solar will end

production of its TetraSun crystallinesilicon solar panel product currentlymanufactured there, and expectsto incur impairment and relatedcharges of $90–110m (substantiallyall of which is expected to be non-cash). These actions are expectedto reduce First Solar’s operatingexpenses by $2m to $4m this yearand by $8m to $10m annuallygoing forward. The shift in production capacity is

driven by First Solar’s long-rangebusiness plan, which focuses onmaximizing its core technologybased on CdTe, according to chiefoperating officer Tymen de Jong. “Over the past two years, execution

of our CdTe technology roadmaphas positioned the product as theindustry leader for utility-scalesolar, as well as established apromisingpath into thefuture,” saysde Jong.“The Series 5module, andthe Series 6module stillin conceptdevelopment,are game-changingproductsthat position

us for exciting growth. They requirethe full attention of our manufac-turing operations,” he adds. “TetraSun is a sound technology

for space-constrained rooftops, andserved largely as a hedge againstCdTe technology competitivenessthat had challenged us in the past,”de Jong continues. “With the suc-cess of our CdTe roadmap reflectedin our record 22.1% cell efficiency,along with the proven higherenergy yield and superior perform-ance inherent in our thin-film tech-nology, that hedge is no longerneeded.” With this production transition,

virtually all of the Kulim productionassociates previously assigned tothe TetraSun line will move to theSeries 5 assembly line, which willbe in full operational mode by early2017.www.firstsolar.com

First Solar shifts Malaysian production capacityto Series 5 CdTe PV module assembly TetraSun crystalline silicon solar panel product line discontinued to accommodate reallocation

Atlas Material Testing TechnologyLLC of Mt. Prospect, IL, USA,which provides weathering tech-nology and services, says that, fora second time, cadmium telluride(CdTe) thin-film photovoltaic module maker First Solar Inc ofTempe, AZ, USA has completed therigorous Atlas 25+ ComprehensivePV Durability Testing program andreceived test result certificationfrom Atlas’ inspection, verification,testing and certification partnerSGS. Testing was conducted on First

Solar’s original Series 4 thin-filmphotovoltaic module, which utilizesthe core technology used in all ofthe firm’s product offerings. The Atlas 25+ protocol is a

proprietary, multi-dimensionaldurability test program designed

to subject photovoltaic modules tothe environmental degradationstresses that can be expected overlong-term service. The firm saysthat it provides manufacturerswith the data needed to demon-strate long-term durability and tosupport warranty and performanceclaims, while reducing the costsassociated with aftermarket prod-uct failure. The Atlas 25+ program exposes

solar panels to a series of stresses,including UV-A/UV-B exposure,salt spray corrosion, condensinghumidity, solar/thermal humiditycycle, solar/thermal humidityfreeze cycle, Arizona and Floridasolar tracking — including peaksummer — and initial, final andmultiple interval measurements.In order to receive SGS certification,

modules are required to have lessthan 8% degradation over thetesting period. “As part of the Atlas 25+

independent testing program,solar panels are exposed to harshweather conditions similar to thosefaced during their lifetime,” notesRichard Slomko, director of Atlas’Weathering Services Group. “Only a handful of PV manufac-

turers — and no other thin-filmmanufacturer — have been certi-fied,” says Lou Trippel, First Solar’ssenior director of Product Manage-ment. “We are taking the world-class reliability documented hereand building it into successiveproducts,” he adds. “We believeproduct evolution depends on fundamental reliability.” www.atlas-mts.com

First Solar completes Atlas 25+ testing program for second time, on Series 4 PV module

The Series 5module, and theSeries 6 modulestill in conceptdevelopment, aregame-changingproducts... Theyrequire the fullattention of ourmanufacturingoperations

News: Photovoltaics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

73

Deposition equipment maker Aixtron SE of Herzogenrath, nearAachen, Germany says that it ispushing ahead with research onperovskite solar cells within thethree-year project PeroBOOST(running from March 2016 to February 2019). Aixtron will be collaborating with

PeroBOOST consortium partnersincluding the University of Cologne,PV system developer Enerthing GmbH,Herzogenrath-based laser machinesystem maker Lunovu GmbH,Cologne-based electrospray coatingsystem maker Soluxx GmbH, theCenter for Organic ElectronicsCologne (ZOEK) GmbH, the Fraunhofer ISE Laboratory & ServiceCenter (LSC) Gelsenkirchen, andDuisburg-Essen University (UDE).ZOEK will draw on the infrastructureavailable at the COPT.CENTRE forOrganic Electronics at the Universityof Cologne (where ZOEK is theanchor tenant). The basis for the project is the

recent discovery of the propertiesof organo-perovskite materials forefficient solar cells. Aixtron saysthat this long-known of class ofmaterials offers surprisingly greatpotential in terms of its energy conversion efficiency. The latestresearch results already report efficiency levels of more than 20%.The new type of solar cells is thusexpected to achieve a similarly high

level of energy efficiency as solarcells made of silicon. In addition tobeing expected to involve lowercosts, the technology also opens upa variety of novel future applicationsdue to its manufacturability on flexible substrates, notes Aixtron.Another attractive option involvesusing the technology in combinationwith silicon photovoltaics to furtherenhance efficiency. Based on thin-film technology,

the perovskite solar cell has manyfeatures in common with organicphotovoltaics in terms of the materials used. The disadvantageof perovskite solar cells to date,however, has been that the highestefficiencies have only been achievedwith lead-based materials. Thedevelopment of perovskite solarcells that are stable on a long-termbasis is also only in its infancy.Lead-free systems currently showlower levels of efficiency and long-term stability. The PeroBOOST project will therefore be focusing ontwo aspects and aiming to developthese further: ● efficient and stable lead-free perovskite solar cells; ● scaling up methods and techniques. The project will hence investigate

and develop two industrial productionprocesses: vacuum coating andwet-chemical roll-to-roll coating. The project also aims to investigate

the stability of the solar cells and to

develop processes and materials toenhance stability. For initial appli-cations, a lifetime of 3–5 years istargeted.PeroBOOST is being supported

with funds from the EuropeanRegional Development Fund (ERDF)2014–2020. LSC Gelsenkirchen will be responsible for developingnew transparent conductors basedon silver and copper nanowires. PeroBOOST is being supported bytwo institutes at UDE: the Instituteof Materials Science and the Insti-tute of Nanostructure Technology.The Institute for Materials Sciencedevelops and investigates functionalmaterials for use in constructionand electrical technology. Perovskiteshave been a key research field formore than 20 years now, althoughthe types suitable for solar cellmaterials have only been investi-gated more recently. The focushere is on materials synthesis. TheInstitute for Nanostructure Technol-ogy develop tools and prototypesfor use in electrical technology(with major topics being thermo-electric materials and solar cells).Existing research on organic solarcells is now being supplemented toinclude organic-inorganic systems.The institute’s strengths alsoinclude device technology andoptoelectronic and electrical char-acterization. www.aixtron.com

PeroBOOST project to research efficient, stablelead-free perovskite solar cells Germany-based consortium to develop both vacuum and wet-chemical roll-to-roll coating

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

News: Photovoltaics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

74

XsunX Inc of Aliso Viejo, CA, USA,which is developing hybrid copperindium gallium (di)selenide thin-film (CIGS) photovoltaic (TFPV) celltechnologies and 'CIGSolar' manu-facturing processes, has begun itsentrance into an affiliate networkmarketing relationship to boostexposure and enhance the sales ofits residential and commercial cleanenergy solutions. Under the XsunX affiliate sales

program, the parties will collabo-

rate to generate interest among theaffiliate company’s current clients,giving both firms an opportunity toexpand through a cross-marketingstrategy designed to increase expo-sure and revenues through existingrelationships. “In consideration of the fact that

we share a mutual target market, aplan was formed that will serve tobenefit both entities, strengtheningour presence in the solar market,”says CEO Tom Djokovich. “At this

stage of the game in our growingindustry, we have found that whencompanies join forces to serve acommon market, they are able toprovide a diverse selection of solu-tions,” he adds. “This is one oftoday’s most successful marketingmethods employed to expand aservice provider’s reach and, asevidence of the strategy’s potential,we are already seeing deal flow andsales through the affiliate network.” www.xsunx.com

ZSW (Zentrum für Sonnenenergie-und Wasserstoff-Forschung — orCenter for Solar Energy and HydrogenResearch — Baden-Württemberg)in Stuttgart, Germany has set aworld record for thin-film photo-voltaic cell energy conversion efficiency for the fifth time, with22.6% for its latest copper indiumgallium diselenide (CIGS) PV cell, asconfirmed by Fraunhofer Institutefor Solar Energy Systems ISE. Thissurpasses ZSW’s prior world recordof 21.7% (set in September 2014),its European record of 22%(announced at the end of March),and the most recent world record of22.3% set by a Japanese-made cell,as the pace of advances accelerates:the efficiency of CIGS-based thin-film solar cells has risen morein the last three years than in theprevious 15 years. ZSW’s latest record-setting cell

has an area of about 0.5cm2 (astandard size for test cells), andagain was produced in a laboratorycoating machine using the co-evap-oration method. ZSW achieved theboost in performance by improvingthe manufacturing process at severalpoints, including post-depositiontreatment of the CIGS surface(with alkaline metal compounds

being incorporated into this layer).Momentum has been building in

thin-film photovoltaics in recentyears, notes ZSW. Records werefew and far between from 1998 to2013, with cells holding the bestmark for as long as two or threeyears. Efficiency increased by just0.1 percentage points per year onaverage. However, in the last threeyears the world record has beeneclipsed every six months or so,with an average increase of 0.7percentage points per year. With these latest advances in

R&D, thin-film cells could soon be aserious contender for the silicon-based solutions that have domi-nated the PV market for years,reckons ZSW. The lead of multi-crystalline silicon cells is now just1.3 percentage points. ZSW researchers still see plenty of

untapped potential in the technologybehind CIGS solar cells. “We canachieve up to 25% efficiency in theyears ahead,” expects professorMichael Powalla,ZSW boardmember andhead of the Pho-tovoltaics divi-sion. Thin-film cells

are also making strides in otherareas, as evidenced by key per-formance indicators: CIGS PV tech-nology is catching up tomulti-crystalline silicon regardingefficiency of the entire module (thelarger module is always slightly lessefficient than the smaller cell). Thetwo technologies are not very apartthese days, with efficiencies of 15%and 17% respectively. At US$0.40per watt, the cost of manufacturingCIGS modules has also fallen to alevel on a par with that of silicontechnology. However, researchersare confident that module efficiencycould reach 18% or more, with pro-duction costs dropping to aboutUS$0.25 per watt. Also, whereasthe price of silicon PV productsdepends largely on economies ofscale, CIGS modules can be manu-factured at low cost even on a rela-tively small scale, notes ZSW. In the months ahead, ZSW will

team up with its industry partnerManz AG of Reutlingen, Germany(a mechanical engineering firm thatoffers turnkey manufacturing linesfor CIGS thin-film solar modules) totransition the latest advance fromthe lab into the factory. www.manz.com www.zsw-bw.de

ZSW regains thin-film solar cell efficiency recordwith 22.6% CIGS PV cell Post-deposition treatment of surface with alkaline metal compoundsboosts efficiency from 22%

XsunX launches Affiliate Sales Program

We canachieve up to25% efficiencyin the yearsahead

News: Photovoltaics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

75

Stion Energy Services — the turn-key project development arm ofStion Corp of San Jose, CA, USA,which makes CIGS (copper indiumgallium selenium) — recently completed the installation of thefirst utility projects in the State ofMississippi. A total of 1.5MW wasinstalled at three different sites forEntergy Mississippi Inc. One of the arrays — a 500kW sys-

tem in Hinds County — is equippedwith a single-axis tracking systemthat will generate 820,000kWh ofpower every year using Stion’ssolar modules. Two additional 500kWfixed-tilt arrays were installed inDeSoto County and in the City ofBrookhaven. Collectively the threearrays will generate 2.2MW-hr ofelectricity on an annual basis forEntergy’s customers in Mississippi. Combining the benefits of a single-

axis tracker with the increased performance benefits of the Stionmodule increases Entergy’s returnon investment, delivering bettervalue as the solar modules producemore energy as they track the sunacross the sky. “This maximizes theavailable light and increases power

output of the system by 20% overthe course of a Mississippi day,” saysJim McGrath, VP of sales for Stion. Entergy selected different loc-

ations throughout the state to testhow panels perform in Mississippi’shot and humid climate. When theoperating temperature of a solarpanel increases, it starts to affectthe module’s energy production. In the hot Mississippi climate Stionsystems are expected to produce 5%more per kW than silicon modules,primarily due to a low temperaturecoefficient of –0.26%/°C. Stion addsthat its frameless modules provide

additional value due to: no debris building up atthe edge of the module(leading to better performance); andincreased packagingdensity and a lighter-weight module (leadingto lower transportationcosts). Entergy decided to

work with Stion EnergyServices, the only ver-tically integrated solardeveloper in the state.

The modules were manufactured in Hattiesburg, MS, less than 100 miles from the installation sites,enabling Entergy to support thelocal economy as it tests the bene-fits of solar power. “Solar energy will be an important

part of the state’s energy generationmix going forward, and we look forward to continuing to grow ourmanufacturing and project devel-opment efforts in Hattiesburg,”says Frank Yang, Stion’s VP of business development & market-ing.www.stion.com

Stion and Silicon Ranch are celebrating the completion of Mississippi’s largest commercialsolar facility to date, sourced withmodules made in Mississippi. The 3.9MW facility, which usesStion’s solar panels manufacturedin Hattiesburg, MS, is located inChickasaw County. Construction ofthe solar farm created about 75jobs, with over half hired from thelocal labor pool. Owned and operated by Silicon

Ranch, the facility will provideenough electricity to help powermore than 400 homes and busi-nesses. Chickasaw County has thethird-largest manufacturing capac-ity in the state of Mississippi, andsuch savings should help to keep

local businesses competitive andhelp drive economic developmentin the region, it is reckoned. Over the lifetime of Silicon

Ranch’s investment, the projectwill generate tax revenue fromproperty taxes and generation forlocal governments. In addition,Silicon Ranch will provide funds toMississippi State University andHouston High School to train stu-dents in engineering, manufac-turing, installation, and operationof solar sites in Mississippi. “Hous-ton, Mississippi has long been asolar-centered city with the greatwork at Houston High School,”states commissioner BrandonPresley, who leads The Public Ser-vice Commission of the Northern

District of Mississippi. The HoustonSolar Car Team has won 14 of thelast 15 challenges, beating allother high-school teams fromacross the USA at the annualevent held in Austin, TX. The panels use CIGS-technology,

which are said to outperform othertypes of solar panels, particularlyin hot climates due to an industry-leading temperature coefficient.The panel’s design also has aunique cell structure that limitsproduction losses due to shade,Stion adds. By the end of 2016, Stion’s factory

will be able to produce 150MW ofsolar modules annually. The factorycurrently employs 110 people inHattiesburg.

Stion and Silicon Ranch complete Mississippi State’s largest commercial solar facility

Stion completes first direct utility projects in Mississippi

Stion Energy Services 500kW single-axis trackingarray in Hinds, MS.

News: Photovoltaics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

76

San Jose-based Solar FrontierAmericas, the US subsidiary ofTokyo-based Solar Frontier – thelargest manufacturer of CIS (cop-per indium selenium) thin-filmphotovoltaic (PV) solar modules –says that two utility-scale solarprojects installed with its CIS mod-ules have been completed and arenow in operation. Located in Terra Haute and Brazil,

Indiana, USA, both solar powergeneration plants are 7MW in size,and are the largest utility-scaleprojects in their respective coun-

ties. First announced in October2015, the projects were developedand are owned and operated byCypress Creek Renewables (CCR),which specializes in locally baseddevelopment strategies and own-ership of solar projects (with over2.6GW of solar farms deployed orin development). The projects aredelivering power to Duke Energyunder a 20-year power purchaseagreement (PPA).“Cypress Creek Renewables is

committed to the local communi-ties they build in and they deliver

high-quality, well-kept solar powergeneration plants,” commentsSolar Frontier Americas’ chiefoperating officer Charles Pimentel. According to the Solar Energy

Industries Association (SEIA), thestate of Indiana has 150MW ofsolar installed and is projected toinstall 345MW of capacity over thenext five years. In addition to thefederal tax credit and depreciationdeductions, Indiana offers severalrenewable energy tax exemptions. www.ccrenew.comwww.solar-frontier.com

Solar Frontier announces completion of 14MW of projects installed with CIS PV modules in Indiana

Tokyo-based Solar Frontier — the largest manufacturer of CIS(copper indium selenium) thin-filmphotovoltaic (PV) solar modules —has provided its CIS solar panelsfor a ‘solar sharing’ experiment onSado Island, Niigata Prefecture,Japan.Solar sharing in Japan refers to

the practice of using the same plotof land to simultaneously growcrops and generate solar power.Panels are installed high above thecrops and spaced further apartthan usual, enabling sufficient sun-light to pass through and farmersto work below. This business modelhas been gradually spreadingacross Japan, helping farmers toearn additional income by sellingelectricity.Advancing this experiment is

the University of Tokyo’s IR3S(Integrated Research System forSustainability Science), which aimsto evaluate the potential economicimpact of solar sharing on SadoIsland, where the population is bothdeclining and ageing. It is doing soas part of a broader project, whichlooks at using renewable energyand maximizing natural resourcesto achieve a low-carbon society andhelp to revitalize communities.

Solar Frontier has provided 10kWof its lightweight Solacis neo CISsolar panels for this experiment. In real-world conditions, CIS solarpanels yield more electricity thancrystalline silicon panels, includingareas that receive lower levels ofsunlight such as Sado Island, saysthe firm. This is currently beingdemonstrated by installations suchas the Niigata Yukiguni MegasolarPower Plant (connected in 2010 inNiigata Prefecture) as well assmaller projects such as GakkoGura (‘school cellar’), a Japanesesake brewery that re-uses thebuilding of a former elementaryschool on Sado Island.Solar Frontier’s panels have been

installed facing south at a low

inclinationangle of13.5°, and areexpected togenerateabout11,000kWhper year.The panelshave alsobeeninstalled 2m high,

enabling the farmer to tend to hiscrop. In this particular case, it hasstarted with a round of broccoliwhich will be followed by a range ofseasonal vegetables as the yearprogresses. The test will hence provide data on light-shielding ratesand crop yield for the Washizakidistrict (an area with relatively difficult farming conditions).Solar Frontier says that it will

continue to focus on collaboratingwith industry, academia and gov-ernment, utilizing its CIS thin-filmmodules to promote distributedenergy generation initiatives rootedin local regions. http://en.ir3s.u-tokyo.ac.jp www.solar-frontier.com/eng/casestudies/caselist/C002290.html

University of Tokyo tests ‘solar sharing’ using Solar Frontier’s CIS solar panels above farm crops

Solar Frontier’s CIS solar panels installed over a small field ofnewly planted broccoli.

News: Photovoltaics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

77

Tokyo-based Solar Frontier — the largest manufacturer of CIS(copper indium selenium) thin-filmphotovoltaic (PV) solar modules —has provided solar panels to Projecto YOSI, an organizationworking to keep Bolivia’s Salar deUyuni clean and promote sustainabletourism in the region. Projecto YOSI will use the solar

energy system (which consists of40 solar panels) to power a devicethat changes plastic waste intopetroleum, helping to address thegrowing problem of litter in thearea. It is doing so with the help ofthe local community.Representing the world’s largest

salt flats, the Salar de Uyuni is alsoamong the flattest places in theworld, with ground level differencesno bigger than 50cm. So, when athin film of water collects on top ofthe vast salt deposits during the rainyseason, the flooded plains turn intowhat’s described as a ‘Sky Mirror’,as the undisturbed surface of waterreflects back the sun, sky and clouds. The Uyuni Salt Flats are a popular

sightseeing spot, attracting around1.2 million visitors to Bolivia annu-ally. But with few facilities to dealwith waste, the salt flats are also

accumulating litter, impacting theliving standards of local communi-ties, the quality of the salt collectedfrom the salt flat, and the view ofthe landscape. To address this, aJapanese tour guide launched Projecto YOSI and, over time,gained the support of the local gov-ernment and academic institutions.Yoshihito Honma, representing the

organization, has spent the lastseven years leading tours acrossSouth America. He decided to raiseawareness about this issue afterwitnessing the growing amount oflitter lying across the region. As afirst demonstrative step, he hasintroduced a small, solar-powereddevice that can break down plasticwaste into petroleum. Some localpeople now even bring in plasticwaste from their homes. ProjectoYOSI has since gained wider recog-nition in Bolivia, including from theMinistry of Environment, municipalgovernments, universities and otherorganizations. In January, it featuredin the Bolivian Ministry of Environ-ment’s booth at the Rallye Dakar. Honma now also serves as a visiting

lecturer on environmental issues atthe University of San Andrés (IIAT:Applied Technology Investigation

Institute) and as a supervisor for ajoint project with the Ministry ofEnvironment. Aiming to boost envi-ronmental awareness, he is under-taking activities in the hope ofestablishing Bolivia’s first recyclingfacilities. “I dream that the Uyuni Salt Flats

will one day be recognized as amodel for sustainable tourism andenvironmental protection… The firststep is to set up activities that willprotect the environment. To that end,it’s important that we can establisha recycling system that is locallyled,” says Honma. “The solar panelsthat we have chosen are amongthe most environmentally friendlyand, even in harsh environmentalconditions, have demonstratedstable power generation,” he adds. “This kind of opportunity helps

customers think about what solarenergy can really achieve,” saysYoshihiro Ishikawa, manager ofSolar Frontier’s Global BusinessPlanning Division. “We’re verygrateful if projects such as these —using a device powered by clean,solar energy to turn waste intopetroleum — will help raise publicawareness.” www.yosip.org

Solar Frontier provides solar panels to help keep Bolivia’s Uyuni salt flats clean

San Jose-based Solar FrontierAmericas, the US subsidiary ofTokyo-based Solar Frontier — the largest manufacturer of CIS(copper indium selenium) thin-filmphotovoltaic (PV) solar modules —says that two utility-scale solarprojects from its developmentpipeline are now in the constructionphase in California’s Imperial County.Midway I will generate 67MWp andhas an executed power purchaseagreement (PPA) with Pacific Gas& Electric. Midway II will generate40MWp and has a PPA with theImperial Irrigation District.

Managing both projects is SolarFrontier Americas’ utility-scaleproject development division SolarFrontier Americas Development,which consists of a team offeringdevelopment expertise, substantialfinancial resources and a networkof industry relationships to ensuresolar projects are executed onschedule. Blattner Energy is providing engineering, procurement& construction (EPC) services,Power Electronics will supplyinverters, and NEXTracker will supply its NX Horizon single-axistrackers for increased energy yields.

“Solar Frontier has developed anextensive US project developmentpipeline of over 400MW and hasrapidly established itself as a reliable and bankable player in the solar development industry,”claims Solar Frontier AmericasDevelopment’s CEO CharlesPimentel. “Solar Frontier’s solar projects

will generate hundreds of directjobs and employ local workers inImperial County,” comments RyanKelley, Imperial County supervisor,District 4. www.solar-frontier.com

Solar Frontier Americas begin constructions on two Californian PV projects totaling 107MWp

Researchers based in Belgium, The Netherlands,the UK and France have

realized heterogeneous integrationof an indium arsenide antimonide(InAs0.91Sb0.09) photodiode arrayon a silicon-on-insulator (SOI)spectrometer for wavelengths aslong as 3.8µm [M. Muneeb et al,Optics Express, vol24, p9465,2016]. The team from Ghent University/imec, Eindhoven University of Technology, University of Southampton, andUniversité de Montpellier report:“To our knowledge this is thelongest-wavelength integratedspectrometer operating in theimportant wavelength window for spectroscopy of organic compounds.” The researchers believe that

such heterogeneous integration could also be appliedto broadband light sources on-chip for fully integratedspectroscopic sensing systems.The 3.8µm-wavelength mid-infrared region is

important for spectral analysis of carboxylic acids.Shorter wavelengths of 3.3–3.5µm could cover hydro-carbons. Integration of the components required forsuch analysis on a single chip could lead to reducedcosts and size for spectroscopic systems.The arrayed waveguide grating (AWG) structure was

built using silicon-on-insulator technology. The SOIwafer structure had 380nm of silicon on 2µm of buriedsilicon dioxide. The silicon layer was 220nm crystallineand 160nm polycrystalline. The waveguides were produced by etching 160nm and cladding with silicon dioxide.The waveguides were designed for transverse electric

(TE)-mode light with a center wavelength of 3.8µm.The array consisted of six channels spaced 9.6nmapart. The input and output ports consisted of gratingcouplers created through etching down 230nm. The

researchers comment: “For the integration of thephotodiodes we chose a grating-based coupling schemebecause it is more fabrication tolerant as compared toother schemes like butt coupling or evanescent coupling.”Grating couplers were also used for the input fromoptical fibers.The upward angle for the light into the integrated

III-V photodiode (Figure 1) was optimized throughsimulations varying the thickness of the top cladding ofsilicon dioxide and DVS-BCB cyclobutene polymer usedas bonding agent.The researchers used imec’s CMOS pilot line to create

the AWG structures. The top cladding silicon dioxidewas 800nm, achieved through deposition and planarization. The smooth top cladding improves thephotodiode bonding uniformity; reduces overlapbetween the waveguide mode and the bonding materialthat absorbs ~3µm light; and protects the underlyingwaveguide structure during photodiode fabrication. The epitaxial material for the photodiode was grown

on n-type gallium antimonide (GaSb) wafers using

Technology focus: Optoelectronics integration

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

78

Integrating silicon photonicsand III-V photodetectors inmicro-spectrometerResearchers claim longest wavelength for 3.8µm device that gives access toorganic compound analysis.

Figure 1. Schematic of grating-based coupling scheme.

Technology focus: Optoelectronics integration

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

79

molecular beam epitaxy (MBE). The growth sequenceconsisted of 550°C deoxidation, a 500°C GaSb bufferlayer, a 450°C 0.5µm InAs0.91Sb0.09 etch-stop layer, a 50nm p-GaSb/50nm p-InAs0.91Sb0.09 contact layer, a 1µm unintentionally doped InAs0.91Sb0.09 absorber,and a 250nm n-InAs0.91Sb0.09 contact layer.The III-V material was

flipped and bonded to theAWG wafer using 300nmDVS-BCB. The 200µmGaSb growth substratewas lapped down toabout 75µm before wetetching the remainderdown to the etch-stoplayer. The etch-stop layerwas itself removed inanother wet etch.Further fabrication

consisted of wet etching30µm x 45µm mesasaligned with the gratingcouplers, deposition ofmetal contacts, and DVS-BCB passivation ofthe photodiodes. TheAWG region covered anarea of 1.1mm x 0.78mm.The total spectrometerarea was 1.2mm2

(Figure 2).

The DVS-BCB passivation was found to be needed to create rectifying behavior in the photodiode by suppressing sidewall leakage currents. Even with passivation, the dark current was rather high: 600µA at –50mV and 170µA at –10mV. The researchersattributed 136µA to the photodiode and 34µA to sidewall leakage for the –10mV reverse-bias dark cur-rent. The researchers point out that a homogeneous

InAs0.91Sb0.09 structure is used for the p-i-n diode.High-bandgap barriers could reduce the bulk leakageand better passivation could be used to further reducesidewall leaking. The six channels covered wavelengths separated by

about 10nm between 3740 and 3800nm. The crosstalksuppression was 16dB, which was worse than the 20dBof a passive system developed and reported by thegroup in 2013. The researchers attributed this toincreased phase noise in the arrayed waveguide gratingdue to processing of the photodetectors.The system responsivity ratio of photocurrent/optical

power in the fiber was 1.1mA/W. The fiber-to-chip coupling efficiency was estimated to be –20dB. TheAWG insertion loss is given as 3dB and the waveguidelosses as 5dB/cm. The researchers therefore estimatethe on-chip photodiode response to be 0.3A/W, corresponding to a quantum efficiency of about 10%.The quantum efficiency matches expectations from thesimulations. ■http://dx.doi.org/10.1364/OE.24.009465Author: Mike Cooke

“To our knowledgethis is the longest-wavelengthintegratedspectrometeroperating in theimportantwavelength window for spectroscopy oforganic compounds.” The researchersbelieve that suchheterogeneousintegration could also be applied tobroadband lightsources on-chip forfully integratedspectroscopicsensing systems.

Figure 2. (a) Microscopic image of complete fabricated device, (b) close-up of single heterogeneouslyintegrated photodiode and (c) scanning electron microscope (SEM) cross-section of photodiode.

Technology focus: III-Vs on silicon

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

80

Direct growth of laser and photodiode structureson silicon could lead the way to lower-cost processing, and hence wider commercialization

of compact photonic integrated circuits (PICs) on main-stream silicon platforms such as silicon-on-insulator (SOI)waveguides and CMOS electronics. Potential applicationsinclude communications, healthcare and energy systems. Monolithic infrared photodetectors integrated with

silicon-based readout electronics could also be used inhigh-performance, multi-spectral and large-formatinfrared focal plane arrays for hyperspectral imaging,infrared spectroscopy, and target identification. Otherpossible uses of such detectors include free-spacecommunication, surveillance, tracking and missileinterception, chemical sensing, and biomedical imaging. Presently, combining laser diode and photodiode

technology with silicon often involves tricky waferbonding techniques. Direct growth of efficient III-Vlight-emitting semiconductor material on silicon hasbeen hampered by reduced crystal quality due to latticemismatches between the different crystal layers. Gallium arsenide (GaAs) growth directly on silicon is

hampered by lattice (~4%) and thermal expansioncoefficient (TEC) mismatches, which generate disloca-tions. Better quality direct growth of some III-V materials can be achieved on germanium (Ge) eitheras a substrate or as an intermediate layer on silicon(‘virtual substrate’). However, the presence of germaniumcan limit the range of accessible silicon electronic circuitry. Also, germanium absorbs the infrared lighttypically used in optical communications.

Microdisk lasersRecently, there have been a number of reports on thedirect growth of III-V material on silicon that containindium arsenide (InAs) quantum dot (QD) light-emittingor light-detecting regions, including lasers. Some ofthe laser devices are not yet at the level of electricalpumping, but do point to future possibilities. Researchers in Hong Kong and USA have been working

on producing optically pumped micro-disk lasers onexact (001) silicon [Yating Wan et al, Appl. Phys. Lett.,vol108, p221101, 2016]. The team, from Hong KongUniversity of Science and Technology (HKUST) andUniversity of California Santa Barbara (UCSB), Sandia

National Laboratories and Harvard University in theUSA, writes: “We believe that the ultra-low thresholdand small-footprint configurations provide significantinsights and inspire future possibilities to incorporateefficient and compact laser sources on a CMOS-compatible platform.” The resulting devices had comparable performance to

ones produced on GaAs substrates. The use of on-axissubstrates is more compatible with mainstream CMOSsilicon electronics compared with wafer bonding or epitaxy on offcut substrates with a germanium bufferor direct GaAs nucleation. Laser emission at around 1.3μm was achieved in

‘subwavelength’ 1μm-diameter devices. Theresearchers claim that subwavelength lasing had notbeen reported in devices produced on silicon before,although it had been on GaAs substrates. In earlierwork, almost the same team claimed the first 1.3μmroom-temperature continuous-wave (cw) InAs QDmicrodisk lasers epitaxially grown on industry-compatible Si (001) substrates without offcut [YatingWan et al, Optics Letters, vol41, p1664, 2016]. A GaAs template layer was produced first, consisting

of a 1μm layer of coalesced GaAs on V-grooved silicon(GoVS) grown by metal-organic chemical vapor deposition (MOCVD) — see Figure 1. By growing GaAs first as nanowires in the grooves, followed bycoalescence, the resulting template was of high qualityand free of anti-phase domains. The lattice mismatchbetween the GaAs and silicon groove wall was 4.1%.The technique also avoids the use of thick buffer layersand dislocation filters, which are optically absorbing.The transition between the structures was accommo-dated with a few nanometers of stacking faults (SFs).The SFs were trapped in this region by ‘diamond-shaped-pockets’ near the top of the grooves. The researchers see analogies with the aspect ratio

trapping (ART) used to integrate III–V high-mobilitychannel fin field-effect transistors on silicon: “The GoVS templates can thus be envisaged as anextension of III–V fin arrays for optoelectronic devicesrequiring large active regions, suggesting the possibilityof co-integration of silicon photonic and electronic circuits onto the same chip. More importantly, thehighly scalable growth technique presented here is

Mike Cooke reports on recent progress in producing III-V layers on siliconwithout using wafer bonding, showing potential for wider applications.

Direct growth of InAsquantum dots on silicon

Technology focus: III-Vs on silicon

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

81

extendable to the [indium phosphide] InP material system, and can be potentially used for the growth ofvarious heterostructures with ternary and quaternarymaterials, quantum wells, or QDs on silicon, as evidenced by a recent report of InP distributed feedback[DFB] laser arrays directly grown on silicon.” Since the surface of the GoVS template had low

roughness of ~2nm root-mean-square over 5μm x 5μmatomic force microscope (AFM) scans, there was no need

for chemical mechanical polishing (CMP). The researchersestimate the GaAs defect density at ~108/cm2, com-menting: “Both TEM and x-ray diffraction suggest athree- to four-fold reduction of defects, as compared toblanket heteroepitaxy of GaAs on offcut silicon wafers.” Further layers (Figure 2) were grown by molecular

beam epitaxy (MBE) and included a 1μm GaAs bufferand 600nm of Al0.7Ga0.3As. The active region of themicro-disk laser consisted of five layers of InAs QDs in

Figure 1. (a) Procedure for growing antiphase-domain-free GaAs thin films. (b) AFM image of coalesced GaAsthin-film grown on nanowire array. Vertical bar is 25nm. (c) Schematic of as-grown structure of microdisklasers. (d)–(f) Cross-sectional transmission electron micrographs (TEM) of V-groove. (g) Room-temperaturephotoluminescence spectra of as-grown structures on GoVS template and GaAs substrate.

Figure 2. (a) Cross-sectional TEM of micro-disk structure grown on GoVS substrate; (b) schematic epitaxialstructure of material in disk region; (c) high-resolution TEM of five-stack InAs QDs; (d) cross-sectional TEMof V-grooved structure, showing defect trapping and localization; (e) room-temperature photoluminescencespectrum of as-grown structure at progressively higher excitations.

Technology focus: III-Vs on silicon

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

82

InGaAs wells with GaAs barriers. Thedot-in-well (DWELL) layers had a dotdensity of 4.3x1010/cm2.In photoluminescence, the lowest

excited-state to ground-state emissionwas found to be in the 1.3μm opticaltelecom band. Higher excited statesappeared in a high-energy/shorter-wavelength shoulder with increasedpumping. “The wide inhomogeneouslinewidth (20meV) allows for easycoupling into the resonant modesfrom small-volume cavities with alarge free spectral range (FSR),” theteam adds. The micro-disks were fabricated

using lithography based on a colloidalsuspension of 1μm-diameter silicabeads, which were dispersed on theepitaxial material as a hard mask forinductively coupled plasma etch. Theunderlying Al0.7Ga0.3As was under-etched with hydrofluoric acid to createpedestals for the micro-disk structures.The pedestal was designed to confinethe optical modes to the disk and avoidevanescent coupling of electromagneticenergy into the underlying substrate.Continuous-wave pumping with light

from a 532nm diode laser at 10Kshowed evidence of lasing in the formof linewidth narrowing. The estimatedthresholds came in the range 35−67μW.The average was around 50μW, about1.5x that for micro-disk layers on GaAssubstrate (33μW). “The somewhatlarger lasing thresholds on silicon arepresumably related to crystalline defects(on the order of 1x108/cm2 by plan-viewtransmission electron microscopy)leading to reduced QD modal gain,”the researchers comment.

Figure 3. Threshold power as functionof disk diameter on GoVS template(a) and GaAs substrate (b). Dashedlines represent average threshold.

Figure 4. a, Schematic of layerstructure of InAs/GaAs QD laser onsilicon substrate. b, Cross-sectionalSEM image of fabricated laser withas-cleaved facets, showing goodfacet quality. c, Scanning electronmicroscope overview of completeIII–V laser on silicon.

Technology focus: III-Vs on silicon

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

83

Larger disk diameters required ahigher pump power (Figure 3).The researchers attribute this tothe smaller mode separation andthus mode competition. “Due tothe mode competition, micro-disklasers with multi-mode lasinggenerally show larger thresholds.In addition, the larger disks havelarger volumes of material topump; in particular, the centralregion of the micro-disk absorbspump power but has no spatialoverlap with the [whisperinggallery modes],” the team writes.

Laser diodesResearchers in UK claim the firstdemonstration of laser diodesgrown directly on silicon that perform up to 75ºC and 120ºCunder cw and pulsed operation,respectively [Siming Chen et al,Nature Photonics, vol10, p3072016]. The team from UniversityCollege London, University ofSheffield, and Cardiff Universityused a number of techniques toreduce the effect of defects onInAs QDs in GaAs barrier materialused for light emission. The InAs/GaAs materials were

grown by MBE on phosphorus-doped n-Si (100). The off-cutangle was 4º to the [011] planeto avoid anti-phase domain for-mation. A thin 6nm aluminiumarsenide (AlAs) nucleation layerwas deposited in a 350ºC migration-enhanced process to suppress thethree-dimensional growth mode.Next, a GaAs buffer was grown

in three steps: 30nm at 350ºC,170nm at 450ºC, and 800nm at 590ºC. This confinedmost defects to the first 200nm of growth, but a significant fraction escaped to give a 1x109/cm2

threading dislocation (TD) density. To improve material quality, a strained-layer superlattice

(SLS) was grown as a dislocation filter. The superlatticeconsisted of four repeats of five pairs of 10nm/10nmIn0.18Ga0.82As/GaAs separated by300nm GaAs spacers. The researchers comment: “The strain relaxation of

the SLSs applies an in-plane force to the TDs, whichenhances the lateral motion of TDs considerably, andhence increases the probability of annihilation.” In-situ thermal annealing in the MBE reactor was

carried out on each of the four SLS sections by raisingthe temperature to 660ºC for six minutes. This encouraged the TDs to move and annihilate. The SLSdislocation filter was found to reduce TD density to theorder of 105/cm2, “beyond the reliable measurementcapability of cross-sectional TEM images,” according tothe researchers. The ~20nm-diameter ~7nm-high QDs were grown in

five dot-in-well (DWELL) layers with a density of about3x1010/cm2 and good uniformity. The well layers were2nm and 6nm In0.15Ga0.85As before and after the dots.The DWELLs were separated by 50nm GaAs spacers. Photoluminescence measurements gave a peak around

Figure 5. a, Development of low-dimensional heterostructure lasers,showing record threshold current densities. Red (upper) star indicatesthreshold value achieved. Blue (lower) star is value normalized to singleQD layer. b, Schematic of interaction between QDs and threadingdislocations. c, Bright-field scanning TEMs showing potential interactionsbetween threading dislocations and QDs.

Technology focus: III-Vs on silicon

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

84

1300nm wavelength (0.95eV) and 29meV full-width athalf maximum (FWHM). The waveguide was 140nm. Cladding layers were

1.4μm n- and p-type Al0.4Ga0.6As. The p-contact was300nm GaAs.Broad-area lasers (Figure 4) were fabricated with

as-cleaved facets. The laser bars were mounted ongold-plated copper heat-sinks with low-melting-pointindium-silver solder. Electrical connections were madewith gold-wire bonding.The threshold current density (Jth, Figure 5) was

62.5A/cm2 (12.5A/cm2 per QD layer) under cw operation.The researchers comment: “To the best of our knowledge, this value of Jth represents the lowest cwroom-temperature Jth for any kind of laser on a siliconsubstrate to date and is comparable to the best-reportedvalues for conventional QD lasers on a GaAs substrate.” The output power from both facets reached 105mW

with 650A/cm2 injection without evidence of saturation. With a view to the high-temperature operation beyond

65ºC needed for many silicon-based electronic operations,the researchers tested the device under cw and pulsed

conditions. The cw lasing wasmaintained up to 75ºC andpulsed lasing continued up to120ºC. The 75ºC cw oper-ation was limited by the cur-rent source. The researchersclaim these as the first high-temperature performancefigures for QD lasers growndirectly on silicon. A 3100-hour aging test was

also performed at 26ºC and210mA cw drive current(1.7x threshold). The outputpower degraded 29.7% overthe test period, with thegreatest fall in performance(26.4%) occurring in the first500 hours. The thresholdtrend was similar. Theresearchers extrapolate amean time to failure (MTTF)of 100,158 hours, based onthe time needed for a dou-bling of threshold current.The team comments:

“These data represent theworst-case results, because(1) the laser was operatedepitaxial side up, (2) the laserwas not hard soldered to ahigh-thermal-conductivityheat-sink, and (3) no facetcoatings were used. Never-

theless, the estimated lifetime is much longer than thebest reported extrapolated MTTF of 4627 hours for a p-doped InAs/GaAs QD laser grown on a Ge-on-Si ‘virtual’ substrate.”

PhotodiodesResearchers based in the UK, the USA and Germanyhave claimed the first direct growth of mid-infraredindium arsenide in gallium arsenide QD infrared photo-detectors (QDIPs) on silicon substrates by MBE[Jiang Wu et al, ACS Photonics, vol3, p749, 2016]. The team came from University College London in theUK, University of Arkansas in the USA, Humboldt University Berlin in Germany, and the United StatesArmy Research Laboratory (ARL). The team grew III-V material on silicon substrate by

solid-source MBE. Anti-phase domains were avoidedby growth on (100) substrates offcut 4º in the [011]direction. After a 5nm AlAs nucleation layer, theresearchers grew a series of five GaAs buffer layersseparated by four InGaAs/GaAs strained-layer super-lattice threading dislocation filters (Figure 6). Without

Figure 6. (a) Bright-field multi-beam TEM showing threading dislocationreduction induced by dislocation filter layers. (b) Estimated threadingdislocations from TEM measurements at different positions in GaAs buffer asindicated in (a). (c) Representative high-resolution TEM image of singleInAs/GaAs QD. (d) Low-magnification bright-field scanning TEM images of 20 layers of QDs.

Technology focus: III-Vs on silicon

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

85

a dislocation filter, 1μm GaAs buffers exhibited a dislo-cation density of 109/cm2. This was reduced to 106/cm3

with the superlattices. The QDIP structure consisted of a 500nm n-GaAs

contact, a 80nm GaAs spacer, a sequence of 20 QDlayers separated by 50nm GaAs spacers, a 80nm GaAsspacer, and a 300nm top n-GaAs contact. The InAsdots were formed from a 2.1 monolayer of InAs thatcoalesced into discs of about 25nm diameter and 5nmheight. Photoluminescence (PL) analysis suggestedthat the dots sizes were quite inhomogeneous. Studying thermal quenching in the PL above 200K, the

researchers estimate an activation energy of 226±27.3eV,which is roughly the difference in energy between thedots’ ground state and the GaAs conduction band. Measurements of the decay of the PL at 10K gave a

long lifetime of ~1.3 nanoseconds, comparable to theperformance of InAs QDs on GaAs substrate. Theresearchers comment: “The long decay time signalsthat the dominant PL decay for the QD states is radia-tive recombination. Therefore, the long-lived PL in theQDs suggests the GaAs buffer technique used hereprovides a high-quality QDIP with low defect density.” Mesa QDIPs were fabricated with annealed

nickel/germanium-gold/nickel/gold top and bottomcontacts. The 1V dark current was “rather low”,according to the researchers: 8.9x10–4A/cm2 at 60Kand 2.8x10–3A/cm2 at 80K (Figure 7). The mesa was1mm in diameter. The researchers comment: “Despitethe presence of threading dislocations (~106/cm2)caused by the large lattice and TEC mismatch, the QDIPon silicon shows comparable dark current density tostate-of-the-art devices grown on a native substrate.” The team also points out that its device does not use

a current-blocking layer to reduce dark current. Thedark current activation energy was 190±1.9meV,which is slightly lower than the photoluminescencethermal quenching value. The hole activation energy istherefore estimated to be ~36meV. The main peak of the photoresponse was located at

6.5μm wavelength (190.7meV energy), agreeing withthe dark current activation energy. The researchers saythat this supports a mechanism of bound-to-continuumtransitions. The peak was broad with a full-width athalf maximum of 2.0μm. ■

Author Mike Cooke is a freelance technology journalist whohas worked in the semiconductor and advanced technologysectors since 1997.

Figure 7. (a) Dark current-voltage characteristics at different temperatures for InAs/GaAs QDIP. (b) Dark current activation energy extracted fromdark current measured under 0.1V bias. Fitting erroris 1.9meV. (c) Photocurrent spectra measured withdifferent bias voltages at 80K.

(a)

(b)

(c)

Sophia University in Japan has developed a technique for growing laser diodes on an indium phosphide (InP) layer bonded to silicon (Si)

[Keiichi Matsumoto et al, Appl. Phys. Express, vol9,p062701, 2016]. The researchers claim that this is thefirst time that an epitaxially grown gallium indiumarsenide phosphide/indium phosphide (GaInAsP/InP)double-heterostructure laser diode (LD) has beendemonstrated on a wafer-bonded InP/Si substrate.Usually, wafer bonding is performed after the doubleheterostructure is created.Although monolithic integration – direct growth of

III-V materials on silicon – would be preferred, thetechnique suffers crystal degradation due to the largelattice mismatch between InP and Si (~8%). On theother hand, wafer bonding suffers from alignment difficulties, making precise high-density integration difficult at low cost.

The researchers comment: “Although the cost of the silicon circuits may be low, the alignment of a prefabricated laser diode chip to a planar optical circuitis time-consuming and expensive, which drives up the cost of the final assembly and packaged device. To solve these problems, we have proposed the monolithic integration of InP-based optical devices ona wafer-bonded InP/Si substrate by low-pressuremetal-organic vapor phase epitaxy (MOVPE).”The InP layer was prepared by growing a

GaInAs/n-InP/GaInAs structure on (100) n-InP byMOVPE. The target thickness and carrier concentrationof the sandwiched n-InP layer were 1µm and3x1018/cm3, respectively. The GaInAs layers functionedas etch-stop layers for the subsequent processing. A layer of wax was applied to the structure as a

mechanical support for the wafer bonding process (Figure 1). The n-InP growth substrate was removed

Technology focus: Optoelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

86

Epitaxial growth of laserdiodes on wafer-bondedInP/Si substratesTechnique should allow alignment precision of monolithic integration withoutproblems of lattice mismatching.

Figure 1. Schematic of InP/Si fabrication process.

Technology focus: Optoelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

87

by wet etching. The n-InP and silicon surfaces werecleaned and made hydrophillic before bonding. (It seems, from the paper, that by this stage theGaInAs surface away from the wax support has alsobeen removed during this cleaning process.) The InPand Si surfaces are brought together in deionizedwater and then dried in a nitrogen gas flow.The wax support was removed in a warm organic

solvent. The n-InP/Si structure is then annealed undertop pressure and the final GaInAs layer removed bywet etching.The double heterostructure for the laser diode was then

grown by more MOVPE (Figure 2). Photoluminescencefrom the structure compared well with that from astructure grown on InP substrate. The researchers saythis indicates negligible degradation ofcrystal quality from the wafer bondingprocess.Laser diodes were fabricated by thinning

the wafer to less than 100µm and applyingelectrodes: gold–zinc to the p-GaInAs top-side, and gold–aluminium to the n-Si under-side. Laser bars were manually cleaved:200–300µm long and 50–100µm wide. A 250µmx90µm device had a threshold

current density of 7.5kA/cm2 at room tem-perature under 10kHz, 100ns pulsed oper-ation. This compares with 3.7kA/cm2 for adevice grown on an InP substrate. Theresearchers point to self-heating, reducedcarrier injection due to instability of the heterointerface, and crystalline dark-linedefects as leading possible causes of thedegraded performance of the device on sili-con. Dark-line defects arise from differencesin the thermal expansion of InP and silicon.The researchers suggest that high-reflection

coatings could reduce the threshold current.A kink in the laser output power around

9kA/cm2 is tentatively attributed to lateral-mode lasing due to the slab structure of thedevice. Spectral analysis showed multiplemodes being output as the currentincreased (Figure 3). “This problem will beovercome by the fabrication of a lateral single-mode waveguide,” the team writes.The researchers conclude: “These results

are very promising for the high-densityintegration of InP-based LDs as light sourcesfor optical interconnection and for over-coming the problems of the conventionalhybrid integration approach.” ■http://doi.org/10.7567/APEX.9.062701Author: Mike Cooke

Figure 2. Schematic of grown layer structure.

Figure 3. Spectrum at various currents.

Paul-Drude-Institut für Festkörperelektronik inGermany has been studying self-assembledgrowth of gallium nitride (GaN) nanowires

(NWs) on titanium (Ti) foil [Gabriele Calabrese et al,Appl. Phys. Lett., vol108, p202101, 2016].Nanowire growth suffers from less problems due

lattice mismatching, and the resulting material is generally more crystalline than for the usual epitaxiallayers. Growth of GaN and related III-nitride materialson flexible substrates could open the way to low-costroll-to-roll production methods for GaN optoelectronics.Metal foils also are attractive substrates in terms ofenhanced thermal and electrical conductivity, alongwith optical reflectivity, compared with conventionalsubstrates such as sapphire.Ohio State University reported earlier this year on

aluminium gallium nitride (AlGaN) ultraviolet light-emitting diodes on Ti and tantalum foils [reported atwww.semiconductor-today.com/news_items/2016/apr/osu_290416.shtml].The Paul-Drude-Institut GaN NWs were grown using

solid source plasma-assisted molecular beam epitaxy(PA-MBE) on 25mmx25mm annealed polycrystalline Ti foil of 127µm thickness and ~50nm grain sizes. The foil was prepared by outgasing at 750°C and

nitridation at 1000°C, giving a titanium nitride surface.The nitrogen source was plasma. The GaN NWs weregrown at 730°C. The total growth took four hours.The resulting NWs were vertically aligned (Figure 1).

The regions between the wires were very rough: “The large surface roughness observed in between theNWs [see Fig. 1(c)] is tentatively attributed to inter-facial reactions between Ga and Ti at non-nitridatedregions of the substrate surface,” the researcherswrite. The density of misoriented (i.e. non-vertical)wires was between one and two orders of magnitudelower than the vertically aligned ones.Statistical analysis of electron micrographs suggested

that the NW lengths and diameters were 1.14±0.37µmand 70±40nm, respectively. The NW density was

8.2x107/cm2, about anorder of magnitude lowerthan that for GaN NWs on(111) silicon. Due to thelower density, the NWsdo not suffer from thecoalescence problemsseen in growth on siliconor aluminium nitride. Theresearchers comment:

Technology focus: Nitride optoelectronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

88

Growth of GaN andrelated III-nitridematerials on flexiblesubstrates could openthe way to low-costroll-to-roll productionmethods for GaNoptoelectronics

Growing crystalline gallium nitride nanowires on flexible titanium foilResulting material has comparable quality to standard GaN nanowires grownon rigid silicon.

Figure 1. (a) Photograph of Ti foil after NW growth demonstrating high degree of flexibility. Also, bird’s-eyeview scanning electron micrographs of GaN NW ensemble grown on Ti foil with (b) low and (c) highmagnification. Red square in (a) is not to scale.

Technology focus: Nitride optoelectronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

89

“Since the coalescence of GaN NWs is asource of inhomogeneous strain andresults in the formation of non-radiativedefects at the coalescence joints, the possibility to fabricate NW ensembles freeof coalescence on Ti foils represents adecisive advantage over other substrates.”Out of 20 NWs studied closely, only one

was found to have a basal stacking fault.Potassium hydroxide wet etching analysisshowed the wires to be N-polar, as is usualfor such structures grown by PA-MBE.The dominant peak for continuous-wave

photoluminescence (PL) at 9K was at3.471eV, corresponding to recombinationof excitons bound to neutral oxygendonor atoms (D0 XA, Figure 2). Thisenergy is the same as for bulk GaN, indicating the absence of homogeneousstrain in the NWs. The full-width at halfmaximum (FWHM) value was 2.2meV.This is larger than the 1.5nm found forNWs grown on silicon. The researcherscomment that FWHM values are deter-mined by inhomogeneous strain and theenergy distribution of donors as a result ofvarying distances from the NW sidewalls.There was also a signal from the

recombination of excitons bound to basal stacking faults between 3.40eV and 3.44eV. This was reduced by a factorof 50 compared with the 3.471eV peak.For NWs on silicon, the reduction factor isabout 300, indicating a higher density ofbasal stacking faults in NWs on Ti foil. The researchers attribute the basal stacking faults to the significantly lowersubstrate temperature needed to promoteNW nucleation on the titanium nitride surface.A peak at 3.45eV is seen in the NWs on

silicon, but is less pronounced on Ti foil.Such a peak has been associated withinversion domain boundaries (IDBs). “The absence of this transition suggests areduced density of IDBs for growth on theTi foil,” the team writes.The researchers also performed room-

temperature photoluminescence meas-urements while bending the foil carryingthe NWs. Little difference was seen in thespectrum before and after bending. Themain peak at 3.41eV with 64meV FWHM was attributed to free A excitons. First- and second-orderlongitudinal optical (LO) phonon replicas were also seen.The researchers comment: “These observations

demonstrate that the GaN NWs are well anchored tothe substrate and do not detach upon bending.” ■http://dx.doi.org/10.1063/1.4950707Author: Mike Cooke

Figure 2. (a) Low-temperature (9K) PL spectrum of GaN NW ensemblegrown on Ti foil. Corresponding spectrum of GaN NW ensemble onSi(111) is included for comparison. Spectra normalized and shiftedvertically for clarity. (b) Room-temperature PL spectra of GaN NWensemble grown on Ti foil for infinite and convex 4mm-radiuscurvature of substrate. Inset photograph of bent Ti foil taken duringdata acquisition.

Technology focus: Nitride LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

90

Researchers in Taiwanhave implemented aquaternary superlattice

(QSL) indium aluminium gallium nitride (InAlGaN)electron-blocking layer (EBL) toimprove light output power andexternal quantum efficiency(EQE) of green light-emittingdiodes (LEDs) [An-Jye Tzou et al, Optics Express, Vol. 24,p11387, 2016].Efficient high-brightness

LEDs are difficult to achievein the green wavelengthrange. Filling the ‘green gap’is expected to improve colorrendering for energy-efficientwhite light applications.EBLs are used to confine

electrons to the active multiple quantum well (MQW)light-emitting region ratherthan allowing them to enterinto the p-GaN contact where electron-hole recombi-nation is generally non-radiative. However, EBLs canalso raise barriers for hole injection into the MQW,reducing efficiency. Conventional LEDs use bulk ternary AlGaN as EBL.

Adding a fourth element (indium) gives more flexibilityin terms of strain compensation. Strain in III-nitrideheterostructures gives rise to electric fields due to thecharge polarization of the partially ionic chemicalbonds. Electric fields in the MQW pull electrons andholes apart, reducing their recombination into photons— a phenomenon that goes under the title of quantum-confined Stark effect (QCSE).The team from National Chiao Tung University, Epistar

and Academia Sinica, explains: “The QSL-EBL structurecan reduce the polarization-related electrostatic fieldsin the MQWs, leading to a smoother band diagram and a more uniform carrier distribution among thequantum wells under forward bias.”Low-pressure metal-organic chemical vapor deposition

(MOCVD) in a vertical reactor was used to create theLED epitaxial material on sapphire (Figure 1). A layer

of AlN was sputtered on the sapphire for nucleating theMOCVD growth. The MQWs consisted of In0.27Ga0.73N.Two different EBL structures were produced:

a conventional ternary 20nm bulk p-Al0.15Ga0.85N layer,and a QSL consisting of five 2nm p-GaN wells and six 2nm p-In0.03Al0.20Ga0.77N barriers. The researchersalso simulated a bulk quaternary EBL consisting of a20nm p-In0.03Al0.20Ga0.77N layer.

Quaternary superlattice forelectron-blocking layersResearchers increase light output power and reduce efficiency droop.

Figure 1. Schematics ofLED structure withternary and quaternary(QEBL) bulk-EBLs, andQSL-EBL. (b) Growthsequence for InAlGaNQSL-EBL.

(a)

(b)

Technology focus: Nitride LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

91

One canspeculate asto the rea-son for notattemptingto growsuch a bulkquaternaryInAlGaNlayer (e.g.strain/stabilityproblems in thick layers?). In any case,the simula-tion sug-gests that it wouldperformworse thanthe QSLstructuredue to thegenerationof strongelectrostaticfields in thelast well of the MQW, leading to reduced radiativerecombination from a smaller overlap of the electronand hole wavefunctions. A similar problem is seen inthe structure with a bulk ternary AlGaN EBL. The simulations also suggest that the QSL EBL has a

higher barrier to electron overflow combined with alower barrier to hole injection into the MQW than eitherbulk EBL. The QSL electron barrier is estimated at306meV, compared with 231meV and 260meV for the ternary and quaternary bulk EBLs, respectively.The hole barriers were 210meV for the QSL, and244meV/215meV for the respective ternary/quaternarybulk EBLs.The researchers comment: “This result is attributed

to polarization-matched QSL-EBL regarding the raisedelectrostatic field at the interface between the last barrier and EBL. This improvement suggests that thelower negative electrostatic field in InAlGaN of QSL-EBLthan in bulk-QEBL and bulk-EBL. Therefore, the QSL-EBLnot only suppressed the electron overflow but alsoimproved the hole injection efficiency.”The quaternary material was grown by a digital method

where 4 seconds of InN growth was alternated with 4 seconds of AlGaN. The 2nm layer took 10 cycles/loopsof InN/AlGaN deposition. There was a six secondhydrogen purge between AlGaN and InN depositions to enhance migration of the aluminium adatoms.

The quaternary growth temperature was 750°C. The GaN barriers were grown at 850°C.Mesa LEDs were fabricated with a 300µmx300µm

indium tin oxide (ITO) transparent current-spreadinglayer on the p-GaN contact layer, and nickel/gold aselectrodes for both contacts. The emission wavelengthwas around 550nm, at the long yellow end of thegreen range of 495–570nm.The light output power and external quantum effi-

ciency (EQE) were improved for the LED QSL EBL compared with the ternary AlGaN bulk EBL (Figure 2).The EQE at 100A/cm2 injection was 53% better for the QSL EBL LED than for the AlGaN EBL. The peakefficiency for the QSL EBL device was at 17A/cm2 andwas higher than the AlGaN EBL peak, which occurredat a couple of A/cm2. The droop at 100A/cm2 from thepeak was 30% for the QSL LED and 52% for the bulkAlGaN EBL. Often smaller droop is achieved at theexpense of peak efficiency, unlike in this case.The researchers comment that the droop was

consistent with their simulations, attributing theimprovement to enhanced hole injection and electronconfinement, adding “polarization matched QSL-EBL is a good solution for high-efficiency InGaN-basedgreen LEDs”. ■http://dx.doi.org/10.1364/OE.24.011387Author: Mike Cooke

Figure 2. Measured light output power and EQE curves as function of injection current density forLEDs. Experimental EQE and power data plotted as symbols are well fitted to simulation lines.

Researchers based in Taiwan and USA haveincreased the modulation bandwidth of indiumgallium nitride (InGaN) light-emitting diodes

(LEDs) [Jin-Wei Shi, IEEE Electron Device Letters, pub-lished online 26 May 2016]. The enhanced bandwidthwas achieved by reducing the barrier thickness in themultiple quantum well (MQW) active light-emittingregion.The team from National Central University (NCU) in

Taiwan, University of California Santa Barbara (UCSB)in the USA, and National Cheng Kung University in Taiwan claims record 3dB electrical-to-optical (E–O)bandwidth values of nearly 1GHz, compared with otherhigh-speed LEDs that only manage less than 0.5GHz. One aim for the research was to boost data rates for

visible-light communications and plastic optical fiber(POF) communications without significantly increasinglight-source cost or energy consumption. Visible-lightcommunication application could lead to ultra-broad-band indoor wireless ‘attocell’ networks with largenumbers of transmitter LEDs on the ceilings of rooms. The researchers compared devices with standard

17nm and thin 5nm barriers (Figure 1). The LEDs weredesigned to be suitable for POF applications. Thedevices emitted around the cyan 480nm wavelengthnear the minimum loss window (less than 4dB/50m) ofthe poly(methyl methacrylate) (PMMA) thermoplasticthat is commonly used for POF. The local minimum isactually around the 520nm green wavelength. A slightly deeper minimum is at 560nm (yellow). There is also a more lossy window around 650nm (red).The researchers comment: “There are two major

differences in the design of the active MQWs of thesestructures. One is the great reduction in thickness ofthe GaN barrier layer in the novel device structurefrom 17nm to 5nm. By thinning down the barrier layer,the total thickness of the active layer can also bereduced from 97nm to 37nm, which leads to anincrease in the injected carrier density, radiativerecombination rate, and modulation speed of thedevice. Furthermore, the thinness of the barrier layershould also improve the hole distribution among different wells and enhance the total output power.”With standard barriers, holes often find it difficult to

Technology focus: Nitride LEDs

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

92

Researchers claim 1GHz 3dB bandwidth record for visible-light LEDs.

Figure 1. Conceptual cross-section of epitaxial layer standard (A) and thin-barrier (B) structures.

Thin-barrier quantum wellsincrease bandwidth of cyanlight-emitting diode

distribute themselves effectively across MQW structuresand tend to congregate in the well next to the p-typeinjection layers. Simulations suggested that the newthin barrier design could enhance hole transport acrossthe MQW.Another difference between the devices was that the

standard LED had a partial n-type doping profile for theMQW in an effort to enhance modulation speeds andpower output. Since the MQW region of the thin barrierdevice was much reduced, giving a greater appliedelectric field, the researchers believed that the newdesign did not need doping to achieve these effects. The two device types were grown on patterned sapphire.

Devices were fabricated on mesas etched down to thesapphire to avoid parasitic capacitance. The active areawas around 50µm in diameter, while the device dimen-sions were 0.75mmx0.86mm (including contact padson the sapphire substrate). By putting the metal padson insulating sapphire, resistor–capacitor (RC) delaysare minimized, enhancing bandwidth.The performance of the two devices was similar, with

the thin-barrier LED having a peak output power atroom temperature of 1.7mW, compared with 1.5mWfor the standard setup. The fall-off of peak power with raised temperature of 110°C was also similar:20% for the standard LEDand 19% with thin barriers.The researchers comment:“The comparable outputpower of these two struc-tures under 110°C oper-ation suggests that thethin barrier design doesnot significantly increasethe probability of carriersescaping under high junc-tion temperatures.”

The 3dB E–O bandwidth for the new thin-barrierstructure reached 0.96GHz at room temperature and90mA bias (Figure 2). The standard device achieved0.89GHz bandwidth. Both these values are claimed asbeing faster in terms of modulation compared with thebest reported visible LEDs. Also, green InGaN laserdiodes (LDs) have only achieved 0.4GHz bandwidth.The researchers comment: “The bandwidth perform-

ance of device D is over two times faster than thatreported for the high-speed red [resonant-cavity]RCLEDs (0.35GHz) or GaN-based green (~500nm)LEDs and LDs (0.5GHz).”While the LED performance is not as good as the

recently reported 2.6GHz 3dB E–O bandwidth for ablue 450nm laser diode, the researchers point out that“the required driving current and fabrication cost ofGaN laser diodes are both much higher than would berequired for LED-based solutions.” Also, PMMA POFbecomes more lossy at 450nm.At 110°C, the bandwidths at 60mA were 0.64GHz for

the standard MQW LEDs and 0.71GHz for thin barriers.The relatively small degradations in power and band-width are seen as not serious.The researchers write: “This is quite different from

the behavior of the high-speed red RCLED, whichshows bandwidth enhancement (110MHz to 130MHz)and serious degradation in the output power (~40%)when the temperature increases from 10°C to 70°C.The large bandgap offset in the active layer and theactivation of the p-type dopant (Mg) in our III-nitrideLED under high ambient temperatures act to suppresselectron leakage and produce superior high-temperatureperformance over that of the GaAs-based high-speedred LED.” ■http://ieeexplore.ieee.org/xpl/articleDetails.jsp?arnumber=7479469Author: Mike Cooke

Technology focus: Nitride LEDs

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

93

The large bandgapoffset in the activelayer and theactivation of the p-type dopant (Mg)in our III-nitride LEDunder high ambienttemperatures act to suppress electron leakage

Figure 2. Bias-dependent E–O frequency responses for (a) standard device A and (b) thin-barrier device D atroom temperatures.

Xidian University in China has used pulsed metal-organic chemical vapor deposition (MOCVD)to increase indium gallium nitride (InGaN)

room-temperature channel mobility to 1681cm2/V-s,which is claimed to be a record [Yachao Zhang et al,Appl. Phys. Express, vol9, p061003, 2016]. The teamcomments: “The results in this paper demonstrate thesuperiority of the InGaN channel heterostructure overthe conventional GaN channel heterostructure, espe-cially at elevated temperature, indicating its hugepotential in high-power and high-frequency applications.” Xidian has used pulsed MOCVD for some time to achieve

improved III-nitride quality for aluminium nitride (AlN)and InGaN [e.g. www.semiconductor-today.com/news_items/2015/aug/xidian_130815.shtml,http://dx.doi.org/10.1063/1.4937127]. In pulsedMOCVD, the precursor sources of the elements aresupplied in particular sequences. InGaN growth proceedsby depositing a sequence of short-period GaN and InNlayers as a superlattice. “This change in the growthmode increases the surface mobility of adatoms andenables them to find energetically favorable locations,”the researchers explain. The researchers see the applications for InGaN

high-mobility channels as being higher-speed andhigher-frequency microwave power devices. The narrower bandgap of InGaN should reduce the effective mass, increasing carrier speeds for a givenelectric field. The (slight?) penalty would be a somewhatreduced critical field, reducing breakdown voltages. In fact, mobilities higher than GaN channels have notbeen achieved as yet. Until the latest Xidian work, the best reported figure

the team points to was 1295cm2/V-s. The problemsseem to revolve around alloy disorder and interfaceroughness. Both these factors increase carrier scattering,which in turn reduce mobility and increase sheetresistance. Another advantage of InGaN is increased confinement

of the two-dimensional electron gas (2DEG) channel witha top and bottom barrier structure. The team comments:“Previous reports have shown that devices with an

InGaN channel exhibit excellent performance by somemeasures, such as suppression of current collapse andthe virtual gate effect.” The heterostructure (Figure 1) was grown on (0001)

sapphire using a home-made vertical low-pressureMOCVD system. The metal-organic sources weretrimethyl-aluminium, -gallium, and -indium. The nitrogen source was ammonia. The GaN buffer andAlGaN barrier were grown at 940°C. The pulsed part ofthe process, creating the InGaN layer, was carried outat 740°C. The 2nm AlN interlayer was formed by a two-step

process. This interlayer was designed to improve inter-face morphology between the InGaN channel and barrierand to protect the high-quality InGaN channel fromdamage during the subsequent high-temperature growth.

Technology focus: Nitride electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

94

Speed up for indium gallium nitride two-dimensional electron gasResearchers claim record mobility, with the potential for high-power and high-frequency applications.

Figure 1. Schematic cross-section of AlGaN/InGaNheterostructure.

Technology focus: Nitride electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

95

X-ray analysis suggestedthat the AlGaN alloy barrier had a 35% AlNmole fraction, accordingto Vegard’s law. The x-raysignal for the InGaN layerwas much stronger thanfor previous work, indicat-ing the high quality of thepulsed MOCVD process.The InN mole fraction was estimated at 5%.Photoluminescence experiments also gave5% for the InN content of the InGaN layer.Secondary-ion mass

spectrometry (SIMS) onsamples with and withoutan interlayer showed thatthe 2nm AlN effectivelyprevented indium atomlosses during growth ofthe high-temperatureAlGaN barrier.Atomic force microscopy (AFM) on a sample without

the AlGaN barrier layer gave a root-mean-square(rms) roughness of 0.19nm over a 2µmx2µm field.With the AlGaN barrier, the roughness was 0.15nm.The researchers comment: “The result is even betterthan that obtained for conventional AlGaN/GaN heterostructures, proving that there is negligible structural degradation in the AlGaN barrier when it isgrown on the InGaN channel.”Temperature-dependent Hall measurements show the

InGaN channel to have lower mobility than pure GaNup to 360K (Figure 2, room temperature ~300K).Beyond that, the InGaN channel has the edge. “These results demonstrate the superiority of theInGaN-channel heterostructure over the conventionalGaN-channel heterostructure for fabricating high-powerand high-frequency devices, which often operate atelevated temperature in practical applications,” theresearchers write.

At the same time, the carrier density in the InGaNtwo-dimensional electron gas (2DEG) channel is higherthan for GaN — 1.3x1013/cm2 versus 0.9x1013/cm2,respectively — giving a lower overall sheet resistance.The researchers attribute the higher carrier density toimproved 2DEG confinement. The team believes thatthe 1.3x1013/cm2 density can be improved by increas-ing the AlGaN barrier thickness or the AlN mole frac-tion.Comparing with previous work, the researchers com-

ment: “A record highest room-temperature electronmobility of 1681cm2/V-s is obtained in our experiment,which is far superior to the other reported results.”The team attributes the performance to improved

InGaN crystal quality from pulsed MOCVD growth andthe use of the AlN interlayer to suppress alloy disorderand interface roughness. ■http://dx.doi.org/10.7567/APEX.9.061003Author: Mike Cooke

Figure 2. Temperature dependence of 2DEG mobility (µ) and sheet resistance (Rs)for InGaN-channel and GaN-channel heterostructures. Inset: carrier density (ns) as a function of temperature.

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

Researchers at Hong Kong University of Science and Tech-nology (HKUST) are proposing

using III-nitride and silicon carbide (SiC)hybrid technologies for high-voltagepower devices [Jin Wei et al, IEEETransactions on Electron Devices,vol63, p2469, 2016].The researchers are looking to

improve on the performance of silicon-based devices by using materials thatcan sustain higher electric fields beforebreakdown — a quality associated withwider bandgaps as seen in SiC and aluminium gallium nitride (AlGaN).SiC metal-oxide-semiconductor

field-effect transistors (MOSFETs) cansustain high OFF-state voltages but sufferfrom low channel mobility. By contrast,AlGaN/GaN high-electron-mobilitytransistors (HEMTs) have high mobility(as the device name suggests), butsuffer from problems with current col-lapse on switching due to delays fromcharge trapping. Current collapse wouldseem to restrict the potential use of lateral AlGaN/GaN HEMTs to appli-cations lower than 1000V. The problem

Technology focus: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

96

Hybrid III-nitride and silicon carbide high-voltagepower transistorsDevice simulations suggestproposal could combinehigh mobility with higherOFF-state voltages.

Figure 1. Schematics of (a) proposedGaN/SiC HyFET and (b) conventionalSiC MOSFET. HyFET consists ofAlGaN/GaN channel and SiC voltage-blocking portions, electricallyconnected by CN connection contact.Also, p-wells and p-shield in HyFETare connected to source terminal.JFET1 is the region between p-wells.JFET2 is the region between p-shieldand p-wells.

Technology focus: Wide-bandgap electronics

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

97

could perhaps be solved by adopting a vertical structure, but this would needgrowth on very expensive GaN substrates.Some first steps have been taken, but aneffective implantation technique has yet tobe developed for creating p-type wells. The HKUST research proposes combining

III-nitride epitaxial layers on SiC to createhybrid FETs (HyFETs). The team comments:“Since epitaxial growth of GaN layers on SiC substrate is a rather mature technology,a superior power device is expected if themerits of SiC devices and GaN HEMTs arecombined on a single platform.”HKUST simulated a device with AlGaN/GaN

high-mobility channel and vertical SiC driftregion for high OFF-state voltages. In addi-tion to three HKUST researchers (Jin Wei,Qimeng Jiang, Kevin J. Chen), HuapingJiang of both Dynex Semiconductor Ltd inthe UK and Zhuzhou CRRC Times Electric Co Ltd in China contributed to the work.Dynex develops and markets high-power

bipolar discrete semiconductors, along withinsulated-gate bipolar transistors (IGBTs),electronic assemblies and components.Zhuzhou CRRC Times Electric is concernedwith propulsion and control systems forhigh-speed trains, electric multiple unit(EMUs), mass transit, and for electric anddiesel locomotives.The proposed structure (Figure 1) uses an

AlGaN/GaN channel portion for gate controlon a SiC substructure that is designed tomaintain a good OFF-state.The p-type regions of the SiC structure

could be achieved using aluminium implan-tation and activation at 1650°C. The JFET2region between the p-wells and p-shieldwould be achieved by epitaxial regrowth.The p-shield depth is 0.2µm. The AlGaN/GaN region could be grown

either by metal-organic chemical vapor dep-osition (MOCVD) or molecular beam epitaxy (MBE).Connections to the n+ (CN) and p-type regions in theSiC substructure could be achieved by dry etch of viaholes through the AlGaN/GaN layer.One aspect that does not seem to be fully developed

as yet is a high-temperature anneal for the GaN/SiCcontacts. The researchers suggest that this might needa multi-step process.Although there are a number of techniques in the

literature for giving enhancement-mode (normally-off)operation in the AlGaN/GaN structure, the researchersdecided to model a relatively new double-channel MOSgate structure that has been demonstrated by HKUST

[Jin Wei et al, IEEE Electron Device Letters, vol36,p1287, 2015]. The second channel is created by a1.5nm AlN insertion layer. The gate recess is terminatedby the upper channel at the AlGaN/GaN interface. This maintains a high mobility in the lower AlN/GaNchannel by avoiding etch degradation.One variable in the model, the n-type doping in the

JFET2 region (NJFET2), was subject to a delicate compromise between low ON-state resistance and theability to deplete the region for the OFF-state. The CN voltage used to achieve the OFF-state is higherwith high NJFET2, and this can reduce the reliability ofthe overlying AlGaN/GaN channel. The modeling

Figure 2. Terminal capacitances of (a) GaN/SiC HyFET and (b) SiC MOSFET.

Technology focus: Wide-bandgap electronics

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

98

suggested that NJFET2 of 5x1016/cm3 gave a balancebetween low ON-resistance and a relatively low OFFCN voltage of ~50V.The hybrid structure gives a sharper turn-on with

gate voltage compared with the SiC MOSFET: at 5VHyFET gate potential the ON resistance was 26mΩ-cm2,while the MOSFET at 20V gate had 4.6mΩ-cm2. The main improvement arises due to reduced channelresistance — 52% of the overall value in the MOSFET,compared with 7% for the HyFET, excluding contactand substrate resistances.The HyFET has a lower OFF-state breakdown voltage

of 1581V, compared with 1716V for the MOSFET. Thisis blamed on “more severe electric field crowding atthe corners of the p-wells”.On the other hand, the gate–drain capacitance (CGD)

of the HyFET is “dramatically reduced” — by almostthree orders of magnitude compared with the MOSFET(Figure 2). “A low CGD is of great importance in reducingthe switching loss,” the researchers write.

The HyFET setup also reduced gate charge in a simulated test circuit with supply voltage 600V andload current 100A. The circuit included a SiC Schottkybarrier diode that provided a freewheeling path. The transistors were 1cm2 in area. For the HyFET, the gate charge (QG) was found to be 239nC/cm2

and the gate–drain charge (QGD) was 47nC/cm2. The corresponding figures for the SiC MOSFET were885nC/cm2 and 223nC/cm2. The very low gate–draincharge is related to the improved CGD for the HyFET. The researchers comment: “Low values of QG and QGD

are highly desired for power FETs, since a lower QG isbeneficial for reducing the driving loss, while a lowerQGD results in a smaller switching loss.” ■http://ieeexplore.ieee.org/xpl/login.jsp?tp=&arnumber=7466844www.dynexsemi.com www.tec.csrzic.com/en/1152.html

Author: Mike Cooke

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

When the first SiC diode was launched in2001, the industry questioned the future ofthe SiC power business: Will it grow? Is this

a real business? But 15 years later, in 2016, peopledon’t ask these questions anymore, since the SiCpower business is concrete and real, with a promisingoutlook, notes the ‘Power SiC 2016: Materials,Devices, Modules & Applications’ Market & Technologyreport released in June by Yole Développement. The SiC power market (including both diodes and transistors) is forecasted to rise at a compound annualgrowth rate (CAGR) of 19% from more than $200m in2015 to over $550m in 2021. SiC diodes still dominate the overall SiC market, with

85% market share, and this leading position will notchange for several years, reckons Yole. In parallel, SiCtransistors are increasingly present and should reach

27% market share in 2021. SiC solutions are diffusingstep by step into multiple application segments: “We are at the opening stage of the SiC industry forpower electronics applications,” believes Yole. Unsurprisingly, the power factor correction (PFC)

power supply market is still the leading application,with almost 50% market share (by revenue), consum-ing a large volume of diodes in 2015. However, thismarket share is expected to decline progressively after2016. Photovoltaics (PV) inverters are close behind, as SiC

diodes and MOSFETs are now used by various PVinverter manufacturers in their products. It has beenconfirmed that SiC implementation provides severalperformance benefits, including increased efficiency,reduced size and weight. In addition, it allows low costat the system level in a certain power range. Yole says

Silicon carbide device market revenue (in millions of US dollars).

Market focus: SiC power devices

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

99

SiC diodes hold 85% market share, but SiC transistors to reach 27%share in 2021, says Yole Développement.

SiC power market growing at CAGR of 19% to 2021 as itspreads to more applications

Market focus: SiC power devices

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

100

that it has received increasingly positive feedback fromthe market, and it expects other manufacturers to follow in the footsteps of the early adopters, leading toa rapid expansion of the PV segment in the comingyears. The benefits enabled by SiC, the continuous perform-

ance improvement, and the cost erosion of SiC powerdevices will fuel the implementation of SiC in differentapplications, reckons Yole. The report hence conveysYole’s understanding of SiC implementation in differentsegments, and offers a summary of SiC power devicemarket data (split by application), including PFC/powersupply, PV, EV/HEV, uninterruptible power supplies(UPS), motor drives, wind, and rail. SiC device makers must support system makersto facilitate adoption Designers are well aware of SiC’s advantages, but theyalso know the challenges they face: a new productincorporating SiC devices means R&D investment,higher device cost, differences between silicon devicesthat they’re already familiar with, and a lack of fielddata. All of these factors present barriers to using SiC,notes Yole. To accelerate SiC’s adoption, it is necessary to con-

tinue to educate end-users about the benefits at thesystem level, and more importantly to support them inusing these new devices. Yole sees that device makershave gradually learned from their experiences and are moving in a good direction. For example, facingquestioning about reliability, SiC device suppliers areoffering more and more reliability data, supported by

internal and third-party testing. Understanding thatthe reliability standards for silicon do not completelysuit SiC devices, a consortium to establish new stan-dards has been formed by different SiC power devicemakers. Also, the announcement of a new module is

frequently associated with the release of a drivingsolution, assisting the designer in surmounting the difficulties linked to the driver. Similarly, Wolfspeedproposes a new SiC-dedicated online design simulationtool to simplify the designer’s task. Moreover, additional integrated solutions such as power stack(‘power assembly’ or ‘power block’) are appearing onthe market. For example, GE has proposed a powerblock designed to be a kind of ‘plug-and-play’ solution,with the objective of avoiding integration difficultiesthat designers may encounter. SiC power creating many opportunities for different types of suppliers Attracted by the market’s potential, more and moreplayers are entering at different levels of the valuechain: ● at the module packaging level, Starpower unveiledits SiC module in May; ● at the device level, after investing in Monolith Semi-conductors in 2015, Littlefuse released its SiC diodeproducts this May, with the intention to develop a fullproduct range; ● Yole has also identified other newcomers, includingBrückewell, YangJie Technology, and Gengol, each withdifferent backgrounds and different business models;

Commercially available silicon carbide diodes.

Market focus: SiC power devices

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

101

and ● on the materials side, SiC growth furnace supplierAymont has started to supply SiC wafers. Furthermore, existing players will expand their

products, says Yole. For example, Infineon has justreleased its 1200V SiC MOSFET and plans to go intomass production in 2017. Also, Fuji’s full SiC module

will be available. As more and more products reach themarket, Yole hence expects an acceleration in SiC, withthe growing market generating plenty of opportunitiesfor different types of suppliers, such as passive compo-nents, materials suppliers, test equipment suppliers,etc. ■www.yole.fr/SIC_IndustryReview.aspx

Coming examples of SiC products.

REGISTERfor Semiconductor Today

free at www.semiconductor-today.com

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

102

suppliers’ directory

1 Bulk crystal source materials p102

2 Bulk crystal growth equipment p102

3 Substrates p102

4 Epiwafer foundry p103

5 Deposition materials p103

6 Deposition equipment p104

7 Wafer processing materials p104

8 Wafer processing equipment p105

9 Materials and metals p105

10 Gas & liquid handling equipment p105

11 Process monitoring and control p105

12 Inspection equipment p106

13 Characterization equipment p106

14 Chip test equipment p106

15 Assembly/packaging materials p106

16 Assembly/packaging equipment p106

17 Assembly/packaging foundry p106

18 Chip foundry p106

19 Facility equipment p106

20 Facility consumables p106

21 Computer hardware & software p106

22 Used equipment p107

23 Services p107

24 Consulting p107

25 Resources p107

1 Bulk crystal sourcematerials

Mining & Chemical Products Ltd(part of 5N Plus, Inc) 1-4, Nielson Road, Finedon Road Industrial Estate, Wellingborough, Northants NN8 4PE, UK Tel: +44 1933 220626 Fax: +44 1933 227814 www.MCP-group.com

Umicore Indium Products 50 Simms Avenue, Providence, RI 02902, USA Tel: +1 401 456 0800 Fax: +1 401 421 2419 www.thinfilmproducts.umicore.com

United Mineral & Chemical Corp 1100 Valley Brook Avenue, Lyndhurst, NJ 07071, USA Tel: +1 201 507 3300 Fax: +1 201 507 1506 www.umccorp.com

2 Bulk crystal growthequipment

MR Semicon Inc PO Box 91687, Albuquerque, NM 87199-1687, USA Tel: +1 505 899 8183 Fax: +1 505 899 8172 www.mrsemicon.com

3 Substrates

AXT Inc 4281 Technology Drive, Fremont, CA 94538, USA Tel: +1 510 438 4700Fax: +1 510 683 5901 www.axt.com Supplies GaAs, InP, and Ge wafersusing VGF technology withmanufacturing facilities in Beijingand five joint ventures in Chinaproducing raw materials, includingGa, As, Ge, pBN, B2O3.

CrystAl-N GmbHDr.-Mack-Straße 77, D-90762Fürth, GermanyTel: +49 (0)911 650 78 650 90Fax: +49 (0)911 650 78 650 93E-mail: [email protected] www.crystal-n.com

Crystal IS Inc70 Cohoes AvenueGreen Island, NY 12183, USATel: +1 518 271 7375Fax: +1 518 271 7394www.crystal-is.com

Freiberger Compound Materials Am Junger Loewe Schacht 5, Freiberg, 09599, Germany Tel: +49 3731 280 0 Fax: +49 3731 280 106 www.fcm-germany.com

Kyma Technologies Inc 8829 Midway West Road, Raleigh, NC, USA Tel: +1 919 789 8880 Fax: +1 919 789 8881 www.kymatech.com

Index

To have your company listed in this directory, e-mail details (including categories) to [email protected]: advertisers receive a free listing. For all other companies, a charge is applicable.

Suppliers’ Directory

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

103

MARUWA CO LTD 3-83, Minamihonjigahara-cho,Owariasahi, Aichi 488-0044, JapanTel: +81 572 52 2317www.maruwa-g.com/e/products/ceramic

MARUWA is a global supplier ofceramic substrates and wafers madeof aluminium nitride (AlN), alumina(Al2O3), ZTA and silicon nitride(Si3N4). Products meet requiredproperties such as high thermalconductivity and bending strengthfor power devices, especiallywafers are suitable as a bondingwafer (GaN, SOI) for epi wafers.

sp3 Diamond Technologies2220 Martin Avenue, Santa Clara, CA 95050, USA Tel: +1 877 773 9940Fax: +1 408 492 0633www.sp3inc.com

Sumitomo ElectricSemiconductor Materials Inc 7230 NW Evergreen Parkway, Hillsboro, OR 97124, USA Tel: +1 503 693 3100 x207 Fax: +1 503 693 8275 www.sesmi.com

III/V-ReclaimWald 10, 84568 Pleiskirchen, Germany Tel: +49 8728 911 093Fax: +49 8728 911 156www.35reclaim.deIII/V-Reclaim offers reclaim(recycling) of GaAs and InP wafers,removing all kinds of layers andstructures from customers’ wafers.All formats and sizes can behandled. The firm offers single-sideand double-side-polishing andready-to-use surface treatment.

Umicore Electro-Optic MaterialsWatertorenstraat 33, B-2250 Olen, BelgiumTel: +32-14 24 53 67Fax: +32-14 24 58 00www.substrates.umicore.com

Wafer World Inc1100 Technology Place, Suite 104,West Palm Beach, FL 33407, USATel: +1-561-842-4441Fax: +1-561-842-2677E-mail: [email protected]

4 Epiwafer foundry

Spire Semiconductor LLC 25 Sagamore Park Drive, Hudson, NH 03051, USA Tel: +1 603 595 8900 Fax: +1 603 595 0975 www.spirecorp.com

Albemarle Cambridge Chemical LtdUnit 5 Chesterton Mills, French’s Road, Cambridge CB4 3NP, UKTel: +44 (0)1223 352244Fax: +44 (0)1223 352444www.camchem.co.uk

Intelligent Epitaxy Technology Inc 1250 E Collins Blvd, Richardson, TX 75081-2401, USATel: +1 972 234 0068Fax: +1 972 234 0069www.intelliepi.com

IQE Cypress Drive, St Mellons, CardiffCF3 0EG, UK Tel: +44 29 2083 9400 Fax: +44 29 2083 9401 www.iqep.com IQE is a leading global supplier ofadvanced epiwafers, with productscovering a diverse range ofapplications within the wireless,optoelectronic, photovoltaic andelectronic markets.

OMMIC 2, Chemin du Moulin B.P. 11, Limeil-Brevannes, 94453, France Tel: +33 1 45 10 67 31 Fax: +33 1 45 10 69 53 www.ommic.fr

SoitecPlace Marcel Rebuffat, Parc deVillejust, 91971 Courtabouef, France Tel: +33 (0)1 69 31 61 30 Fax: +33 (0)1 69 31 61 79www.picogiga.com

5 Depositionmaterials

Akzo Nobel High Purity Metalorganics www.akzonobel.com/hpmo Asia Pacific: Akzo Nobel (Asia) Co Ltd,Shanghai, ChinaTel. +86 21 2216 3600Fax: +86 21 3360 [email protected] Americas: AkzoNobel Functional Chemicals,Chicago, USA Tel. +31 800 828 7929 (US only)Tel: +1 312 544 7000Fax: +1 312 544 [email protected] Europe, Middle East and Africa: AkzoNobel Functional Chemicals,Amersfoort, The NetherlandsTel. +31 33 467 6656Fax: +31 33 467 [email protected]

Cambridge Chemical Company LtdUnit 5 Chesterton Mills, French’s Road, Cambridge CB4 3NP, UKTel: +44 (0)1223 352244Fax: +44 (0)1223 352444www.camchem.co.uk

Dow Electronic Materials 60 Willow Street, North Andover, MA 01845, USA Tel: +1 978 557 1700 Fax: +1 978 557 1701 www.metalorganics.com

Matheson Tri-Gas6775 Central Avenue, Newark, CA 94560, USA

Tel: +1 510 793 2559Fax: +1 510 790 6241www.mathesontrigas.com

Mining & Chemical Products Ltd (see section 1 for full contact details)

Praxair Electronics 542 Route 303, Orangeburg, NY 10962, USA Tel: +1 845 398 8242 Fax: +1 845 398 8304 www.praxair.com/electronics

SAFC HitechPower Road, Bromborough, Wirral, Merseyside CH62 3QF, UKTel: +44 151 334 2774Fax: +44 151 334 6422www.safchitech.com

Materion Advanced MaterialsGroup2978 Main Street, Buffalo, NY 14214, USATel: +1 716 837 1000Fax: +1 716 833 2926www.williams-adv.com

6 Depositionequipment

AIXTRON SEDornkaulstr. 2, 52134 Herzogenrath, Germany Tel: +49 2407 9030 0 Fax: +49 2407 9030 40 www.aixtron.com

AIXTRON is a leading provider ofdeposition equipment to thesemiconductor industry. Thecompany’s technology solutions areused by a diverse range of customersworldwide to build advancedcomponents for electronic andoptoelectronic applications(photonic) based on compound,silicon, or organic semiconductormaterials and, more recently,carbon nanotubes (CNT), grapheneand other nanomaterials.

Evatec AG Hauptstrasse 1a, CH-9477 Trübbach, Switzerland Tel: +41 81 403 8000Fax: +41 81 403 8001www.evatecnet.com

Ferrotec-Temescal 4569-C Las Positas Rd, Livermore, CA 94551, USA Tel: +1 925 245 5817 Fax: +1 925 449-4096www.temescal.net Temescal, the expert inmetallization systems for theprocessing of compoundsemiconductor-based substrates,provides the finest evaporationsystems available. Multi-layercoatings of materials such as Ti, Pt, Au, Pd, Ag, NiCr, Al, Cr, Cu,Mo, Nb, SiO2, with high uniformityare guaranteed. Today the world’smost sophisticated handsets,optical, wireless and telecomsystems rely on millions of devices that are made usingTemescal deposition systems andcomponents.

Oxford Instruments Plasma Technology North End, Yatton, Bristol, Avon BS49 4AP, UK Tel: +44 1934 837 000 Fax: +44 1934 837 001 www.oxford-instruments.co.uk We provide flexible tools and processes for precise materials deposition,etching and controlled nanostructuregrowth. Core technologies includeplasma and ion-beam depositionand etch and ALD.

Plasma-Therm LLC10050 16th Street North, St. Petersburg, FL 33716, USA Tel: +1 727 577 4999 Fax: +1 727 577 7035 www.plasmatherm.com www.plasmatherm.com

Plasma-Therm, LLC is an establishedleading provider of advancedplasma processing equipment forthe semiconductor industry andrelated specialty markets.

Riber 31 rue Casimir Périer, BP 70083,95873 Bezons Cedex, France Tel: +33 (0) 1 39 96 65 00Fax: +33 (0) 1 39 47 45 62www.riber.com

SVT Associates Inc7620 Executive Drive, Eden Prairie, MN 55344, USATel: +1 952 934 2100Fax: +1 952 934 2737www.svta.com

Veeco Instruments Inc 100 Sunnyside Blvd., Woodbury, NY 11797, USA Tel: +1 516 677 0200 Fax: +1 516 714 1231 www.veeco.com

Veeco is a world-leading supplier ofcompound semiconductor equipment,and the only company offering bothMOCVD and MBE solutions. Withcomplementary AFM technology andthe industry’s most advanced ProcessIntegration Center, Veeco tools helpgrow and measure nanoscale devicesin worldwide LED/wireless, datastorage, semiconductor and scientificresearch markets—offering importantchoices, delivering ideal solutions.

7 Wafer processingmaterials

Air Products and Chemicals Inc 7201 Hamilton Blvd., Allentown, PA 18195, USA Tel: +1 610 481 4911 www.airproducts.com/compound

MicroChem Corp1254 Chestnut St. Newton, MA 02464, USA Tel: +1 617 965 5511Fax: +1 617 965 5818www.microchem.com

Suppliers’ Directory

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

104

Praxair Electronics (see section 5 for full contact details)

8 Wafer processingequipment

EV GroupDI Erich Thallner Strasse 1, St. Florian/Inn, 4782, Austria Tel: +43 7712 5311 0 Fax: +43 7712 5311 4600 www.EVGroup.comTechnology and market leader for wafer processing equipment. Worldwide industry standards foraligned wafer bonding, resistprocessing for the MEMS, nano andsemiconductor industry.

Logitech LtdErskine Ferry Road, Old Kilpatrick, near Glasgow G60 5EU, Scotland, UKTel: +44 (0) 1389 875 444Fax: +44 (0) 1389 879 042www.logitech.uk.com

Oxford Instruments Plasma Technology (see section 6 for full contact details)

Plasma-Therm LLC(see section 6 for full contact details)

SAMCO International Inc 532 Weddell Drive, Sunnyvale, CA, USA Tel: +1 408 734 0459 Fax: +1 408 734 0961 www.samcointl.com

SPTS Technology Ltd Ringland Way, Newport NP18 2TA, UK Tel: +44 (0)1633 414000 Fax: +44 (0)1633 414141 www.spts.com

SUSS MicroTec AGSchleißheimer Strasse 90, 85748 Garching,

Germany Tel: +49 89 32007 0 Fax: +49 89 32007 162 www.suss.com

Veeco Instruments Inc (see section 6 for full contact details)

9 Materials & metals

Goodfellow Cambridge LtdErmine Business Park, Huntingdon, Cambridgeshire PE29 6WR, UKTel: +44 (0) 1480 424800Fax: +44 (0) 1480 424900www.goodfellow.com

Goodfellow supplies smallquantities of metals and materialsfor research, development,prototyping and specialisedmanufacturing operations.

10 Gas and liquidhandling equipment

Air Products and Chemicals Inc (see section 7 for full contact details)

Cambridge Fluid Systems12 Trafalgar Way, Bar Hill, Cambridge CB3 8SQ, UK Tel: +44 (0)1954 786800 Fax: +44 (0)1954 786818 www.cambridge-fluid.com

CS CLEAN SYSTEMS AGFraunhoferstrasse 4, Ismaning, 85737, Germany Tel: +49 89 96 24 00 0 Fax: +49 89 96 24 00 122 www.cscleansystems.com

SAES Pure Gas Inc 4175 Santa Fe Road, San Luis Obispo, CA 93401, USA Tel: +1 805 541 9299 Fax: +1 805 541 9399 www.saesgetters.com

11 Process monitoringand control

k-Space Associates 2182 Bishop Circle East, Dexter, MI 48130, USA Tel: +1 734 426 7977 Fax: +1 734 426 7955www.k-space.com k-Space Associates Inc specializes inin-situ, real-time thin-film processmonitoring tools for MBE, MOCVD,PVD, and thermal evaporation.Applications and materials includethe research and production linemonitoring of compoundsemiconductor-based electronic,optoelectronic, and photovoltaicdevices.

KLA-Tencor One Technology Dr, 1-2221I, Milpitas, CA 95035, USA Tel: +1 408 875 3000 Fax: +1 408 875 4144www.kla-tencor.com

LayTec AG Seesener Str. 10–13,10709 Berlin,Germany Tel: +49 30 89 00 55 0 Fax: +49 30 89 00 180 www.laytec.de LayTec develops and manufacturesoptical in-situ and in-line metrologysystems for thin-film processeswith particular focus on compoundsemiconductor and photovoltaicapplications. Its know-how is based on optical techniques:reflectometry, emissivity correctedpyrometry, curvaturemeasurements and reflectanceanisotropy spectroscopy.

WEP (Ingenieurbüro Wolff für Elektronik- undProgrammentwicklungen) Bregstrasse 90, D-78120Furtwangen im Schwarzwald, GermanyTel: +49 7723 9197 0 Fax: +49 7723 9197 22 www.wepcontrol.com

Suppliers’ Directory

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

105

Inc

Suppliers’ Directory

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

106

12 Inspection equipment

Bruker AXS GmbH Oestliche Rheinbrueckenstrasse 49, Karlsruhe, 76187, Germany Tel: +49 (0)721 595 2888 Fax: +49 (0)721 595 4587 www.bruker-axs.de

13 Characterizationequipment

J.A. Woollam Co. Inc. 645 M Street Suite 102, Lincoln, NE 68508, USA Tel: +1 402 477 7501 Fax: +1 402 477 8214 www.jawoollam.com

Lake Shore Cryotronics Inc 575 McCorkle Boulevard, Westerville, OH 43082, USA Tel: +1 614 891 2244 Fax: +1 614 818 1600 www.lakeshore.com

14 Chip test equipment

Keithley Instruments Inc28775 Aurora Road, Cleveland, OH 44139, USA Tel: +1 440.248.0400 Fax: +1 440.248.6168 www.keithley.com

15 Assembly/packagingmaterials

ePAK International Inc 4926 Spicewood Springs Road, Austin, TX 78759, USA Tel: +1 512 231 8083 Fax: +1 512 231 8183 www.epak.com

Gel-Pak 31398 Huntwood Avenue, Hayward, CA 94544, USA Tel: +1 510 576 2220Fax: +1 510 576 2282www.gelpak.com

Wafer World Inc (see section 3 for full contact details)

Materion Advanced MaterialsGroup2978 Main Street, Buffalo, NY 14214, USATel: +1 716 837 1000Fax: +1 716 833 2926www.williams-adv.com

16 Assembly/packagingequipment

Ismeca Europe Semiconductor SAHelvetie 283, La Chaux-de-Fonds,2301, Switzerland Tel: +41 329257111 Fax: +41 329257115 www.ismeca.com

Kulicke & Soffa Industries1005 Virginia Drive, Fort Washington, PA 19034, USA Tel: +1 215 784 6000Fax: +1 215 784 6001 www.kns.com

Palomar Technologies Inc 2728 Loker Avenue West, Carlsbad, CA 92010, USA Tel: +1 760 931 3600 Fax: +1 760 931 5191 www.PalomarTechnologies.com

TECDIA Inc 2700 Augustine Drive, Suite 110,Santa Clara, CA 95054, USATel: +1 408 748 0100Fax: +1 408 748 0111www.tecdia.com

17 Assembly/packagingfoundry

Quik-Pak10987 Via Frontera, San Diego, CA 92127, USA Tel: +1 858 674 4676 Fax: +1 8586 74 4681 www.quikicpak.com

18 Chip foundry

Compound SemiconductorTechnologies Ltd Block 7, Kelvin Campus, West of Scotland, Glasgow, Scotland G20 0TH, UK Tel: +44 141 579 3000 Fax: +44 141 579 3040 www.compoundsemi.co.uk

United Monolithic Semiconductors Route departementale 128, BP46, Orsay, 91401, France Tel: +33 1 69 33 04 72 Fax: +33 169 33 02 92 www.ums-gaas.com

19 Facility equipment

MEI, LLC3474 18th Avenue SE, Albany, OR 97322-7014, USA Tel: +1 541 917 3626Fax: +1 541 917 3623www.marlerenterprises.net

20 Facility consumables

W.L. Gore & Associates401 Airport Rd, Elkton, MD 21921-4236, USA Tel: +1 410 392 4440Fax: +1 410 506 8749www.gore.com

21 Computer hardware& software

Ansoft Corp4 Station Square, Suite 200, Pittsburgh, PA 15219, USATel: +1 412 261 3200 Fax: +1 412 471 9427 www.ansoft.com

Crosslight Software Inc121-3989 Henning Dr., Burnaby, BC, V5C 6P8, Canada Tel: +1 604 320 1704Fax: +1 604 320 1734www.crosslight.com

Semiconductor TechnologyResearch Inc10404 Patterson Ave., Suite 108,Richmond, VA 23238, USATel: +1 804 740 8314Fax: +1 804 740 3814www.semitech.us

22 Used equipment

Class One Equipment Inc 5302 Snapfinger Woods Drive, Decatur, GA 30035, USATel: +1 770 808 8708Fax: +1 770 808 8308www.ClassOneEquipment.com

23 Services

Henry Butcher InternationalBrownlow House, 50–51

High Holborn, London WC1V 6EG, UK Tel: +44 (0)20 7405 8411 Fax: +44 (0)20 7405 9772 www.henrybutcher.com

M+W Zander Holding AGLotterbergstrasse 30, Stuttgart, Germany Tel: +49 711 8804 1141 Fax: +49 711 8804 1950 www.mw-zander.com

24 Consulting

Fishbone Consulting SARL8 Rue de la Grange aux Moines, 78460 Choisel, France Tel: + 33 (0)1 30 47 29 03E-mail: [email protected]

25 Resources

Al Shultz Advertising Marketing for AdvancedTechnology Companies 1346 The Alameda, 7140 San Jose, CA 95126, USA Tel: +1 408 289 9555 www.alshuktz.com

SEMI Global Headquarters3081 Zanker Road, San Jose, CA 95134, USATel: +1 408 943 6900Fax: +1 408 428 9600www.semi.org

Yole Développement 45 rue Sainte Geneviève, 69006 Lyon, France Tel: +33 472 83 01 86www.yole.fr

REGISTERfor Semiconductor Today

free at

www.semiconductor-today.com

Suppliers’ Directory

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

107

3–5 August 2016 IEEE International Conference on ElectronDevices and Solid-State Circuits (EDSSC 2016)The University of Hong Kong E-mail: [email protected] www.eee.hku.hk/edssc2016

6–19 August 2016 14th National Conference on MOCVD Yanji, Jilin, China E-mail: [email protected] www.mocvd14.org

7–12 August 2016 18th International Conference on CrystalGrowth and Epitaxy (ICCGE 2016) Nagoya, Japan E-mail: [email protected] www.iccge18.jp

24–26 August 2016 13th International Group IV PhotonicsConference (GFP 2016) Grand Kempinski Hotel Shanghai, China E-mail: [email protected] www.gfp-ieee.org

28 August – 1 September 2016 SPIE Optics + Photonics 2016 San Diego Convention Center, CA, USAE-mail: [email protected] http://spie.org/optics-photonics1

5–9 September 2016 18th European Conference on PowerElectronics and Applications (EPE 2016)Karlsruhe, Germany E-mail: [email protected] www.epe2016.com

4–9 September 2016 19th International Conference on MolecularBeam Epitaxy (MBE 2016) Montpellier, France E-mail: [email protected] http://mbe2016.sciencesconf.org

6–7 September 2016 2nd International Forum on SapphireMarket & Technologies Shenzhen Convention & Exhibition Center (SZCEC), China E-mail: [email protected] www.i-micronews.com/events/yole-events/eventdetail/142/-/2nd-int-forum-on-sapphire-market-technologies.html alongside: 6–9 September 2016 18th China International OptoelectronicExposition (CIOE 2016) Shenzhen Convention & Exhibition Center (SZCEC), China E-mail: [email protected] www.cioe.cn/en

7–9 September 2016SEMICON Taiwan 2016 Taipei Nangang Exhibition Center, Taiwan E-mail: [email protected] www.semicontaiwan.org

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016 www.semiconductor-today.com

108

event calendarIf you would like your event listed in Semiconductor Today’s Event Calendar,then please e-mail all details to the Editor at [email protected]

Aixtron SE 5Evatec 57Ferrotec-Temescal 59Fuji Electric 27IQE 67Logitech 31

RIFF Company 63SEMI-GAS 43University Wafer 39Veeco Instruments — MBE 15Veeco Instruments — MOCVD 2Wafer World 37

Advertiser Page no. Advertiser Page no.

advertisers’ index

12–15 September 2016 25th International Semiconductor LaserConference (ISLC 2016) Kobe Meriken Park Oriental Hotel, Kobe, Japan E-mail: [email protected]

12–15 September 2016 46th European Solid-State Device ResearchConference (ESSDERC 2016) Swisstech Convention Centre, Lausanne, Switzerland E-mail: essderc2016@epfl http://esscirc-essderc2016.epfl.ch

19–22 September 2016 LED China 2016 Shanghai New International Expo Centre (SNIEC),China E-mail: [email protected]

25–29 September 2016 ECSCRM 2016: 11th European Conference on Silicon Carbideand Related Materials Halkidiki, Greece E-mail: [email protected] www.ecscrm2016.org

2–6 October 2016 29th IEEE Photonics Conference (IPC 2016 )Waikoloa, Hawaii, USA E-mail: [email protected] www.ipc-ieee.org

3–7 October 2016 European Microwave Week (EuMW 2016),incorporating: 46th European Microwave Conference (EuMC) 11th European Microwave IntegratedCircuits Conference (EuMIC) 13th European Radar Conference (EuRAD)ExCel London, UK E-mail: [email protected] www.eumweek.com

10–13 October 2016 2016 IEEE SOI-3D-Subthreshold Micro-electronics Technology Unified Conference(S3S SOI/3D/SubVt) — ‘Energy EfficientTechnology for the Internet of Things’ Hyatt Regency San Francisco Airport, Burlingham, CA, USA E-mail: [email protected] http://s3sconference.org

23–26 October 2016 2016 IEEE Compound SemiconductorIntegrated Circuit Symposium (CSICS) Austin, TX USAE-mail: [email protected] https://csics.org

25–27 October 2016 SEMICON Europa 2016 Grenoble, France E-mail: [email protected]

26–28 October 20166th Annual World Congress of Nano Science& Technology (Nano S&T-2016) — ‘Theme: Small is All, The Future ofNanotechnology’SingaporeE-mail: [email protected] www.bitcongress.com/nano2016

7 November 2016 ITF2016 Japan New Otani Hotel – Tokyo, Japan E-mail: [email protected] www.itf2016.be/page.aspx/2218

7–9 November 2016 4th IEEE Workshop on Wide Bandgap PowerDevices and Applications (WiPDA 2016) Fayetteville, AR USA E-mail: [email protected] www.wipda2016.org

13–16 November 2016 11th International Conference on AdvancedSemiconductor Devices & Microsystems(ASDAM ’16) Smolenice, Slovakia E-mail: [email protected] http://elu.sav.sk/asdam

3–7 December 201662nd annual IEEE International ElectronDevices Meeting (IEDM 2016) San Francisco Union Square Hilton Hotel, CA, USA E-mail: [email protected] www.ieee-iedm.org

14–16 December 2016 SEMICON Japan 2016 Tokyo International Exhibition Center (Tokyo Big Sight),Japan E-mail: [email protected] www.semiconjapan.org

Event Calendar

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 11 • Issue 5 • June/July 2016

109

Choose Semiconductor Today for . . .

MAGAZINE

Accurate and timely coverage of the compound semiconductor and advanced silicon industries

Published 10 times a year and delivered by e-mail and RSS feeds

Targeted 41,000+ international circulation

WEB SITE

Average of over 19,700 unique visitors to the site each month

Google-listed news source

Daily news updates and regular feature articles

E-BRIEF

Weekly round-up of key business and technical news

E-mail delivery to entire circulation

Banner and text marketing opportunities available

www.semiconductor-today.com

Follow us on Twitter: Semiconductor_T

Join our LinkedIn group: Semiconductor Today

semiconductorTODAYC O M P O U N D S & A D V A N C E D S I L I C O NVol.7 • Issue 2 • March/April 2012 www.semiconductor-today.com

Graphensic spun off •Emcore sells VCSEL range to Sumitomo Masimo buys Spire Semiconductor•Oclaro and Opnext merge

ISSN 1752-2935 (online)

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET

Efficiency droop in nitride & phosphide LEDsFirst single-crystal gallium oxide FET