atomic-scale cellular model and profile simulation of poly ... · yugo osanoa and kouichi onob...

17
Title Atomic-scale cellular model and profile simulation of poly-Si gate etching in high-density chlorine-based plasmas: Effects of passivation layer formation on evolution of feature profiles Author(s) Osano, Yugo; Ono, Kouichi Citation Journal of Vacuum Science & Technology B (2008), 26(4): 1425-1439 Issue Date 2008-08-13 URL http://hdl.handle.net/2433/203169 Right © 2008 American Vacuum Society. This article may be downloaded for personal use only. Any other use requires prior permission of the author and AIP Publishing. The following article may be found at http://scitation.aip.org/content/avs/journal/jvstb/26/4/10.1116/1 .2958240 Type Journal Article Textversion publisher Kyoto University

Upload: others

Post on 07-Jan-2020

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Atomic-scale cellular model and profile simulation of poly ... · Yugo Osanoa and Kouichi Onob Department of Aeronautics and Astronautics, Graduate School of Engineering, Kyoto University,

TitleAtomic-scale cellular model and profile simulation of poly-Sigate etching in high-density chlorine-based plasmas: Effects ofpassivation layer formation on evolution of feature profiles

Author(s) Osano, Yugo; Ono, Kouichi

Citation Journal of Vacuum Science & Technology B (2008), 26(4):1425-1439

Issue Date 2008-08-13

URL http://hdl.handle.net/2433/203169

Right

© 2008 American Vacuum Society. This article may bedownloaded for personal use only. Any other use requires priorpermission of the author and AIP Publishing. The followingarticle may be found athttp://scitation.aip.org/content/avs/journal/jvstb/26/4/10.1116/1.2958240

Type Journal Article

Textversion publisher

Kyoto University

Page 2: Atomic-scale cellular model and profile simulation of poly ... · Yugo Osanoa and Kouichi Onob Department of Aeronautics and Astronautics, Graduate School of Engineering, Kyoto University,

Atomic-scale cellular model and profile simulation of poly-Si gate etching in high-density chlorine-based plasmas: Effects of passivation layer formation on evolution offeature profilesYugo Osano and Kouichi Ono Citation: Journal of Vacuum Science & Technology B 26, 1425 (2008); doi: 10.1116/1.2958240 View online: http://dx.doi.org/10.1116/1.2958240 View Table of Contents: http://scitation.aip.org/content/avs/journal/jvstb/26/4?ver=pdfcov Published by the AVS: Science & Technology of Materials, Interfaces, and Processing Articles you may be interested in Poly- Si / TiN / Mo / HfO 2 gate stack etching in high-density plasmas J. Vac. Sci. Technol. B 29, 011024 (2011); 10.1116/1.3533939 Feature profile evolution during shallow trench isolation etch in chlorine-based plasmas. II. Coupling reactor andfeature scale models J. Vac. Sci. Technol. B 26, 1919 (2008); 10.1116/1.2998759 Modeling of fluorine-based high-density plasma etching of anisotropic silicon trenches with oxygen sidewallpassivation J. Appl. Phys. 94, 6311 (2003); 10.1063/1.1621713 Level set approach to simulation of feature profile evolution in a high-density plasma-etching system J. Vac. Sci. Technol. B 19, 701 (2001); 10.1116/1.1370174 Plasma-induced nitridation of gate oxide dielectrics: Linked equipment-feature atomic scale simulations J. Vac. Sci. Technol. A 17, 1356 (1999); 10.1116/1.581820

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 130.54.110.31 On: Mon, 25 Jan 2016 04:28:57

Page 3: Atomic-scale cellular model and profile simulation of poly ... · Yugo Osanoa and Kouichi Onob Department of Aeronautics and Astronautics, Graduate School of Engineering, Kyoto University,

Atomic-scale cellular model and profile simulation of poly-Si gate etchingin high-density chlorine-based plasmas: Effects of passivation layerformation on evolution of feature profiles

Yugo Osanoa� and Kouichi Onob�

Department of Aeronautics and Astronautics, Graduate School of Engineering, Kyoto University,Yoshida-Honmachi, Sakyo-ku, Kyoto 606-8501, Japan

�Received 8 February 2008; accepted 24 June 2008; published 15 August 2008�

Atomic-scale cellular model has been developed to simulate the feature profile evolution duringpoly-Si gate etching in high-density Cl2 and Cl2 /O2 plasmas, with emphasis being placed on theformation of passivation layers on feature surfaces. The model took into account the behavior of Cl+

ions, Cl and O neutrals, and etch products and byproducts of SiClx and SiClxOy in microstructuralfeatures. The transport of ions and neutrals in microstructures and in substrates was analyzed by thetwo-dimensional Monte Carlo calculation with three velocity components. The surface chemistryincluded ion-enhanced etching, chemical etching, and passivation layer formation through surfaceoxidation and deposition of etch products and byproducts. The computational domain was taken toconsist of two-dimensional square cells or lattices of atomic size, and the evolving interfaces wererepresented by removing Si atoms from and/or allocating them at the cells concerned. Calculationswere performed for different line-and-space pattern features of down to 30 nm space width, with anincoming ion energy, ion flux, and neutral reactant-to-ion flux ratio of Ei=50 eV, �i

0=1.0�1016 cm−2 s−1, and �n

0 /�i0=10. Numerical results reproduced the evolution of feature profiles,

critical dimensions, and their microscopic uniformity �or aspect-ratio dependence� on nanometerscale, depending on substrate temperature, incoming flux of oxygen and etch byproducts, andsticking probability of etch products and byproducts on feature surfaces: the lateral etching onsidewalls is suppressed by surface oxidation thereon. The oxidation also reduces the etch rate onbottom surfaces, leading to a transition from regular to inverse reactive ion etching �RIE� lag withincreasing flux of oxygen; in practice, the RIE lag remains almost unchanged for narrow spacefeatures owing to reduced oxygen fluxes thereinto, thus leading to regular and inverse RIE lagscoexistent in a series of different pattern features. The deposition or redeposition of etch products�desorbed from feature surfaces� onto sidewalls results in the sidewall tapering, which is moresignificant for narrower space features; in contrast, the deposition of byproducts �coming from theplasma� onto sidewalls results in the tapering, which is more significant for wider features.Synergistic effects between the deposition of etch products/byproducts and surface oxidationenhance the passivation layer formation on feature surfaces, which in turn increases the sidewalltapering and the degree of regular and inverse RIE lags depending on feature width. The presentmodel also enabled the authors to simulate the surface reaction multilayers and passivation layers onatomic scale, along with their chemical constituents and surface roughness. © 2008 AmericanVacuum Society. �DOI: 10.1116/1.2958240�

I. INTRODUCTION

Chlorine-based plasmas are widely employed for the etch-ing of poly-Si gate electrodes of microelectronic devices,where a small amount of O2 is often added to improve theetched profile and the selectivity over thin gate oxides. It isgenerally appreciated that in high-density Cl2 /O2 andCl2 /HBr /O2 plasmas, the etched profile, critical dimension�CD�, and their microscopic uniformity �or aspect-ratio de-pendence� are significantly influenced by the formation ofpassivation layers on feature surfaces,1 through deposition ofetch products/byproducts and surface oxidation during etch-

ing. In practice, etch products come directly from featuresurfaces, and byproducts from the plasma; the oxygen origi-nates from plasma chamber walls and windows of aluminumoxides or quartz as well as from additive oxygen. In particu-lar, passivation layers on feature sidewalls suppress the lat-eral etching and reduce the undercutting beneath the mask,and the excess deposition results in outwardly tapered pro-files. Such sidewall profiles lead to the CD loss/gain of gateelectrodes, which in turn closely affects the transistor chan-nel length and so the device performance. Thus, a deeperunderstanding of plasma-surface interactions responsible forpassivation layer formation during etching is increasinglyimportant for nanometer-scale control of the etched profile,CD, and their microscopic uniformity of gate electrodes, asintegrated circuit device dimensions continue to be scaleddown to much less than 100 nm.1

a�Present address: Core Technology Center, Nikon Corporation, 1-10-1Asamizodai, Sagamihara-shi, Kanagawa 228-0828, Japan; electronic mail:[email protected]

b�Electronic mail: [email protected]

1425 1425J. Vac. Sci. Technol. B 26„4…, Jul/Aug 2008 1071-1023/2008/26„4…/1425/15/$23.00 ©2008 American Vacuum Society

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 130.54.110.31 On: Mon, 25 Jan 2016 04:28:57

Page 4: Atomic-scale cellular model and profile simulation of poly ... · Yugo Osanoa and Kouichi Onob Department of Aeronautics and Astronautics, Graduate School of Engineering, Kyoto University,

A number of experiments have been performed to inves-tigate the formation of passivation layers during Si etching inhigh-density chlorine-based plasmas. Guinn et al. examinedthe chemical constituents and concentrations on sidewallsand bottom surfaces of poly-Si electrodes etched in Cl2 andCl2 /O2 plasmas, by using x-ray photoelectron spectroscopy�XPS�;2,3 they found that SiClxOy layers are formed on fea-ture surfaces, where the O coverage is much larger on side-walls than on bottom surfaces. Bell and Joubert also per-formed the XPS analysis of feature surfaces of poly-Sielectrodes etched in Cl2 and Cl2 /HBr /O2 plasmas, showingthat the passivation layers formed on sidewalls are chlorine-rich silicon oxide films.4,5 Nishikawa et al. investigated insitu Fourier transform infrared spectra in the gas phase andon substrate surfaces during Cl2 plasma etching of Si;6 theyindicated that in the gas phase, there are etch byproductssuch as silicon oxides SiOy and oxychlorides SiClxOy alongwith primary etch products of silicon tetrachlorides SiCl4,and that the etch byproducts strongly affect the etch rates andetched profiles. However, the origin of passivation layers isnot fully understood because the transport and surface chem-istry of ions and neutrals including etch products andbyproducts is difficult to observe in microstructural features.

Feature profile simulations have also been developed toanalyze the influences of complex surface reaction processesthat occur. The simulation model often took into account thedeposition or redeposition of etch products SiCl4 within thefeature, deposition of etch byproducts SiCl2 coming from theplasma, and surface oxidation, in addition to ion-enhancedetching; the string model7,8 and the cell removal method9–11

were then used along with Langmuir adsorption kinetics torepresent the evolving interfaces through surface reactions.However, these simulations did not take into account syner-gistic effects of surface oxidation and deposition; moreover,the substrates were represented as a continuum with mono-layer adsorption and reaction kinetics on surfaces, and soatomistic processes on nanometer scale were hard to betreated including surface reaction multilayers as well as pas-sivation layers. On the other hand, molecular dynamics�MD� simulations have recently been developed to clarifysurface reaction processes on atomic scale, giving the etch-ing characteristics such as etch yield, stoichiometry of etchproducts, and microscopic surface structures duringetching.12–15 However, few MD studies have treated thedeposition of etch products/byproducts and surface oxida-tion; moreover, the feature profile evolution is also still dif-ficult for the MD simulation to deal with, owing to an enor-mous number of particles and their interactions to be takeninto account in the simulation.

In this article, we present an atomic-scale cellular modeland feature profile simulation for poly-Si gate etching inhigh-density Cl2 and Cl2 /O2 plasmas, with emphasis beingplaced on the formation of passivation layers on feature sur-faces during etching. The model is based on our previousatomic-scale model for the ion-enhanced etching,16,17 takinginto account not only Cl+ ions and Cl neutrals but also Oneutrals and etch products/byproducts of SiClx and SiClxOy

in microstructural features. The simulation domain is takento consist of a number of square cells or lattices of atomicsize, and the evolving interfaces are represented by removingSi atoms from and/or allocating them at the cells concerned.The transport of ions and neutrals in microstructures and insubstrates is analyzed by a particle simulation or the MonteCarlo calculation. The surface chemistry includes ion-enhanced etching, chemical etching, surface oxidation, depo-sition or redeposition of etch products within the feature, anddeposition of etch byproducts coming from the plasma; thepassivation layers are assumed to be formed through surfaceoxidation and deposition of etch products and byproducts,being sputtered or removed under ion bombardment.

The present atomic-scale cellular model gives us a deeperunderstanding of plasma-surface interactions during etching,for nanometer-scale control of the etched profiles, CDs, andtheir microscopic uniformity, along with the atomic-scaleview of reaction multilayers, passivation layers, their chemi-cal constituents, and surface roughness. In practice, thepresent model can simulate the evolution of feature profiles,CDs, and their microscopic uniformity during plasma etch-ing on atomic or nanometer scale; the model is also capableof simulating the surface roughening and/or residues prima-rily caused by micromasks formed through stochastically lo-calized surface oxidation during etching, which are difficultor impossible to model by using previous methodspublished.7–11 The structure and chemical constituents of re-action and passivation layers on feature sidewalls and bottomsurfaces, which are also difficult to simulate by previousmethods,7–11 would also be helpful in understanding whatoccurs in microstructural features during etching and what isresponsible for the processing achieved.

The next section describes the model for surface chemis-try and profile simulation. Numerical results are then givenin Sec. III for the feature profile evolution during etching.Calculations are performed for different line-and-space pat-tern features of down to 30 nm space width as a function ofsubstrate temperature, incoming flux of oxygen and etchbyproducts from the plasma, and sticking probability of etchproducts and byproducts on feature surfaces. The profile evo-lution and microscopic uniformity are investigated with at-tention being given to reactive ion etching �RIE� lag, inverseRIE lag, and profile anomalies such as undercutting, bowing,and tapering. Finally, Sec. IV gives conclusions of this ar-ticle.

II. MODEL

We consider a two-dimensional structure or an infinitelylong trench being etched. The substrates are taken to consistof cells or lattices of atomic size, and the evolving interfacesare modeled by the so-called cell removal method, as in ourprevious study.17 The present atomic-scale cellular model,which is a phenomenological model at an intermediate be-tween the MD simulation and continuum models, gives ananometer-scale representation of the feature profile evolu-

1426 Y. Osano and K. Ono: Atomic-scale cellular model and profile 1426

J. Vac. Sci. Technol. B, Vol. 26, No. 4, Jul/Aug 2008

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 130.54.110.31 On: Mon, 25 Jan 2016 04:28:57

Page 5: Atomic-scale cellular model and profile simulation of poly ... · Yugo Osanoa and Kouichi Onob Department of Aeronautics and Astronautics, Graduate School of Engineering, Kyoto University,

tion during etching along with reaction and passivation lay-ers on feature surfaces, their chemical constituents, and sur-face roughness.

Figure 1�a� illustrates the simulation domain with the co-ordinate system �x ,z� presently employed, where Cl+ ions, Clneutrals, O neutrals, and etch byproducts of silicon chloridesSiClx and oxychlorides SiClxOy are taken to be injected fromthe plasma into microstructural features on substrates; more-over, etch products such as SiClx and SiClxOy are desorbedor sputtered from the feature surfaces during etching. Thesimulation domain concerned is divided into two-dimensional square cells or lattices of L=�Si

−1/3=2.7 Å, with�y=L taken in the y direction, as partly shown in Fig. 1�b�,where �Si=5.0�1022 cm−3 is the atomic density of Si sub-strates, and Si atoms are allocated in the respective cells ofsubstrates. The cell or lattice is assumed to be rigid, and Siatoms are taken to be removed from the outermost surfacecells when the etching causes the desorption of reactionproducts SiClx and the sputtering causes the removal of

oxygen-containing products SiClxOy; in contrast, Si atomsare newly allocated at the cells concerned when the deposi-tion of etch products/byproducts occurs.

The transport of ions and neutrals in microstructures ontofeature surfaces is analyzed by the two-dimensional particlesimulation based on successively injected single-particle tra-jectories with three velocity components �vx ,vy ,vz�, and theMonte Carlo calculation is employed for the trajectory ofions that penetrate into substrates on incidence.17 In practice,the Cl+, Cl, O, SiClx, and SiClxOy are randomly allocated atthe top boundary of the simulation domain, being succes-sively injected therefrom according to given energies, angu-lar distributions, and fluxes. The particles are assumed tomove straight in microstructures without collision with otherparticles therein, where the transport is calculated everymovement of the approximate atomic separation L. If there isa Si atom at any of the four sites neighboring to the cell orlattice where the particle is located, it is assumed to reach thesurface. Note that the ions incident on surfaces are known tobe neutralized thereon owing to the Auger process, and sothe feature surfaces are taken to be charge neutral duringetching.

A. Ion-enhanced etching

The model for neutral adsorption and ion-enhanced etch-ing has been detailed in our previous paper.17 Briefly, Cl+

ions are injected into the simulation domain with a givenenergy incident on substrate surfaces after being acceleratedthrough the sheath; the incident angle is given by randomlysampling from the angular distribution of ion fluxes, whichdepends on the ratio R=Ei /kTi of the incident ion energy Ei

to the ion temperature kTi.7,8,17,18 Energetic ions are taken to

penetrate into substrates, stop at a finite depth, and react withsubstrate atoms thereat; the trajectory and stopping of Cl+

ions in Si is also analyzed by the two-dimensional particlesimulation �or the Monte Carlo calculation�, including theirscattering at every collision with a Si atom in the cell orlattice.19 The penetration of energetic Cl+ ions into Si causesthe multilayer adsorption of Cl, thus resulting in surface re-action multilayers of SiClx, as indicated by the MDsimulation.12–15

Neutral reactants of Cl are injected thermally with an iso-tropic velocity distribution; the incident angle is given byrandomly sampling from the so-called cosine distribution. Incontrast to ions, neutrals have too small energy to penetrateinto substrates, and so the neutral adsorption occurs only onthe outermost surfaces �or at the outermost surface cells orlattices�. The sticking coefficient or probability of Cl on Si isknown to be SCl�0.7,20,21 while the dissociative adsorptionof Cl2 molecules is known to be SCl2�0.55.22,23 In practice,for simplicity, Cl neutrals are assumed to adsorb or stick onunsaturated surfaces of Si with a probability Sn=1,

Si�s� + xCl�s� + Cl�g� → Si�s� + �x + 1�Cl�s� �x = 0 – 3� , �1�

where �s� and �g� represent the surface and the gas phase,respectively. On the other hand, they are re-emitted thermallyor reflected randomly on saturated surfaces, which then

FIG. 1. �a� Schematic illustration of the geometry of an infinitely long trenchof Si being etched, together with the simulation domain and coordinatesystem presently employed. Here, Cl+ ions, Cl neutrals, O neutrals, and etchbyproducts of silicon chlorides SiClx and oxychlorides SiClxOy are injectedfrom the top of the simulation domain with three velocity components�vx ,vy ,vz�; moreover, etch products such as SiClx and SiClxOy are desorbedor sputtered from feature surfaces during etching. The ions are taken to beinjected into the simulation domain almost vertically with a given energyand angular distribution, while the neutrals are injected thermally with anisotropic velocity distribution. The etch products are assumed to be desorbedthermally or removed randomly from the surfaces being etched according tothe so-called cosine law. The simulation domain concerned �solid/substrateand vacuum� in a two-dimensional space �x ,z� is divided into square cells orlattices of atomic size L=�Si

−1/3=2.7 Å with �y=L taken in the y direction,as partly shown in �b�, where �Si=5.0�1022 cm−3 is the atomic density of Sisubstrates, and Si atoms are allocated at the respective cells concerned.

1427 Y. Osano and K. Ono: Atomic-scale cellular model and profile 1427

JVST B - Microelectronics and Nanometer Structures

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 130.54.110.31 On: Mon, 25 Jan 2016 04:28:57

Page 6: Atomic-scale cellular model and profile simulation of poly ... · Yugo Osanoa and Kouichi Onob Department of Aeronautics and Astronautics, Graduate School of Engineering, Kyoto University,

move towards another surfaces of the feature or go out of thesimulation domain.

In the calculation, the ion-enhanced etching or the re-moval of substrate Si atoms is assumed to occur on the out-ermost surfaces with the cells being chlorine saturated; inpractice, the saturated silicon chlorides SiCl4 are taken to bedesorbed from the surface through ion bombardment, withthe reaction being

Si�s� + 4Cl�s� ——→Cl+

SiCl4�g�, �2�

where Cl+ above the arrow indicates the reaction induced byenergetic ion bombardment. The etching reaction is taken tooccur with a probability corresponding to the etch yieldYSi/Cl+ �the number of substrate atoms etched per incident ionon saturated surfaces�, depending on the incident ion energyEi and angle � on surfaces. In practice, the functional formYSi/Cl+=C��Ei−�Eth�f��� is employed in this study with aconstant C=0.77 and a threshold energy Eth=20 eV, whichwere determined from the experimental etch yields for Cl+

ions on Si surfaces chlorine saturated;24 moreover, the angu-lar dependence is taken to be f���=1 for ���cr and=cos � /cos �cr for ���cr, with the critical angle being �cr=45°. Note that for YSi/Cl+�1, Si atoms are assumed to befurther removed with a probability �YSi/Cl+−1� from theneighbor surface cells saturated.17 In calculating the incidentangle � on feature surfaces, the direction of the surface nor-mal is represented by the four-point technique, where thesurface normal is determined by the presence/absence of fourneighboring cells concerned.17

In addition, the ion-enhanced etching is also taken to oc-cur on the outermost surfaces unsaturated,

Si�s� + xCl�s� ——→Cl+

SiClx�g� �x = 1 – 3� , �3�

with a probability corresponding to the yield YSi/Cl+� =�x /4�YSi/Cl+. However, the sputtering of Si atoms through Cl+

ion bombardment on unchlorinated surfaces is neglected inthis study, owing to the yield about an order of magnitudesmall.25

B. Spontaneous chemical etching

Neutral reactants of Cl incident on Si surfaces lead tospontaneous or purely chemical etching, which is assumed tooccur on the outermost surfaces being chlorine saturated.The etching reaction is taken to occur through an incidenceof Cl neutrals as

Si�s� + 3Cl�s� + Cl�g� → SiCl4�g�, �4�

with a probability corresponding to the reaction probability�Si/Cl �the number of substrate atoms etched per incident re-actant under saturated surface conditions�, which is calcu-lated from the experiments of Ogryzlo et al.26 They mea-sured the chemical etch rate ERchem for Si in heated Cl2 gasesas a function of dopant concentration Ne, Cl concentrationnCl in the gas phase, and surface temperature Ts,

ERchem = NenClTs

1/2 exp�− E/kTs� , �5�

where =4.04�10−18 Å cm3�1+� min−1 K−1/2, =0.39, andE=4.7 kcal /mol are constants determined for P-doped poly-Si, and k is the Boltzmann constant. The probability �Si/Cl isthen given by

�Si/Cl =�SiERchem

�Cl= 4�Si

NeTs

1/2 exp�− E/kTs�u

, �6�

where �Si=�SiERchem is the flux of Si atoms desorbed fromthe surface through purely chemical etching, �Cl= �1 /4�nCluis the flux of Cl atoms incident on surfaces, and u=�8kTg /�mCl is the average thermal velocity of Cl atomswith mCl being the atomic mass concerned.27 The dopantconcentration is taken to be Ne=1.0�1020 cm−3 in thisstudy, with a gas temperature Tg=500 K.

C. Surface oxidation

Surface oxidation is taken to be induced by adsorption ofneutral O atoms on the outermost surfaces, where the injec-tion and transport of O atoms in microstructural features istreated similarly to that of Cl neutrals. The sticking coeffi-cient or probability of O on Si is known to be SO�1;28 incontrast, the dissociative adsorption of O2 molecules is ne-glected owing to a small probability SO2�0.01.29 It is notedthat the adsorption of a maximum of two O atoms is allowedwith a probability SO=1 at a surface cell or lattice containingSi to form SiOy,

Si�s� + xO�s� + O�g� → Si�s� + �x + 1�O�s� �x = 0, 1� , �7�

while O atoms are re-emitted thermally or reflected ran-domly on saturated surfaces with O. Similarly, Cl atoms aretaken to adsorb or stick on unsaturated surfaces with a prob-ability Sn=1,

Si�s� + O�s� + xCl�s� + Cl�g� → Si�s� + O�s� + �x + 1�Cl�s�

�x = 0, 1� . �8�

Moreover, strongly reactive O neutrals are assumed tooxidize SiClx and SiClxOy surfaces �x+2y�4� with a prob-ability of unity,30,31

Si�s� + xCl�s� + O�g� → Si�s� + xCl�s� + O�s�

�x = 1, 2� ,

Si�s� + xCl�s� + O�g� → Si�s� + 2Cl�s� + O�s� + �x − 2�Cl�g�

�x = 3, 4� ,

Si�s� + xCl�s� + O�s� + O�g� → Si�s� + 2O�s� + xCl�g�

�x = 1, 2� , �9�

where the latter two result in desorption or emission of dis-placed Cl atoms from the surface. It is further assumed thatthere is no reduction of O on oxidized SiOy and SiClxOy

surfaces by incidence of Cl neutrals, or by the reverse reac-tion of the latter two in Eq. �9�, owing to the Si–O bond

1428 Y. Osano and K. Ono: Atomic-scale cellular model and profile 1428

J. Vac. Sci. Technol. B, Vol. 26, No. 4, Jul/Aug 2008

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 130.54.110.31 On: Mon, 25 Jan 2016 04:28:57

Page 7: Atomic-scale cellular model and profile simulation of poly ... · Yugo Osanoa and Kouichi Onob Department of Aeronautics and Astronautics, Graduate School of Engineering, Kyoto University,

relatively strong as compared to that of Si–Cl; thus, Cl neu-trals are usually reflected on saturated SiO2 and SiCl2O sur-faces on incidence. In addition, no spontaneous chemicaletching is taken to be induced by Cl neutrals incident onoxidized surfaces.

The etching or removal of oxidized surfaces is given by

Si�s� + xCl�s� + O�s� ——→Cl+

SiClxO�g� �x = 1, 2� ,

Si�s� + xO�s� ——→Cl+

SiO�g� + �x − 1�O�g� �x = 1, 2� , �10�

where silicon oxides SiOy and oxychlorides SiClxOy are de-sorbed or removed from the surface by physical sputteringthrough energetic Cl+ ion bombardment. The etch or sputteryield for a Cl+ ion on oxidized surfaces is taken to be smallerthan the yield YSi/Cl+ for the ion-enhanced etching as givenearlier; in practice,

YSi/Cl+sp = 1

7C��Ei − �Ethsp�g��� �11�

is employed in this study, according to the Si to SiO2 etchrate ratio YSi /YSiO2�7 experimentally obtained for Ar+ ionswith an energy Ei=100 eV at normal incidence on surfacesin Cl2 environments.32 Here, the constant C=0.77 is thesame as in YSi/Cl+, while the threshold energy is taken to beEth

sp=30 eV ��Eth�, and the angular dependence

g��� = 53 �18.7 cos � − 64.7 cos2 � + 145.2 cos3 �

− 206 cos4 � + 147.3 cos5 � − 39.9 cos6 �� �12�

peaking at ��65° is taken from a model for physical sput-tering processes.10

D. Deposition of etch products and byproducts

Silicon chlorides SiClx, oxides SiOy, and oxychloridesSiClxOy deposited on feature surfaces consist of etch prod-ucts coming directly from the surfaces being etched and etchbyproducts coming from the plasma, as illustrated in Fig. 2.The etch products are desorbed from feature surfaces throughthe etching and sputtering processes �Eqs. �2�–�4� and �10��mentioned above. The products desorbed are assumed tomove straight toward another surfaces of the feature in mi-crostructures without collision with other particles therein,and then to stick or redeposit on all feature surfaces of maskas well as Si with a probability Sq,

SiClx�g� → Si�s� + xCl�s� �x = 1 – 4� ,

SiOy�g� → Si�s� + yO�s� �y = 1� ,

SiClxOy�g� → Si�s� + xCl�s� + yO�s� �x = 1, 2; y = 1� .

�13�

Otherwise, they are re-emitted thermally or reflected ran-domly with a probability �1−Sq�, which then move furthertoward another surfaces of the feature or go out of the simu-lation domain into the plasma. The sticking coefficient orprobability concerned is taken to be in the range Sq=

0.002–0.1 because saturated SiCl4 is known to have a smallprobability of Sq�0.002 �Ref. 33� or Sq�0.03 �Ref. 34� onSi, as compared to Sq�0.1–0.5 for unsaturated SiClx.

6,35

The etch products coming into the plasma are dissociatedby electron impact and/or oxidized by reaction with O atomstherein, a part of which return back to substrate surfaces asetch byproducts and then are transported in microstructuresonto feature surfaces. Regarding etch byproducts of SiClxcoming from the plasma, SiCl2 formed by electron-impactdissociation of primary etch products SiCl4 �Refs. 36 and 37�is taken into account in this study. Etch byproducts of SiOy

and SiClxOy are formed by sputtering of oxidized etch prod-ucts on chamber walls as well as substrate surfaces, and alsoby oxidized reaction of SiClx in the plasma.6 We simply as-sume that oxygen-containing byproducts coming from theplasma are SiCl2O. The etch byproducts concerned are takento stick or deposit on all feature surfaces with a probability inthe range Sp=0.1–0.5,

SiCl2�g� → Si�s� + 2Cl�s�,

SiCl2O�g� → Si�s� + 2Cl�s� + O�s�, �14�

and the surface re-emission or reflection occurs with a prob-ability �1−Sp�.

It is generally appreciated that the concentration of etchbyproducts in the plasma and thus their flux onto substratesurfaces is significantly decreased during overetch step ascompared to during main etch, because of the decreased flux

FIG. 2. Schematic of the transport of etch products and byproducts in mi-crostructural features: �a� products desorbed or sputtered from feature sur-faces and �b� byproducts coming from the plasma. The etch products such assilicon chlorides SiClx and oxychlorides SiClxOy are assumed to be desorbedthermally or removed randomly from the surfaces being etched, movestraight toward another surfaces of the feature without collision with otherparticles therein, and then stick or redeposit on all feature surfaces of maskas well as Si with a probability Sq; otherwise, they are re-emitted thermallyor reflected randomly from the feature surfaces on incidence with a prob-ability �1−Sq�, which then move further toward another surfaces of thefeature or go out of the simulation domain into the plasma. The etch prod-ucts coming into the plasma are dissociated by electron impact and/or oxi-dized by reaction with O atoms therein, a part of which return back tosubstrate surfaces as etch byproducts. The transport of byproducts in micro-structural features is taken to be similar to that of products: the etch byprod-ucts of SiClx and SiClxOy move straight toward feature surfaces, and thenstick or deposit thereon with a probability Sp, or are reemitted or reflectedtherefrom with a probability �1−Sp�.

1429 Y. Osano and K. Ono: Atomic-scale cellular model and profile 1429

JVST B - Microelectronics and Nanometer Structures

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 130.54.110.31 On: Mon, 25 Jan 2016 04:28:57

Page 8: Atomic-scale cellular model and profile simulation of poly ... · Yugo Osanoa and Kouichi Onob Department of Aeronautics and Astronautics, Graduate School of Engineering, Kyoto University,

of etch products desorbed from substrate surfaces duringoveretch. Thus, to take into account the time-varying flux ofetch byproducts coming from the plasma, we assume a re-turning probability Pr; in the calculation, byproducts SiCl2and/or SiCl2O are injected thermally with an isotropic veloc-ity distribution from the top of the simulation domain, withthe probability Pr every after the desorbed products SiClx,SiOy, and SiClxOy go out of the simulation domain into theplasma.

To represent the deposition of etch products/byproductson feature surfaces, the ballistic deposition model38 is em-ployed, as illustrated in Fig. 3, and the deposition is taken tooccur on the outermost surfaces �or at the outermost surfacecells or lattices�. We examine if there are Si atoms around theetch product/byproduct after every movement of the approxi-mate atomic separation L; if there is a Si atom at any of thefour sites neighboring to the cell or lattice where the product/byproduct is located, it is assumed to reach the surface and tostick or deposit thereon. Then, a Si atom is newly allocatedat the cell concerned, where Cl and O atoms of SiClx, SiOy,and SiClxOy are also taken to stick or adsorb simultaneously.The deposition of etch products/byproducts is treated simi-larly on mask feature surfaces as well as on those of Si beingetched.

The removal of deposited surfaces is also caused byphysical sputtering through energetic Cl+ ion bombardment;in this study, the deposited SiClx, SiOy, and SiClxOy are as-sumed to be desorbed or removed from the surface similarlyto the situation of Eqs. �2�, �3�, and �10�. It should be notedthat the desorption angle of etch products from the surfacebeing etched and the removal angle of etch products/byproducts from the surface deposited are all assumed tofollow the cosine law for simplicity, as that for the surfacere-emission or reflection of neutrals.

III. RESULTS AND DISCUSSION

Input parameters for the profile simulation are a substratetemperature Ts, incoming flux �O

0 of O atoms from the

plasma onto substrate surfaces, sticking probability Sp ofetch byproducts coming from the plasma, sticking probabil-ity Sq of etch products coming from feature surfaces, andreturning probability Pr for the etch products. Here, the in-coming flux of etch byproducts from the plasma onto sub-strate surfaces is given by �p

0 = Pr�qs during main etch, where

�qs is the flux of etch products that go out of the simulation

domain or microstructural feature into the plasma. Note thatduring overetch time, �p

0 =0 is given automatically becauseof �q

s =0. An incident Cl+ ion energy Ei=50 eV, ion tempera-ture kTi=0.5 eV �R=Ei /kTi=100�, incoming ion flux �i

0=1.0�1016 cm−2 s−1, and incoming neutral reactant-to-ionflux ratio �n

0 /�i0=10 onto substrate surfaces are fixed in this

study, which are typical conditions in low-pressure, high-density plasmas such as electron cyclotron resonance6,39,40

and inductively coupled plasmas. Moreover, the stickingprobability Sn=1 is taken for Cl atoms or neutral reactants onunsaturated surfaces of Si, and the sticking probability SO

=1 is taken for O atoms on Si surfaces except for fully oxi-dized ones.

The initial surface profile is a mask pattern feature of linesand spaces on poly-Si films of thickness HSi=200 nm. Themask pattern has a linewidth and height of Lmask=100 andHmask=100 nm, respectively, each separated by six differentspaces of width W=30, 50, 70, 100, 200, and 500 nm. Inaddition, there is a SiO2 layer underlying the poly-Si, and theetching time is 200 s in the calculation. It is assumed that themask and SiO2 are not eroded during etching, and that Cl andO neutrals are re-emitted thermally or reflected randomlythereon �Sn=SO=0�, where only the deposition of etchproducts/byproducts occurs. Note that the simulation domainconcerned here is an area covering the whole of a series ofline-and-space pattern features �not an area covering the re-spective pattern feature as shown in Fig. 1�; in more detail,the simulation domain is taken to be �x=1550 nm in widthand �z=320 nm in depth with periodic boundary conditionsin the horizontal x axis, where the number of Cl+ ions suc-cessively injected is �i

0�x�y per unit time and that of Clneutrals is �n

0�x�y ��y=L as mentioned in Sec. II�.

A. Ion-enhanced and spontaneous chemical etching

Figures 4�a�–4�c� show the etched profile evolution ofline-and-space patterns of Si, simulated for different sub-strate temperatures Ts=0, 300, and 350 K. The reactionprobability given by Eq. �6� for chemical etching increaseswith increasing Ts, being �Si/Cl=0, 0.001, and 0.004 at Ts=0, 300, and 350 K, respectively. Calculations were per-formed without deposition of etch products/byproducts andsurface oxidation �Sq=0, �p

0 =�O0 =0�. In these figures, each

curve represents the evolving interfaces obtained every 50 s,and insets are the respective vertical etch rates ER at thecenter of the W=500 nm feature bottom, which are almostthe same as the etch rates for planar surfaces or open spaces.

At low Ts=0 K or without chemical etching, anisotropicprofiles are achieved in the absence of undercutting on side-walls, resulting from the ion-enhanced etching as in our pre-vious study.17 The etched profiles exhibit a little lateral etch-

FIG. 3. Schematic of the sticking or deposition of etch products and byprod-ucts on feature surfaces, which is represented by the so-called ballistic depo-sition model. We examine if there are Si atoms around the etch product/byproducr after every movement of the approximate atomic separation L; ifthere is a Si atom at any of the four sites neighboring to the cell or latticewhere the product/byproducr is located, it is assumed to reach the surfaceand to stick or deposit thereon. Then, a Si atom is newly allocated at the cellconcerned, where Cl and O atoms of SiClx, SiOy, and SiClxOy are also takento stick or adsorb simultaneously.

1430 Y. Osano and K. Ono: Atomic-scale cellular model and profile 1430

J. Vac. Sci. Technol. B, Vol. 26, No. 4, Jul/Aug 2008

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 130.54.110.31 On: Mon, 25 Jan 2016 04:28:57

Page 9: Atomic-scale cellular model and profile simulation of poly ... · Yugo Osanoa and Kouichi Onob Department of Aeronautics and Astronautics, Graduate School of Engineering, Kyoto University,

ing on sidewalls owing to ions with off-normal incidence,and the round profiles at the feature bottom are caused by thegeometrical shadowing for incoming ions and neutrals whichreduces their fluxes incident on surfaces at around the cornerof the bottom. Moreover, the etched profiles exhibit an RIElag, where the etch rate or etched depth is smaller for nar-rower space features, because the neutral shadowing limitsthe flux of reactants of Cl incident on the bottom of thefeature.41,42

The lateral etching or undercutting beneath the mask in-creases with increasing Ts, owing to the chemical etchingeffects enhanced. The lateral etch rate beneath the mask av-eraged over the period of t=50–100 s is 0.5–1 and3–5 nm /min at Ts=300 and 350 K, respectively, which islarger for wider space features owing to the neutral shadow-ing effects reduced. In contrast, the vertical etch rate remainsalmost unchanged by varying Ts, owing to the ion-enhancedetching at the feature bottom. However, the vertical etch rateis slightly smaller at higher Ts for narrow space features ofW�70 nm, where a larger part of Cl neutrals tend to adsorbor stick and to be consumed on feature sidewalls in chemicaletching, and thus the incident Cl flux is reduced on the bot-tom of the feature; as a result, the RIE lag is more significantat higher Ts for narrow features of W�70 nm.

Figure 4�d� shows the enlarged view of evolving inter-faces beneath the mask for a line pattern between a spaceW=200 and 500 nm, obtained every 50 s at Ts=350 K. Thelateral etch rate tends to be larger during overetch step �t�90 s� than during main etch because the Cl flux incident onfeature sidewalls increases during overetch, owing to sub-stantially decreased Cl neutrals that are consumed in theetching reaction at the bottom of the feature.

Figures 5�a� and 5�b� show the distribution of Cl atoms inSi substrates on sidewalls and bottom surfaces of the feature,respectively, for a W=500 nm space at t=50 s from the startof etching in the preceding Figs. 4�a�–4�c�. Here, the depth ismeasured from the respective outermost surfaces, and thedata shown are those averaged over 125�z�175 nm forsidewalls and over 1200�x�1250 nm for bottom surfaces.On feature sidewalls, most of Cl atoms are adsorbed or lo-cated on the outermost surfaces �or at the outermost surfacecells or lattices�, where the concentration ratio Cl /Si is �4,corresponding to surfaces that are fully chlorine saturated. Incontrast, at the bottom of the feature, Cl atoms are denselydistributed over a depth of �1 nm, consisting of surface re-action multilayers formed through penetration of energeticCl+ ions into substrates during etching, which is consistentwith the XPS analysis of chlorinated surfaces of Si etched inCl2 plasmas.43 The concentration Cl /Si of �3 on the outer-most bottom surfaces is smaller than that on feature side-walls, because the surface coverage of chlorine is reduced atthe feature bottom that is being etched under ion bombard-ment.

B. Surface oxidation

Figures 6�a�–6�c� show the etched profile evolution ofline-and-space patterns of Si, simulated for different incom-ing oxygen-to-ion flux ratios �O

0 /�i0=0.1, 0.5, and 2.0 at a

substrate temperature Ts=300 K �see Fig. 4�b� for �O0 /�i

0

=0�. Calculations were performed without deposition of etchproducts/byproducts �Sq=0, �p

0 =0�. Here, the graph proper-ties are the same as those of Fig. 4. The etched profilesexhibit no undercutting beneath the mask, where the chemi-cal etching is suppressed by surface oxidation on featuresidewalls. The etch rate decreases with increasing �O

0 /�i0 ow-

ing to increased surface oxidation at the bottom of the fea-

FIG. 4. Etched profile evolution of line-and-space patterns of Si for differentsubstrate temperatures Ts= �a� 0, �b� 300, and �c� 350 K, simulated with anincident ion energy Ei=50 eV, ion temperature kTi=0.5 eV �R=Ei /kTi

=100�, incoming ion flux �i0=1.0�1016 cm−2 s−1, and neutral reactant-to-

ion flux ratio �n0 /�i

0=10 onto substrate surfaces. The mask pattern has alinewidth and height of Lmask=100 and Hmask=100 nm, respectively, eachseparated by six different spaces of width W=30, 50, 70, 100, 200, and500 nm. Note that the simulation domain concerned here is an area coveringthe whole of a series of line-and-space pattern features �not an area coveringthe respective pattern feature, as shown in Fig. 1�. Calculations were per-formed without deposition of etch products/byproducts and surface oxida-tion �Sq=0, �p

0 =�O0 =0�. Each curve represents the evolving interfaces ob-

tained every 50 s, and insets are the respective vertical etch rates ER at thecenter of the W=500 nm feature bottom, which are almost the same as theetch rates for planar surfaces or open spaces. Also shown is �d� the enlargedview of evolving interfaces beneath the mask for a line pattern between aspace W=200 and 500 nm, obtained every 50 s for Ts=350 K.

1431 Y. Osano and K. Ono: Atomic-scale cellular model and profile 1431

JVST B - Microelectronics and Nanometer Structures

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 130.54.110.31 On: Mon, 25 Jan 2016 04:28:57

Page 10: Atomic-scale cellular model and profile simulation of poly ... · Yugo Osanoa and Kouichi Onob Department of Aeronautics and Astronautics, Graduate School of Engineering, Kyoto University,

ture, which is more significant for wider space features ow-ing to the geometrical shadowing for incoming O neutrals.Thus, the RIE lag is reduced at increased �O

0 /�i0, and rela-

tively uniform etch rates are achieved for different spacefeatures at �O

0 /�i0=2.0.

It should be noted here that at high �O0 /�i

0=0.5 and 2.0,the etched profiles exhibit a weak inverse RIE lag for fea-tures in the range W=70–500 nm, where the etch rate oretched depth is slightly larger for narrower spacefeatures;40,44 and a weak RIE lag remains for features in therange W=30–70 nm, owing to reduced fluxes of O atoms �orsurface inhibitors� thereinto. Such a transition from regularto inverse RIE lag with increasing oxygen flux has beenobserved experimentally in Si etching with Cl2 /O2

plasmas;40 in addition, the coexistence of RIE lag for narrowspace features with inverse RIE lag for wider ones has alsobeen observed in SiO2 etching with CHF3 plasmas.44 More-over, the round profiles at the feature bottom tend to be sup-pressed at increased �O

0 /�i0 because the microscopically non-

uniform O flux incident on bottom surfaces leads torelatively large O coverage at the bottom center and thus toreduced etch rates thereat.

Figures 7�a�–7�d� show the distribution of Cl and O atomsin Si substrates on sidewalls and bottom surfaces of the fea-ture, for a W=500 nm space at t=50 ns from the start ofetching in the preceding Figs. 6�a�–6�c�. Here, the graphproperties are the same as those of Fig. 5. On feature side-walls, most of Cl and O atoms are adsorbed or located on theoutermost surfaces �or at the outermost surface cells or lat-tices�, where the concentration ratio �Cl+2O� /Si of �4 re-mains unchanged by varying the oxygen flux �O

0 /�i0, corre-

sponding to saturated surfaces with Cl and O; in practice, theO concentration relatively increases with increasing �O

0 /�i0.

In contrast, at the bottom of the feature, Cl atoms are denselydistributed over a depth of �1 nm, through penetration ofenergetic Cl+ ions into substrates during etching; the concen-tration �Cl+2O� /Si on the outermost bottom surfaces is �3at low �O

0 /�i0=0.1, while increases to �4 at high �O

0 /�i0=

2.0 owing to increased surface oxidation. These are consis-tent with the XPS analysis of sidewall and bottom surfacesof Si etched in Cl2 /O2 plasmas.43

FIG. 5. Distribution of Cl atoms in Si substrates on �a� sidewalls and �b�bottom surfaces of the feature, for a W=500 nm space at t=50 s from thestart of etching in the preceding Figs. 4�a�–4�c�. Here, the depth is measuredfrom the respective outermost surfaces, and the data shown are those aver-aged over 125�z�175 nm for sidewalls and over 1200�x�1250 nm forbottom surfaces.

FIG. 6. Etched profile evolution of line-and-space patterns of Si, simulatedfor different incoming oxygen-to-ion flux ratios �O

0 /�i0= �a� 0.1, �b� 0.5, and

�c� 2.0 at a substrate temperature Ts=300 K �see Fig. 4�b� for �O0 /�i

0=0�.Calculations were performed without deposition of etch products/byproducts�Sq=0, �p

0 =0�. Otherwise, the numerical conditions and graph properties arethe same as those of Fig. 4.

1432 Y. Osano and K. Ono: Atomic-scale cellular model and profile 1432

J. Vac. Sci. Technol. B, Vol. 26, No. 4, Jul/Aug 2008

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 130.54.110.31 On: Mon, 25 Jan 2016 04:28:57

Page 11: Atomic-scale cellular model and profile simulation of poly ... · Yugo Osanoa and Kouichi Onob Department of Aeronautics and Astronautics, Graduate School of Engineering, Kyoto University,

C. Redeposition of etch products desorbed fromfeature surfaces

1. Without surface oxidation „�O0 =0…

Figures 8�a�–8�c� show the etched profile evolution ofline-and-space patterns of Si, simulated for different stickingprobabilities Sq=0.002, 0.02, and 0.1 of etch products des-orbed or sputtered from feature surfaces during etching �seeFig. 4�b� for Sq=0�. Each curve represents the evolving in-terfaces every 50 s, and insets are the respective vertical etchrates ER at the center of the W=500 nm feature bottom.Calculations were made at a substrate temperature Ts=300 K, without deposition of etch byproducts coming fromthe plasma and surface oxidation ��p

0 =�O0 =0�; thus, the etch

products concerned here are silicon chlorides SiClx only. Forsmall Sq=0.002 as SiCl4,33 nearly anisotropic profiles areobtained, where the chemical etching is suppressed by rede-position of etch products on feature sidewalls.

It should be noted here that for large Sq=0.02 and 0.1 asunsaturated SiClx,

6,35 the etched profiles exhibit sidewall ta-pering, which is more significant for larger Sq. The taperangle is increased deeper toward the bottom of the featurebecause the redeposition of etch products occurs more sig-nificantly on sidewalls near the feature bottom or the primaryorigin of products; moreover, the taper angle is larger or theredeposition is more significant for narrower space features,because of more frequent incidence of products on sidewallstherein, which has been observed experimentally in Si etch-ing with Cl2 and Cl2 /O2 plasmas.40 The etch rate is almostindependent of Sq for wider features of W 50 nm, while itdecreases significantly with increasing Sq for narrow features

of W�50 nm, owing to significantly increased sidewall ta-pering therein. Thus, the degree of RIE lag remains almostunchanged by varying Sq for W 50 nm, while the RIE lagincreased at increased Sq for W�50 nm.

FIG. 7. Distribution of Cl and O atoms in Si substrates on �a� �b� sidewallsand �c� �d� bottom surfaces of the feature, for a W=500 nm space at t=50 s from the start of etching in the preceding Figs. 6�a�–6�c�. Here, thegraph properties are the same as those of Fig. 5.

FIG. 8. Etched profile evolution of line-and-space patterns of Si, simulatedfor different sticking probabilities Sq= �a� 0.002, �b� 0.02, and �c� 0.1 of etchproducts desorbed or sputtered from feature surfaces during etching �seeFig. 4�b� for Sq=0�. Calculations were made at a substrate temperature Ts

=300 K, without deposition of etch byproducts coming from the plasma andsurface oxidation ��p

0 =�O0 =0�; thus the etch products concerned here are

silicon chlorides SiClx only. Otherwise, the numerical conditions and graphproperties are the same as those of Fig. 4. Also shown are �d� a snapshot ofthe feature profile of lines and spaces for W=100, 200, and 500 nm, ob-tained at t=80 s from the start of etching for Sq=0.1, and �e� the enlargedview of evolving interfaces on mask and Si sidewalls for a line patternbetween a space W=200 and 500 nm, obtained every 50 s for Sq=0.1. In�e�, the shaded area corresponds to the SiClx layers deposited, and smallblank areas therein represent a void or a region of cells or lattices without Si.

1433 Y. Osano and K. Ono: Atomic-scale cellular model and profile 1433

JVST B - Microelectronics and Nanometer Structures

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 130.54.110.31 On: Mon, 25 Jan 2016 04:28:57

Page 12: Atomic-scale cellular model and profile simulation of poly ... · Yugo Osanoa and Kouichi Onob Department of Aeronautics and Astronautics, Graduate School of Engineering, Kyoto University,

Figure 8�d� shows a snapshot of the feature profile of linesand spaces for W=100, 200, and 500 nm, obtained at t=80 s from the start of etching for Sq=0.1. The figure exhibitsmore clearly the sidewall tapering and etch rate �or etcheddepth� that depend on space feature width as describedabove. The SiClx layers deposited are formed on mask and Sisidewalls, and their thickness is maximum on mask sidewallsa little above the mask/Si interfaces, which is �4 nm onW=200 nm feature sidewalls while �3 nm on W=500 nmsidewalls. Figure 8�e� shows the enlarged view of evolvinginterfaces on mask and Si sidewalls for a line pattern be-tween a space W=200 and 500 nm, obtained every 50 s forSq=0.1. In the figure, the shaded area corresponds to theSiClx layers deposited, and small blank areas therein repre-sent a void or a region of cells or lattices without Si. Thethickness of SiClx layers �or surface passivation layers� in-creases with time during etching at t�90 s, and then de-creases with time during overetch �t�90 s� when the featurebottom reaches the underlying SiO2 layers and so the amountof etch products desorbed from feature surfaces is substan-tially decreased; in practice, at t�150 s, the SiClx layers onsidewalls are removed away by sputtering through ion bom-bardment, and then Si sidewalls are etched to become verti-cal.

2. With surface oxidation „�O0 Å0…

Figures 9�a�–9�c� show the etched profile evolution ofline-and-space patterns of Si, simulated for different stickingprobabilities Sq=0.002, 0.02, and 0.1 of etch products withfurther adding an incoming oxygen flux �O

0 /�i0=0.5 to the

situation of the preceding Figs. 8�a�–8�c� �see Fig. 6�b� forSq=0�. Note that calculations were made only without depo-sition of etch byproducts coming from the plasma ��p

0 =0�;thus, the etch products concerned here are SiClx, SiOy,and/or SiClxOy desorbed or sputtered from feature surfaces.The profile evolution in Fig. 9 exhibits the sidewall taperingsimilar to that in the preceding Fig. 8, which is caused bysuccessive redeposition of etch products directly onto featuresidewalls during etching, being more significant for larger Sq

and for narrower space features. It should be noted here thatthe sidewall tapering is significantly enhanced with surfaceoxidation for all space features investigated, which is alsomore significant for larger Sq. Moreover, the etch rate is sig-nificantly reduced with surface oxidation for all the features,which is also more significant for larger Sq. These are attrib-uted to synergistic effects between the redeposition of etchproducts and surface oxidation, which enhance the formationof silicon oxychlorides SiClxOy layers �or surface passivationlayers� on feature sidewalls and bottom surfaces;45 in prac-tice, the synergistic effects occur for all the space featuresbecause the redeposition of etch products directly onto fea-ture surfaces is more significant for narrower space features,while the oxygen flux onto feature surfaces is more signifi-cant for wider features owing to the geometrical shadowingfor incoming O neutrals.

Moreover, the profile evolution in Fig. 9 also exhibits thedependence of the etch rate or etched depth on feature width

similar to that in Fig. 6�b�: an inverse RIE lag occurs forwider space features of W 70 nm, arising from surface oxi-

FIG. 9. Etched profile evolution of line-and-space patterns of Si, simulatedfor different sticking probabilities Sq= �a� 0.002, �b� 0.02, and �c� 0.1 of etchproducts with further adding an incoming oxygen flux �O

0 /�i0=0.5 to the

situation of the preceding Figs. 8�a�–8�c� �see Fig. 6�b� for Sq=0�. Calcula-tions were made only without deposition of etch byproducts coming fromthe plasma ��p

0 =0�; thus the etch products concerned here are SiClx, SiOy,and/or SiClxOy desorbed or sputtered from feature surfaces. Otherwise, thenumerical conditions and graph properties are the same as those of Fig. 4.Also shown are �d� a snapshot of the feature profile of lines and spaces forW=100, 200, and 500 nm, obtained at t=100 s from the start of etching forSq=0.1, and �e� the enlarged view of evolving interfaces on mask and Sisidewalls for a line pattern between a space W=200 and 500 nm, obtainedevery 50 s for Sq=0.1. In �e�, the graph properties are the same as those ofthe preceding Fig. 8�e�.

1434 Y. Osano and K. Ono: Atomic-scale cellular model and profile 1434

J. Vac. Sci. Technol. B, Vol. 26, No. 4, Jul/Aug 2008

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 130.54.110.31 On: Mon, 25 Jan 2016 04:28:57

Page 13: Atomic-scale cellular model and profile simulation of poly ... · Yugo Osanoa and Kouichi Onob Department of Aeronautics and Astronautics, Graduate School of Engineering, Kyoto University,

dation at the feature bottom through geometrical shadowingeffects for incoming O neutrals; and an RIE lag is maintainedfor narrow features of W�70 nm, owing to reduced O fluxestherein. It should be noted here that owing to synergisticeffects between the redeposition and surface oxidation, theinverse RIE lag with surface oxidation is more significant forlarger Sq; and the degree of RIE lag increased at increased Sq

is more significant with surface oxidation. In practice, thereduction in the etch rate at the feature bottom with surfaceoxidation is more significant for wider space features, whichis more significant for larger Sq; and the reduction in the etchrate at increased Sq is more significant for narrower featuresowing to significantly increased sidewall tapering, which ismore significant with surface oxidation.

Figure 9�d� shows a snapshot of the feature profile of linesand spaces for W=100, 200, and 500 nm, obtained at t=100 s from the start of etching for large Sq=0.1. The figureexhibits more clearly the sidewall tapering and etch rate �oretched depth� that depend on space feature width as de-scribed above. The thickness of SiClxOy layers formed onmask and Si sidewalls is increased with surface oxidationthat occurs simultaneously with redeposition of etch prod-ucts; their thickness is maximum on mask sidewalls a littleabove the mask/Si interfaces, which is �8 nm on W=200 nm feature sidewalls while �7 nm on W=500 nm sid-walls. Figure 9�e� shows the enlarged view of evolving in-terfaces on mask and Si sidewalls for a line pattern betweena space W=200 and 500 nm, obtained every 50 s for Sq=0.1. In the figure, the shaded area corresponds to the SiClxOy

layers formed, and small blank areas therein represent a voidor a region of cells or lattices without Si, as in Fig. 8�e�. Thethickness of SiClxOy or passivation layers increases withtime during etching at t�150 s, and then decreases withtime during overetch �t�150 s�; in practice, at t�200 s, theSiClxOy layers on sidewalls are not yet removed away bysputtering through ion bombardment, and so Si sidewalls arestill being tapered.

D. Deposition of etch byproducts coming fromthe plasma

1. Without surface oxidation „�O0 =0…

Figures 10�a�–10�c� show the etched profile evolution ofline-and-space patterns of Si, simulated for different return-ing probabilities Pr=0.2, 0.5, and 1.0 for the etch productsthat go out of the pattern feature into the plasma duringetching �see Fig. 8�a� for Pr=0 or �p

0 =0�. Each curve repre-sents the evolving interfaces every 50 s, and insets are therespective vertical etch rates ER at the center of the W=500 nm feature bottom. Here, the respective probabilities Pr

correspond to the ratios �p0 /�i

0�0.21, 0.51, and 1.0 of theetch byproducr to ion fluxes coming from the plasma ontosubstrate surfaces during main etch. Calculations were madeat a substrate temperature Ts=300 K, without only surfaceoxidation ��O

0 =0� or with further adding incoming bypro-ducr fluxes �p

0 /�i0 during main etch to the situation of Fig. 8;

thus, the etch products and byproducts concerned here aresilicon chlorides SiClx only. The sticking probability was

FIG. 10. Etched profile evolution of line-and-space patterns of Si, simulatedfor different returning probabilities Pr � �a� 0.2, �b� 0.5, and �c� 1.0 for theetch products that go out of the pattern feature into the plasma during etch-ing �see Fig. 8�a� for Pr=0 or �p=0�. Here, the respective probabilities Pr

correspond to the ratios �p0 /�i

0�0.21, 0.51, and 1.0 of the etch byproducr toion fluxes coming from the plasma onto substrate surfaces during main etch.Calculations were made at a substrate temperature Ts=300 K, without onlysurface oxidation ��O

0 =0� or with further adding incoming byproducr fluxes�p

0 /�i0 during main etch to the situation of Fig. 8; thus, the etch products and

byproducts concerned here are silicon chlorides SiClx only. The stickingprobability was taken to be small or Sq=0.002 �the same probability as inFig. 8�a�� for etch products desorbed from feature surfaces, and to be largeor Sp=0.1 assuming SiCl2 for etch byproducts coming from the plasma.Otherwise, the numerical conditions and graph properties are the same asthose of Fig. 4. Also shown are �d� a snapshot of the feature profile of linesand spaces for W=100, 200, and 500 nm, obtained at t=80 s from the startof etching for Pr=0.5, and �e� the enlarged view of evolving interfaces onmask and Si sidewalls for a line pattern between a space W=200 and500 nm, obtained every 50 s for Pr=0.5. In �e�, the graph properties are thesame as those of the preceding Figs. 8�e� and 9�e�.

1435 Y. Osano and K. Ono: Atomic-scale cellular model and profile 1435

JVST B - Microelectronics and Nanometer Structures

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 130.54.110.31 On: Mon, 25 Jan 2016 04:28:57

Page 14: Atomic-scale cellular model and profile simulation of poly ... · Yugo Osanoa and Kouichi Onob Department of Aeronautics and Astronautics, Graduate School of Engineering, Kyoto University,

taken to be small or Sq=0.002 as SiCl4 �the same as in Fig.8�a�� �Ref. 33� for etch products desorbed from feature sur-faces, and to be large or Sp=0.1 assuming SiCl2 �Ref. 6 and35� for etch byproducts coming from the plasma. In thissituation, effects of the redeposition of etch products are rela-tively small, and the deposition of silicon chlorides on fea-ture sidewalls and bottom surfaces is dominated by that ofbyproducts from the plasma.

It should be noted here that the etched profiles exhibit thesidewall tapering that is enhanced with deposition of etchbyproducts coming from the plasma, which is more signifi-cant for larger Pr or �p

0 /�i0. The taper angle is larger or the

deposition is more significant for wider space features, ow-ing to the geometrical shadowing for incoming etch byprod-ucts �or surface inhibitors�, which has been observed experi-mentally in Si etching with Cl2,40,45,46 Cl2 /O2,40,45 HBr,46

and Cl2 /HBr /O247,48 plasmas. This is in contrast to the side-

wall tapering caused by redeposition of etch products des-orbed from feature surfaces, which is more significant fornarrower features �see Fig. 8�. Moreover, the etch rate de-creases slightly with increasing Pr or �p

0 /�i0, owing to the

deposition of byproducts onto the bottom of the feature,which is relatively significant for wide space features; thus,the degree of RIE lag is reduced a little at increased Pr or�p

0 /�i0. Note that the similar behavior of etched profiles is

obtained through increasing the sticking probability Sp ofetch byproducts for a given Pr.

Figure 10�d� shows a snapshot of the feature profile oflines and spaces for W=100, 200, and 500 nm, obtained att=80 s from the start of etching for middle Pr=0.5. Thefigure exhibits more clearly the sidewall tapering and etchrate �or etched depth� that depend on space feature width asdescribed above. The SiClx layers deposited are formed onmask and Si sidewalls, and their thickness is maximum onmask sidewalls a little above the mask/Si interfaces, decreas-ing toward Si sidewalls. These are consistent with the experi-ments of Si etching in Cl2,45 Cl2 /O2,45 and Cl2 /HBr /O2

�Refs. 48 and 49� plasmas, and with a discussion regardingthe facet angle of deposited films and taper angle of side-walls during etching.11,50,51 The maximum thickness of SiClxlayers in the figure is �7 nm on W=200 nm feature side-walls while �10 nm on W=500 nm sidewalls. Figure 10�e�shows the enlarged view of evolving interfaces on mask andSi sidewalls for a line pattern between a space W=200 and500 nm, obtained every 50 s for Pr=0.5. In the figure, theshaded area corresponds to the SiClx layers deposited, andsmall blank areas therein represent a void or a region of cellsor lattices without Si, as in Figs. 8�e� and 9�e�. The thicknessof SiClx or passivation layers increases with time duringetching at t�90 s, and then decreases with time duringoveretch �t�90 s� when the feature bottom reaches the un-derlying SiO2 layers and so the amount of etch productsdesorbed from feature surfaces and thus etch byproductscoming from the plasma is substantially reduced; in practice,at t�200 s, the SiClx layers on sidewalls are removed awayby sputtering through ion bombardment, and then Si side-walls are etched to become vertical.

2. With surface oxidation „�O0 Å0…

Figures 11�a�–11�c� show the etched profile evolution ofline-and-space patterns of Si, simulated for different return-ing probabilities Pr=0.2, 0.5, and 1.0 with further adding anincoming oxygen flux �O

0 /�i0=0.5 to the situation of the pre-

ceding Figs. 10�a�–10�c� �see Fig. 9�a� for Pr=0 or �p0 =0�.

Here, the respective probabilities Pr correspond to the ratios�p

0 /�i0�0.16, 0.39, and 0.60 during main etch. Note that the

values of �p0 /�i

0 are smaller than those in Fig. 10, because ofthe etch rates decreased owing to surface oxidation. Calcu-lations were made with all the effects concerned in thisstudy; thus, the etch products concerned here are SiClx, SiOy,and/or SiClxOy desorbed or sputtered from feature surfaces,having a sticking probability Sq=0.002. Moreover, the etchbyproducts coming from the plasma are assumed to beSiCl2O having a sticking probability Sp=0.1.

The profile evolution in Fig. 11 exhibits the sidewall ta-pering similar to that in the preceding Fig. 10: the tapering iscaused by successive deposition of etch byproducts from theplasma onto feature sidewalls during etching, being moresignificant for larger Pr or �p

0 /�i0 and for wider space fea-

tures. It is noted here that the sidewall tapering is slightlyreduced with surface oxidation owing to decreased �p

0 /�i0,

which is in contrast to the effects of oxidation on the profileevolution with redeposition of etch products �see Figs. 8 and9�; however, if we compare the sidewall tapering with andwithout surface oxidation in case of similar values of �p

0 /�i0,

the tapering is slightly enhanced with oxidation, which ismore significant for larger �p

0 /�i0 and for wider space fea-

tures. Moreover, the etch rate is reduced with surface oxida-tion, as in Fig. 9, which is also more significant for larger Pr

or �p0 /�i

0 and for wider space features. These are attributed tosynergistic effects between the deposition of etch byproductsand surface oxidation, which enhance the formation of sili-con oxychlorides SiClxOy layers �or surface passivation lay-ers� on feature sidewalls and bottom surfaces;45 in practice,the synergistic effects are more significant for wider spacefeatures, because of the geometrical shadowing for etchbyproducts and oxygen coming from the plasma onto featuresurfaces.

Moreover, the profile evolution in Fig. 11 also exhibits thedependence of the etch rate or etched depth on feature widthsimilar to that in Fig. 9 �and also Fig. 6�b��: an inverse RIElag occurs for wider space features of W 70 nm, while anRIE lag is maintained for narrow features of W�70 nm. Itshould be noted here that owing to synergistic effects be-tween the deposition and surface oxidation which are bothmore significant for wider space features, the inverse RIE lagwith surface oxidation is more significant for larger Pr or�p

0 /�i0; and the degree of RIE lag slightly decreased at in-

creased Pr or �p0 /�i

0 is less significant with surface oxidation.In addition, for large Pr=1.0 or �p

0 /�i0=0.60, the increased

surface roughness occurs at the bottom of the wide spacefeature of W=500 nm, which is caused by micromasksformed through the deposition of etch byproducts enhancedwith surface oxidation during etching. This is considered tocorrespond to the situation which often occurs in Si etching

1436 Y. Osano and K. Ono: Atomic-scale cellular model and profile 1436

J. Vac. Sci. Technol. B, Vol. 26, No. 4, Jul/Aug 2008

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 130.54.110.31 On: Mon, 25 Jan 2016 04:28:57

Page 15: Atomic-scale cellular model and profile simulation of poly ... · Yugo Osanoa and Kouichi Onob Department of Aeronautics and Astronautics, Graduate School of Engineering, Kyoto University,

with Cl2 /O2 plasmas at high O2 flow rates, leading to sig-nificantly reduced etch rates along with rough surfaces.30

Figure 11�d� shows a snapshot of the feature profile oflines and spaces for W=100, 200, and 500 nm, obtained att=100 s from the start of etching for middle Pr=0.5. Thefigure exhibits more clearly the sidewall tapering and etchrate �or etched depth� that depend on space feature width asdescribed above. The thickness of SiClxOy layers formed onmask and Si sidewalls is decreased with surface oxidationthat occurs simultaneously with deposition of etch byprod-ucts; their thickness is maximum on mask sidewalls a littleabove the mask/Si interfaces, which is �3.5 nm on W=200 nm feature sidewalls while �5 nm on W=500 nmsidewalls.

Figures 11�e� and 11�f� show the enlarged or microscopicview of sidewall and bottom surface layers, respectively, forPr=0.5, together with the distribution of Cl and O atomstherein. Here, the crosses and solid gray circles representcells or lattices with not only Si but also Cl and O atomsadsorbed, respectively, and small blank areas represent avoid or a region of cells or lattices without Si, as in Figs.8�e�, 9�e�, and 10�e�. On feature sidewalls, the �5-nm-thickSiClxOy or passivation layers contain densely distributed Oand relatively sparse Cl atoms, being attributed to stronglyreactive O neutrals which displace Cl adsorbed, as men-tioned earlier �Eq. �9��. In contrast, at the bottom of the fea-ture, Cl atoms are densely distributed over a depth of�1 nm, which form SiClx or reaction multilayers on sub-strate surfaces; in practice, Cl neutrals adsorbed on bottomsurfaces are desorbed as etch products under continuousbombardment of energetic ions thereon �Eqs. �2�, �3�, and�10��, and so the adsorbed Cl atoms shown originate prima-rily from energetic Cl+ ions penetrating into substrates dur-ing etching. There are only a few O atoms adsorbed or lo-cated on the outermost surfaces �or at the outermost surfacecells or lattices� because they are also desorbed or sputteredcontinuously through ion bombardment �Eq. �10��.

Figures 12�a� and 12�b� show the distribution of Si, Cl,and O atoms in SiClxOy or passivation layers on mask and Sisidewalls of the feature, respectively, for a W=500 nm spaceat t=100 ns from the start of etching with Pr=0.5 in Fig.11�d�. Also shown in Fig. 12�c� is the distribution in SiClx orreaction multilayers on bottom surfaces in the same situation.In the figure, the thickness on sidewalls in �a� and �b� ismeasured from the position of initial mask sidewall surfaces,and the depth on bottom surfaces in �c� is measured from theoutermost surfaces; the data shown are those averaged over80�z�100 nm in �a� and 110�z�130 nm in �b� for side-walls, and over 1060�x�1090 nm in �c� for bottom sur-faces. These figures exhibit more clearly the distribution ofCl and O atoms on feature surfaces as described above. Onmask sidewalls in �a�, the thickness of SiClxOy layers is�5 nm, containing densely distributed O and relativelysparse Cl atoms, where the concentration ratio is �Cl+2O� /Si�4, corresponding to saturated surfaces with Cland O. On Si sidewalls in �b�, the thickness of SiClxOy layersis relatively thin of �3 nm, containing also densely distrib-

FIG. 11. Etched profile evolution of line-and-space patterns of Si, simulatedfor different returning probabilities Pr= �a� 0.2, �b� 0.5, and �d� 1.0 withfurther adding an incoming oxygen flux �O

0 /�i0=0.5 to the situation of the

preceding Figs. 10�a�–10�c� �see Fig. 9�a� for Pr=0 or �p0 =0�. Here, the

respective probabilities Pr correspond to the ratios �p0 /�i

0�0.16, 0.39, and0.60 during main etch. Calculations were made with all the effects con-cerned in this study; thus, the etch products concerned here are SiClx, SiOy,and/or SiClxOy desorbed or sputtered from feature surfaces, having a smallsticking probability Sq=0.002. Moreover, the etch byproducts coming fromthe plasma were assumed to be SiCl2O having a large sticking probabilitySp=0.1. Otherwise, the numerical conditions and graph properties are thesame as those of Fig. 4. Also shown are �d� a snapshot of the feature profileof lines and spaces for W=100, 200, and 500 nm, obtained at t=100 s fromthe start of etching for Pr=0.5, and the enlarged or microscopic view of �e�sidewall and �f� bottom surface layers for Pr=0.5, together with the distri-bution of Cl and O atoms therein. In �e� �f�, the crosses and solid gray circlesrepresent cells or lattices with not only Si but also Cl and O atoms adsorbed,respectively; and small blank areas represent a void or a region of cells orlattices without Si as in the preceding Figs. 8�e�, 9�e�, and 10�e�.

1437 Y. Osano and K. Ono: Atomic-scale cellular model and profile 1437

JVST B - Microelectronics and Nanometer Structures

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 130.54.110.31 On: Mon, 25 Jan 2016 04:28:57

Page 16: Atomic-scale cellular model and profile simulation of poly ... · Yugo Osanoa and Kouichi Onob Department of Aeronautics and Astronautics, Graduate School of Engineering, Kyoto University,

uted O and relatively sparse Cl atoms with the concentrationratio being �Cl+2O� /Si�4. In contrast, at the bottom of thefeature, Cl atoms are densely distributed over a depth of�1 nm, through penetration of energetic Cl+ ions into sub-strates during etching, where the concentration �Cl+2O� /Sion the outermost surfaces is �3.5. The concentration of Cland O atoms on feature sidewalls and bottom surfaces issimilar to that shown in Fig. 7, being consistent with theexperiments of Si etching in Cl2 /O2 plasmas.43

IV. CONCLUSIONS

Atomic-scale cellular model has been developed to simu-late the feature profile evolution during poly-Si gate etchingin high-density Cl2 and Cl2 /O2 plasmas, including the for-mation of passivation layers on feature surfaces. Emphasiswas placed on a deeper understanding of plasma-surface in-teractions during etching, to achieve nanometer-scale controlof the etched profile, CD, and their microscopic uniformity�or aspect-ratio dependence�. The model took into accountCl+ ions, Cl and O neutrals, and etch byproducts of SiClx andSiClxOy coming from the plasma into microstructural fea-tures; moreover, etch products such as SiClx and SiClxOy

were taken to be desorbed or sputtered from feature surfaces.The transport of ions and neutrals in microstructure was ana-lyzed by using a two-dimensional particle simulation based

on successively injected single-particle trajectories with threevelocity components; moreover, the Monte Carlo calculationwas employed for the trajectory of ions penetrating into sub-strates. The surface chemistry included ion-enhanced etch-ing, spontaneous chemical etching, and passivation layer for-mation through surface oxidation and deposition of etchproducts and byproducts. To incorporate an atomistic pictureinto the model, the computational domain of infinitely longtrenches was taken to consist of two-dimensional squarecells or lattices of atomic size, and the evolving interfaceswere represented by removing Si atoms from and/or allocat-ing them at the respective cells concerned.

Calculations were performed for different line-and-spacepattern features of down to 30 nm space width, with an in-coming ion energy, ion flux, and neutral reactant-to-ion fluxratio of Ei=50 eV, �i

0=1.0�1016 cm−2 s−1, and �n0 /�i

0=10.Numerical results reproduced the evolution of feature pro-files, CDs, and their microscopic uniformity on nanometerscale, depending on substrate temperature, incoming flux ofoxygen and etch byproducts, and sticking probability of etchproducts and byproducts on feature surfaces: the lateral etch-ing on sidewalls is suppressed by surface oxidation thereon.The oxidation also reduces the etch rate on bottom surfaces,which is more significant for wider space features, thus lead-ing to a transition from regular to inverse RIE lag with in-creasing flux of oxygen; in practice, the RIE lag remainsalmost unchanged for narrow space features owing to re-duced oxygen fluxes thereinto, thus leading to regular andinverse RIE lags coexistent in a series of different patternfeatures. The deposition or redeposition of etch products �de-sorbed from feature surfaces� onto sidewalls results in thesidewall tapering, which is more significant for narrowerspace features; in contrast, the deposition of byproducts�coming from the plasma� onto sidewalls results in the taper-ing, which is more significant for wider features. Synergisticeffects between the deposition of etch products/byproductsand surface oxidation enhance the passivation layer forma-tion on feature surfaces, which in turn increases the sidewalltapering and the degree of regular and inverse RIE lags de-pending on feature width. The present model also enabled usto simulate the surface reaction multilayers and passivationlayers on atomic scale, along with their chemical constituentsand surface roughness.

Further studies are now in progress for the presentatomic-scale model of the etched profile evolution duringpoly-Si gate etching in Cl2 /HBr /O2 and HBr /O2 as well asCl2 /O2 plasmas, further taking into account the reflection ofenergetic ions on feature surfaces and the mask erosion. Acomparison with experiments is also now in progress, to gaina better understanding of competitive and/or synergisticplasma-surface interactions during etching, which play a keyrole in suppressing nanometer-scale profile anomalies andthus in achieving the nanometer-scale control of etched pro-files, CDs, and their microscopic uniformity in advancedgate etch processes.

FIG. 12. Distribution of Si, Cl, and O atoms in SiClxOy or passivation layerson �a� mask and �b� Si sidewalls of the feature, for a W=500 nm space att=100 ns from the start of etching with Pr=0.5 in the preceding Fig. 11�d�.Also shown in �c� is the distribution in SiClx or reaction multilayers onbottom surfaces in the same situation. Here, the thickness on sidewalls in �a�and �b� is measured from the position of initial mask sidewall surfaces, andthe depth on bottom surfaces in �c� is measured from the outermost surfaces;the data shown are those averaged over 80�z�100 nm in �a� and 110�z�130 nm in �b� for sidewalls, and over 1060�x�1090 nm in �c� forbottom surfaces.

1438 Y. Osano and K. Ono: Atomic-scale cellular model and profile 1438

J. Vac. Sci. Technol. B, Vol. 26, No. 4, Jul/Aug 2008

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 130.54.110.31 On: Mon, 25 Jan 2016 04:28:57

Page 17: Atomic-scale cellular model and profile simulation of poly ... · Yugo Osanoa and Kouichi Onob Department of Aeronautics and Astronautics, Graduate School of Engineering, Kyoto University,

ACKNOWLEDGMENTS

This work was supported in part by a Grant-in-Aid forScientific Research from the Japan Society for the Promotionof Science, and in part by the 21st Century Center of Excel-lence �COE� program of the Ministry of Education, Culture,Sports, Science and Technology. The authors would like tothank Shoki Irie for assistance with profile simulation.

1E. Pargon, M. Darnon, O. Joubert, T. Chevolleau, L. Vallier, L. Mollard,and T. Lill, J. Vac. Sci. Technol. B 23, 1913 �2005�.

2K. V. Guinn and V. M. Donnelly, J. Appl. Phys. 75, 2227 �1994�.3K. V. Guinn, C. C. Cheng, and V. M. Donnelly, J. Vac. Sci. Technol. B

13, 214 �1995�.4F. H. Bell and O. Joubert, J. Vac. Sci. Technol. B 14, 2493 �1996�.5F. H. Bell and O. Joubert, J. Vac. Sci. Technol. B 15, 88 �1997�.6K. Nishikawa, T. Oomori, and K. Ono, J. Vac. Sci. Technol. B 17, 127�1999�.

7M. Tuda, K. Ono, and K. Nishikawa, J. Vac. Sci. Technol. B 14, 3291�1996�.

8M. Tuda, K. Nishikawa, and K. Ono, J. Appl. Phys. 81, 960 �1997�.9R. J. Hoekstra, M. J. Grapperhaus, and M. J. Kushner, J. Vac. Sci. Tech-nol. A 15, 1913 �1997�.

10A. P. Mahorowala and H. H. Sawin, J. Vac. Sci. Technol. B 20, 1064�2002�.

11A. P. Mahorowala and H. H. Sawin, J. Vac. Sci. Technol. B 20, 1077�2002�.

12M. E. Barone and D. B. Graves, J. Appl. Phys. 78, 6604 �1995�.13D. E. Hanson, A. F. Voter, and J. D. Kress, J. Appl. Phys. 82, 3552

�1997�.14H. Ohta and S. Hamaguchi, J. Vac. Sci. Technol. A 19, 2373 �2001�.15D. Humbird and D. B. Graves, J. Appl. Phys. 96, 791 �2004�.16A. Sano, K. Ono, K. Takahashi, and Y. Setsuhara, in Proceedings of the

Second International Symposium on Dry Process (DPS 2002), Tokyo,2002 �IEEJ, Tokyo, 2002�, pp. 177–182.

17Y. Osano and K. Ono, Jpn. J. Appl. Phys., Part 1 44, 8650 �2005�.18R. A. Gottsho, J. Vac. Sci. Technol. B 11, 1884 �1993�.19J. F. Ziegler, J. P. Biersack, and U. Littmark, The Stopping and Range of

Ions in Solids �Pergamon, New York, 1985�.20M. Tanaka, T. Shirao, T. Sakai, K. Shudo, H. Washio, and N. Kaneko, J.

Vac. Sci. Technol. A 20, 1358 �2002�.21M. Tanaka, E. Yamakawa, T. Shirao, and K. Shudo, Phys. Rev. B 68,

165411 �2003�.22D. J. D. Sullivan, H. C. Flaum, and A. C. Kummel, J. Phys. Chem. 97,

12051 �1993�.23H. C. Flaum, D. J. D. Sullivan, and A. C. Kummel, J. Phys. Chem. 98,

1719 �1994�.24J. P. Chang, A. P. Mahorowala, and H. H. Sawin, J. Vac. Sci. Technol. A

16, 217 �1998�.25S. Tachi, K. Tsujimoto, S. Arai, and T. Kure, J. Vac. Sci. Technol. A 9,

796 �1991�.26E. A. Ogryzlo, D. E. Ibbotson, D. L. Flamm, and J. A. Mucha, J. Appl.

Phys. 67, 3115 �1990�.27M. Lieberman and A. Lichtenberg, Principles of Plasma Discharges and

Materials Processing �Wiley Interscience, New York, 1994�.28J. R. Engstrom and T. Engel, Phys. Rev. B 41, 1038 �1990�.29J. R. Engstrom, M. M. Nelson, and T. Engel, J. Vac. Sci. Technol. A 7,

1837 �1989�.30T. Morimoto, Jpn. J. Appl. Phys., Part 1 32, 1253 �1993�.31L. Desvoivres, L. Vallier, and O. Joubert, J. Vac. Sci. Technol. B 19, 420

�2001�.32D. J. Oostra, R. P. van Ingen, A. Haring, A. E. de Vries, and G. N. A. van

Veen, Appl. Phys. Lett. 50, 1506 �1987�.33L. J. Whitman, S. A. Joyce, J. A. Yarmoff, F. R. McFeely, and L. J.

Terminello, Surf. Sci. 232, 297 �1990�.34P. Gupta, P. A. Coon, B. G. Koehler, and S. M. George, J. Chem. Phys.

93, 2827 �1990�.35T. Sakai, A. Sakai, and H. Okano, Jpn. J. Appl. Phys., Part 1 32, 3089

�1993�.36V. M. Donnelly, J. Appl. Phys. 79, 9353 �1996�.37C. Lee, D. B. Graves, and M. A. Lieberman, Plasma Chem. Plasma

Process. 16, 99 �1996�.38R. N. Tait, T. Smy, and M. J. Brett, Thin Solid Films 187, 375 �1990�.39K. Ono, M. Tuda, K. Nishikawa, T. Oomori, and K. Namba, Jpn. J. Appl.

Phys., Part 1 33, 4424 �1994�.40M. Tuda and K. Ono, Jpn. J. Appl. Phys., Part 1 36, 2482 �1997�.41R. A. Gottscho, C. W. Jurgensen, and D. J. Vitkavage, J. Vac. Sci. Tech-

nol. B 10, 2133 �1992�.42A. D. Bailey III, M. C. M. van de Sanden, J. A. Gregus, and R. A.

Gottscho, J. Vac. Sci. Technol. B 13, 92 �1995�.43N. Layadi, V. M. Donnelly, and T. C. Lee, J. Appl. Phys. 81, 6738

�1997�.44M. F. Doemling, N. R. Rueger, and G. S. Oehrlein, Appl. Phys. Lett. 68,

10 �1996�.45Y. Osano, M. Mori, N. Itabashi, K. Takahashi, K. Eriguchi, and K. Ono,

Jpn. J. Appl. Phys., Part 1 45, 8157 �2006�.46A. P. Mahorowala, H. H. Sawin, R. Jones, and A. H. Labun, J. Vac. Sci.

Technol. B 20, 1055 �2002�.47M. Tuda, K. Shintani, and H. Ootera, J. Vac. Sci. Technol. A 19, 711

�2001�.48X. Detter, R. Palla, I. Thomas-Boutherin, E. Pargon, G. Cunge, O. Jou-

bert, and L. Vallier, J. Vac. Sci. Technol. B 21, 2174 �2003�.49M. Tuda, K. Shintani, and J. Tanimura, Plasma Sources Sci. Technol. 12,

S72 �2003�.50V. Vahedi, J. Daugherty, S. Huang, D. Cooperberg, R. A. Gottscho, H. J.

Tao, H. J. Lin, C. S. Tsai, and M. S. Liang, in Proceedings of the 21stSymposium on Dry Process (DPS 1999), Tokyo, 1999 �IEEJ, Tokyo,1999�.

51D. J. Cooperberg, V. Vahedi, and R. A. Gottscho, J. Vac. Sci. Technol. A20, 1536 �2002�.

1439 Y. Osano and K. Ono: Atomic-scale cellular model and profile 1439

JVST B - Microelectronics and Nanometer Structures

Redistribution subject to AVS license or copyright; see http://scitation.aip.org/termsconditions. Download to IP: 130.54.110.31 On: Mon, 25 Jan 2016 04:28:57