optimally minimizing overlay violation in self-aligned double patterning decomposition for row-based...

Post on 22-Dec-2015

214 Views

Category:

Documents

0 Downloads

Preview:

Click to see full reader

TRANSCRIPT

Optimally Minimizing Overlay Violation in Self-aligned Double Patterning Decomposition for Row-based Standard Cell Layout in Polynomial Time

Z. Xiao, Y. Du, H. Tian, M. D.F. Wong

Department of ECE

University of Illinois at Urbana-Champaign

ICCAD 2013

Outline

Introduction Preliminaries SADP Decomposition Algorithm for Row-based

Standard Cell Layout Experiments Conclusion

Introduction

Self-aligned double patterning (SADP) is one of the most promising double patterning techniques for sub-20nm nodes.

Introduction

This paper focus on SADP decomposition problem for row-based standard cell layout.

The objective is to minimize the total number of overlay violations.

Preliminaries

Overlay Violation The critical sides that are not protected by sidewalls. Consider the line ends of the feature as non-critical, while

the sides are critical.

Preliminaries

SADP Decomposition in Row-based Standard Cell Layout The standard cells in a library have the same height but may

have different width. Multiple rows are stacked vertically to complete a row-based

standard cell layout.

Standard Cell A standard cell row

Preliminaries

Problem Definition Given a row-based standard cell layout with

fixed height. Our objective is to decompose the layout into a

set of core patterns and block patterns for SADP patterning.

The number of overlay violations is minimized.

Preliminaries

SADP Mask Rules The minimum width of a core (block) pattern is d The minimum distance between two adjacent

patterns is s The width of sidewalls is w

SADP Decomposition Algorithm

Two methods to generate a feature: Use a core pattern that has an exact same shape as the

feature. An auxiliary core pattern is placed along the feature sides,

such that the sidewalls generated define the feature.

SADP Decomposition Algorithm

Finding decomposition from an assigment Merge a pair of conflicting features when they ar

e both assigned as cores.

Core-core-merge (CCM)

SADP Decomposition Algorithm

Finding decomposition from an assigment Feature B is assigned as core and merges with t

he auxiliary core of A.

Core-aux-merge (CAM)

SADP Decomposition Algorithm

Finding decomposition from an assigment Removal of the conflicting part of auxiliary core. Merging conflicting main core and auxiliary core.

Core-aux-merge (CAM)Core-aux-removal (CAR)

SADP Decomposition Algorithm

Finding decomposition from an assigment Two auxiliary cores can be merged together dire

ctly.

Aux-aux-merge (AAM)

An Example

An Example

An Example

Experiments

Conclusion

This paper discussed the SADP decomposition for row-based standard cell layout.

Experimental results with industrial level standard cells showed that the proposed method can solve large scale problems in a relatively short time.

top related