adhesive wafer bonding - citeseer

28
APPLIED PHYSICS REVIEWS–FOCUSED REVIEW Adhesive wafer bonding F. Niklaus a Microsystem Technology, Department of Signals, Sensors and Systems, Royal Institute of Technology (KTH), SE-100 44 Stockholm, Sweden and Center for Integrated Electronics, Rensselaer Polytechnic Institute, Troy, New York 12180 G. Stemme Microsystem Technology, Department of Signals, Sensors and Systems, Royal Institute of Technology (KTH), SE-100 44 Stockholm, Sweden J. -Q. Lu and R. J. Gutmann Center for Integrated Electronics, Rensselaer Polytechnic Institute, Troy, New York 12180 Received 29 August 2005; accepted 5 January 2006; published online 9 February 2006 Wafer bonding with intermediate polymer adhesives is an important fabrication technique for advanced microelectronic and microelectromechanical systems, such as three-dimensional integrated circuits, advanced packaging, and microfluidics. In adhesive wafer bonding, the polymer adhesive bears the forces involved to hold the surfaces together. The main advantages of adhesive wafer bonding include the insensitivity to surface topography, the low bonding temperatures, the compatibility with standard integrated circuit wafer processing, and the ability to join different types of wafers. Compared to alternative wafer bonding techniques, adhesive wafer bonding is simple, robust, and low cost. This article reviews the state-of-the-art polymer adhesive wafer bonding technologies, materials, and applications. © 2006 American Institute of Physics. DOI: 10.1063/1.2168512 TABLE OF CONTENTS I. INTRODUCTION............................ 1 II. ADHESIVE BONDING MECHANISMS........ 4 III. POLYMER ADHESIVES..................... 6 A. Hardening and polymerization of polymers.. 6 B. General properties of polymers............ 6 C. Deposition of polymers on wafer surfaces... 7 D. Polymer adhesives for wafer bonding....... 7 IV. ADHESIVE WAFER BONDING TECHNOLOGY............................ 9 A. Wafer bonding tools and wafer-to-wafer alignment techniques.................... 9 B. Adhesive wafer bonding processes......... 11 1. Adhesive wafer bonding with unpatterned adhesive layers............. 11 2. Adhesive wafer bonding with patterned adhesive layers....................... 12 V. BOND CHARACTERIZATION................ 14 A. Bond interface imaging.................. 15 B. Bond strength measurements.............. 15 C. Bond hermeticity measurements........... 16 VI. APPLICATIONS OF ADHESIVE WAFER BONDING................................ 17 A. Fabrication of three-dimensional integrated circuits................................ 17 B. Film and device transfer for integration of MEMS and electronic circuits............. 18 C. Temporary adhesive bonding for film and device transfer using handling wafer........ 19 D. Wafer-level packaging................... 21 E. Fabrication of microfluidic and bioMEMS components............................ 23 VII. FUTURE DEVELOPMENTS AND CONCLUSIONS........................... 24 I. INTRODUCTION Bonding two substrates or wafers together has been an important process in the fabrication of both microelectronic systems and microelectromechanical systems MEMS for years. Wafer bonding enables the fabrication of unique types of substrates and allows fabrication and packaging of com- plex three-dimensional 3D microcomponents. Historically, some of the earliest uses of wafer bonding were in fabrica- tion and packaging of pressure sensors. 1,2 The main commer- cial applications of wafer bonding are the fabrication of silicon-on-insulator SOI substrates and packaging of inertia and pressure sensors for automotive and consumer products. 3–6 The wide variety of wafer bonding techniques include direct bonding, 4–6 anodic bonding, 4–6 solder bonding, 7 eutec- tic bonding, 8 thermocompression bonding, 9 direct metal-to- metal bonding, 10 ultrasonic bonding, 11 low-temperature melt- a Electronic mail: [email protected] JOURNAL OF APPLIED PHYSICS 99, 031101 2006 0021-8979/2006/993/031101/28/$23.00 © 2006 American Institute of Physics 99, 031101-1 Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

Upload: others

Post on 11-Feb-2022

11 views

Category:

Documents


0 download

TRANSCRIPT

APPLIED PHYSICS REVIEWS–FOCUSED REVIEW

JOURNAL OF APPLIED PHYSICS 99, 031101 �2006�

Adhesive wafer bondingF. Niklausa�

Microsystem Technology, Department of Signals, Sensors and Systems, Royal Institute of Technology (KTH),SE-100 44 Stockholm, Sweden and Center for Integrated Electronics, Rensselaer Polytechnic Institute,Troy, New York 12180

G. StemmeMicrosystem Technology, Department of Signals, Sensors and Systems, Royal Institute of Technology (KTH),SE-100 44 Stockholm, Sweden

J. -Q. Lu and R. J. GutmannCenter for Integrated Electronics, Rensselaer Polytechnic Institute, Troy, New York 12180

�Received 29 August 2005; accepted 5 January 2006; published online 9 February 2006�

Wafer bonding with intermediate polymer adhesives is an important fabrication technique foradvanced microelectronic and microelectromechanical systems, such as three-dimensionalintegrated circuits, advanced packaging, and microfluidics. In adhesive wafer bonding, the polymeradhesive bears the forces involved to hold the surfaces together. The main advantages of adhesivewafer bonding include the insensitivity to surface topography, the low bonding temperatures, thecompatibility with standard integrated circuit wafer processing, and the ability to join different typesof wafers. Compared to alternative wafer bonding techniques, adhesive wafer bonding is simple,robust, and low cost. This article reviews the state-of-the-art polymer adhesive wafer bondingtechnologies, materials, and applications. © 2006 American Institute of Physics.�DOI: 10.1063/1.2168512�

TABLE OF CONTENTS

I. INTRODUCTION. . . . . . . . . . . . . . . . . . . . . . . . . . . . 1II. ADHESIVE BONDING MECHANISMS. . . . . . . . 4III. POLYMER ADHESIVES. . . . . . . . . . . . . . . . . . . . . 6

A. Hardening and polymerization of polymers. . 6B. General properties of polymers. . . . . . . . . . . . 6C. Deposition of polymers on wafer surfaces. . . 7D. Polymer adhesives for wafer bonding. . . . . . . 7

IV. ADHESIVE WAFER BONDINGTECHNOLOGY. . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

A. Wafer bonding tools and wafer-to-waferalignment techniques. . . . . . . . . . . . . . . . . . . . 9

B. Adhesive wafer bonding processes. . . . . . . . . 111. Adhesive wafer bonding with

unpatterned adhesive layers. . . . . . . . . . . . . 112. Adhesive wafer bonding with patterned

adhesive layers. . . . . . . . . . . . . . . . . . . . . . . 12V. BOND CHARACTERIZATION. . . . . . . . . . . . . . . . 14

A. Bond interface imaging. . . . . . . . . . . . . . . . . . 15B. Bond strength measurements. . . . . . . . . . . . . . 15C. Bond hermeticity measurements. . . . . . . . . . . 16

VI. APPLICATIONS OF ADHESIVE WAFERBONDING. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17A. Fabrication of three-dimensional integrated

circuits. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

a�

Electronic mail: [email protected]

0021-8979/2006/99�3�/031101/28/$23.00 99, 03110

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

B. Film and device transfer for integration ofMEMS and electronic circuits. . . . . . . . . . . . . 18

C. Temporary adhesive bonding for film anddevice transfer using handling wafer. . . . . . . . 19

D. Wafer-level packaging. . . . . . . . . . . . . . . . . . . 21E. Fabrication of microfluidic and bioMEMS

components. . . . . . . . . . . . . . . . . . . . . . . . . . . . 23VII. FUTURE DEVELOPMENTS AND

CONCLUSIONS. . . . . . . . . . . . . . . . . . . . . . . . . . . 24

I. INTRODUCTION

Bonding two substrates or wafers together has been animportant process in the fabrication of both microelectronicsystems and microelectromechanical systems �MEMS� foryears. Wafer bonding enables the fabrication of unique typesof substrates and allows fabrication and packaging of com-plex three-dimensional �3D� microcomponents. Historically,some of the earliest uses of wafer bonding were in fabrica-tion and packaging of pressure sensors.1,2 The main commer-cial applications of wafer bonding are the fabrication ofsilicon-on-insulator �SOI� substrates and packaging of inertiaand pressure sensors for automotive and consumerproducts.3–6

The wide variety of wafer bonding techniques includedirect bonding,4–6 anodic bonding,4–6 solder bonding,7 eutec-tic bonding,8 thermocompression bonding,9 direct metal-to-metal bonding,10 ultrasonic bonding,11 low-temperature melt-

© 2006 American Institute of Physics1-1

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-2 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

ing glass bonding,12 and adhesive bonding.13–16 Table Iliststhese wafer bonding techniques and their main character-istics.

In direct or fusion bonding, two wafers are contactedwithout the assistance of any significant pressure, electricalfields, or intermediate layers. Direct wafer bonding typicallyinvolves wafer surface preparation and cleaning, room tem-perature contacting of the wafers and an annealing step toincrease the bond strength. Very flat wafer surfaces are re-quired to achieve reliable and high yield bonds. Typical an-nealing temperatures for silicon-to-silicon direct bonding are

TABLE I. Commonly used wafer bonding technique

Wafer bondingtechnique

Typical bondingconditions Ad

Direct bonding 600–1200 °CRoom-temperatureschemes have beenreportedSmall or no bondpressure

com

Anodic bonding 150–500 °C200–1500 VNo bond pressure

wiwi

Solder bonding 150–450 °CLow bond pressure

Eutectic bonding 200–400 °CLow to moderatebond pressure

sur

Thermocompressionbonding anddirect metal-to-metal bonding

350–600 °C100–800 MPa�high bondpressure�

bo�

Ultrasonic bonding Room temperatureup to 250 °CHigh bondpressure

are

Low-temperaturemelting glassbonding

400–1100 °CLow to moderatebond pressure

com

Adhesive bonding Room temperatureup to 400 °CLow to moderatebond pressure

subele�

between 600 and 1200 °C. Room-temperature silicon-to-

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

silicon bonding schemes with special wafer surface treat-ments have also been reported. Direct bonding usually leadsto strong bonds and is widely used in SOI technology.

Anodic or field assisted bonding is based on joining anelectron conducting material �e.g., silicon� and a materialwith ion conductivity �e.g., alkali-containing glass�. The twocontacted wafers are heated to 180–500 °C to mobilize theions while a voltage of 200–1500 V is applied. The voltagecreates a large electric field that pulls the wafer surfaces intointimate contact and fuses them together. Due to the highforces of attraction that are created by the electric field, an-

fs. 1–15�.

ges and disadvantagesApplicationareas

bond strengtheticant to high temperaturessurface flatness requiredbond temperatures not alwaysble with electronic wafers

SOI waferfabrication

bond strengtheticant to high temperaturetemperatures in combination

ltage not always compatiblectronic wafers

Sensorpackaging

bond strengtheticatible with electronic wafersr flux

Bump and flip-chip bonding

bond strengtheticatible with electronic waferstive to native oxides at

HermeticpackagingBump and flip-chip bonding

eticatible with electronic wafershigh net forces for full waferrequired

surface flatness required

Wire bondingBump and flip-chip bonding,e.g., 3D-ICs

atible with electronic wafersdemonstrated for small bond

Wire bonding

bond strengthetictemperatures not always

ble with electronic wafers

Sensorpackaging

bond strengthond temperature

s practically with anye material includingic wafersrmetic bondsd temperatures stability

MEMS, Sensorpackaging,3D-ICs,temporary bonds

s �Re

vanta

highhermresisthighhigh

pati

highhermresistbondth voth ele

highhermcompsolde

highhermcompsensifaces

hermcompveryndinghigh

componlyas

highhermbond

pati

highlow bworkstratctronno helimite

odic bonding is more tolerant to surface roughness than di-

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-3 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

rect bonding. Anodic bonding usually leads to strong andhermetic bonds and is widely used for microsensor fabrica-tion and for hermetic sealing of micromachined devices.

In solder bonding, layers of metal or metal-alloy-basedsolders are used to bond two wafers. Usually metal layers aredeposited on both wafers. The metal solders can be appliedby sputtering, evaporation, chemical-vapor deposition, elec-troless plating, electroplating, or by another suitable tech-nique. The wafers are brought into close contact and areheated to the melting temperature of the solder. The solderreflows and wets both wafer surfaces, which causes intimatecontact and bonding of the surfaces. A popular solder mate-rial is lead-tin �Pb–Sn� solder, that melts at a temperature of360 °C. The gold-tin �Au–Sn� and tin-copper �Sn–Cu� sol-ders are also suitable solder materials. Most solder bondingprocesses use flux to remove oxides from the metal surfaces.Any oxides present at the metal surfaces can prevent thewetting of the surfaces with the liquid solder, which causespoor bonding. The advantages of solder bonding are the lowbonding temperatures and the ability to join various wafermaterials with a hermetic bond. The solder reflow processcan also tolerate, to some extent, particles and structures atthe wafer surfaces. Solder bonding is widely used to createelectrical contacts in flip-chip bonding.

Eutectic bonding is a variation of solder bonding inwhich the low melting temperatures of certain alloys areused to bond at low temperatures. This effect can be used tojoin two wafers with dissimilar surface materials. When thewafers are brought into intimate contact, diffusion mecha-nisms between the surface materials cause the creation of analloy at the bond interface. The alloy has a lower meltingtemperature than the individual materials. Thus, the meltingof the alloy occurs only in a very thin layer directly at thebond interface. The most commonly used material combina-tion is silicon �Si� and gold �Au� with a eutectic temperatureof 363 °C. Also, other material combinations such as lead�Pb� and tin �Sn� have been used for eutectic bonding. Eu-tectic bonding can result in strong and hermetic bonds at lowtemperatures and is often used for the hermetic sealing ofmicromachined transducers. The disadvantage of eutecticbonding is the difficulty to obtain complete bonding overlarge areas due to native oxides at the material surfaceswhich prevents the bonding from occurring.

Thermocompression bonding, metal-to-metal directbonding, and ultrasonic bonding are related bonding schemesin which two surfaces are brought into close contact by ap-plying pressure and heat. Typically at least one of the sur-faces consists of a metal. The applied pressure and heatcauses plastic deformation and fusion of the opposing sur-faces. Instead of directly heating the bond interface, the heatcan also be applied by ultrasonic energy �ultrasonic bond-ing�. The application of ultrasonic energy also has the advan-tage that native oxides, particles, and surface nonuniformitiesat the bond interface are removed by scrubbing the surfacesat the bond interface. Common materials for thermocompres-sion bonding, metal-to-metal direct bonding, and ultrasonicbonding are gold to gold, copper to copper, aluminum togold, and aluminum to glass bonding. Typical bonding pa-rameters for gold to gold thermocompression bonding are

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

pressures of about 300 MPa and temperatures of about500 °C. The disadvantage of thermocompression and ultra-sonic bonding is that large net forces are required whenbonding larger wafer areas. Thus, thermocompression bond-ing, metal-to-metal direct bonding, and ultrasonic bondingare mainly used in wire bonding schemes and in bump bond-ing schemes.

In low-temperature melting glass bonding an inorganiclow-temperature melting glass layer is used as the interme-diate bonding material. The glass or glass frit layer is depos-ited on one or both of the wafers, e.g., by spraying, screen-printing, extrusion, sedimentation, or spin coating. Thewafers are brought into intimate contact and heated. Theglass deforms or reflows and makes sufficiently close contactwith the wafer surfaces to create a bond between them. Twodifferent types of glasses are available: Devitrifying glassesand vitreous glasses. Devitrifying glasses are thermosettingmaterials, which crystallize during the heating process. Themelting point of these glasses is permanently increased afterthe curing process. Vitreous glasses are thermoplastic mate-rials, which melt and flow at the same melting temperatureeach time they are thermally processed. Glasses with curingtemperatures between �400 and 1100 °C are available. Theadvantages of low-temperature melting glass bonding are theability to join various wafer materials and to achieve her-metic bonds. Other advantages include their relatively lowbonding temperatures and their tolerance of particles andstructures at the wafer surfaces, to some extend. Low-temperature melting glass bonding is often used in fabrica-tion and hermetic packaging of microsensors.

In adhesive bonding, an intermediate adhesive layer isused to create a bond between two surfaces to hold themtogether. Although successfully used in many industries in-cluding airplane, aerospace, and car manufacturing industriesto join various similar and dissimilar materials, adhesivebonding did not have a significant role during initial semi-conductor wafer bonding research. The review article “Ad-hesive bonding in microelectronics and photonics” from Ya-cobi et al.17 and the book Adhesive Bonding in PhotonicsAssembly and Packaging from Yacobi and Hubert18 summa-rize the applications of adhesive bonding for microelectronicand photonic components and are largely concerned withrelatively small bonding areas �chip-level bonding�. In con-trast to these applications, recent research and developmentof adhesive wafer bonding involves bonding of large sub-strates using the well-defined and defect-free intermediateadhesive layers. In some applications, precise wafer-to-waferalignment of the bonded wafer pairs is required. Recent de-velopments of reliable and high yield adhesive bonding pro-cesses have made adhesive wafer bonding a generic and insome cases enabling wafer bonding technique for a variety ofapplications.

In the most commonly used adhesive wafer bonding pro-cesses, a polymer adhesive is applied to one or both of thewafer surfaces to be bonded. After joining the wafer surfacesthat are covered with the polymer adhesive, pressure is ap-plied to force the wafer surfaces into intimate contact. Thepolymer adhesive is then converted from a liquid or vis-coelastic state into a solid state, typically done by exposing

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-4 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

the polymer adhesive with heat or ultraviolet �UV� light. Themain advantages of adhesive wafer bonding include the rela-tively low bonding temperatures �depending on the polymermaterial between room temperature and 450 °C�, the insen-sitivity to the topology of the wafer surfaces, the compatibil-ity with standard complementary metal-oxide semiconductor�CMOS� wafers and the ability to join practically any wafermaterials. Adhesive wafer bonding does not require specialwafer surface treatments such as planarization and excessivecleaning. Structures and particles at the wafer surfaces can betolerated and compensated to some extent by the polymeradhesive. While adhesive wafer bonding is a comparablysimple, robust, and low-cost process, concerns such as lim-ited temperature stability and limited data about the long-term stability of many polymer adhesives in demanding en-vironments need to be considered. Also, adhesive waferbonding does not provide hermetically sealed bonds towardsgasses and moisture.13,16,17

This article reviews the state-of-the-art adhesive waferbonding technologies, materials, and applications using syn-thetic organic adhesives, which are typically composed ofpolymers. This review does not include wafer bonding usingmetals,7–11 low-temperature melting glasses,12 or other mate-rials that are sometimes referred to as intermediate adhe-sives.

II. ADHESIVE BONDING MECHANISMS

The basic principle that all bonding techniques have incommon is that two materials adhere to each other if they arebrought in sufficiently close contact. The cohesion of atomsand molecules within a solid material as well as the adhesionof atoms and molecules in between two solid materials isensured by four basic bond types, which are

1. Covalent bonds2. Van der Waals bonds3. Metallic bonds4. Ionic bonds

These bond types are based on electromagnetic �coulom-bic� forces resulting from the attraction of opposing electri-cal charges. Covalent bonds and van der Waals bonds are thedominant bonding mechanisms in most wafer bonding tech-niques. Metallic bonds occur only in metals and ionic bondsoccur in ionic materials. Table II shows a comparison of thetypical energy content of the different bond types and Fig. 1shows the energy content of a covalent and two van derWaals bonds versus the distance between atoms. To accom-plish covalent and van der Waals bonds, the atoms of twoopposing surfaces must be less than 0.3–0.5 nm apart19 asdepicted in Fig. 1. The resulting bonds have varying energiesthat depend on the surface materials and the distance be-tween the atoms of the surfaces, but none of the bonds ex-tend further than 0.5 nm.4,19 Macroscopically flat surfaces,such as the surfaces of polished silicon �Si� wafers, have aroot-mean-square roughness of 0.3–0.8 nm. Nevertheless,the profile depth �peak to valley� of these surfaces is severalnanometers, which typically prevents bonding over largersurface areas. Figure 2�a� shows a schematic drawing of the

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

contact interface of two solid surfaces that are macroscopi-cally flat, but exhibit surface roughness on a microscopiclevel. In order to bring two material surfaces in sufficientlyclose contact to achieve bonding, at least one material sur-face must deform to fit the other. This deformation may beaccomplished by plastic or elastic deformation, by diffusionof a solid material or by wetting of a surface with a liquidmaterial. Practically all wafer bonding techniques uses oneof these mechanisms to establish bonding between wafer sur-faces.

Several theories for adhesive bonding have been pro-posed, including �a� adsorption theory, �b� chemical bonding,�c� diffusion theory, �d� electrostatic attraction, �e� mechani-cal interlocking, and �f� weak boundary layer theory. Theadsorption theory relates adhesion to the interatomic and in-termolecular attractive forces and has found substantial ex-perimental support. In the adsorption theory, the wetting of asurface by the adhesive is a key factor in determining thestrength of the adhesive bond as described below. A detaileddiscussion of the proposed alternative theories for adhesioncan be found in Refs. 17–19.

In adhesive wafer bonding, a polymer adhesive is placedin between the bonded wafer pair, bearing the forces

TABLE II. Comparison of the energy content of various bond types �Refs. 6and 19�.

Bond typeEnergy content

�kJ/mol�

Ionic bonds 590–1050Covalent bonds 563–710Metallic bonds 113–347

Van der Waals �intermolecular� bondsHydrogen bonds with fluorine �42Hydrogen bonds without fluorine 10–26Other dipole-dipole bonds 4–21Dipole-induce dipole bonds �2Dispersion bonds 0.08–42

FIG. 1. The energy content of covalent and two van der Waals bonds vs the

distance between atoms �Ref. 19�.

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-5 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

involved to hold the wafer surfaces together. Wafer bondingwith polymer adhesives is, like most bonding techniques,based on the fact that atoms and molecules adhere to eachother when they are brought in sufficiently close contact. Foratoms and molecules to adhere, they must be brought to adistance of less than 0.5 nm. When an intermediate polymeradhesive is used to join two solid-state surfaces, the polymeradhesive deforms to fit the surfaces to be bonded. Polymeradhesives are typically in a liquid or semiliquid phase duringpart of the bonding process and wet the surfaces to bebonded by flowing into the troughs of the surface profile.The liquid polymer adhesive must then harden into a mate-rial that is capable of bearing the forces involved to hold thesurfaces together. Wetting of the surfaces by the liquid or

FIG. 2. �a� Contact interface of two macroscopically flat solid surfaces, �b�boundary layer of a solid surface and a liquid that is not wetting and �c� onethat is wetting the surface �Ref. 19�.

semiliquid polymer adhesive is critical in adhesive bonding.

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

Figure 2�b� shows a schematic drawing of a liquid that doesnot wet the surface and Fig. 2�c� shows a liquid that does wetthe surface. For wetting to occur, the solid surface must havea greater surface energy than the liquid. The surface energyis a result of unbalanced cohesive forces at the material sur-face. A higher cohesive force between the atoms or mol-ecules of a material correlates to a higher surface energy.19

The surface energies of different solid and liquid materialsare listed in hierarchical order in Fig. 3. A liquid can wet asolid material only if placed on a lower level in Fig. 3, i.e., ifthe liquid has a lower surface energy than the solid.

The degree of wetting of a surface with a liquid adhesivecan be reduced by surface contaminants, such as the weaklyadsorbed organic molecules or by condensed moisture. Thedegree of wetting can also be influenced by the microscopicsurface profile and by dust particles on the surface. Cleanand contaminant free surfaces can be achieved with cleaningprocedure using solvents, oxidants, strong acids, or bases.Surface pretreatment with adhesion promoters can signifi-cantly improve the wettability of a surface. Adhesion pro-moters typically consist of a very thin coating of a fewmonolayers that bonds well to the surface and that enhancesthe bonding of the polymer adhesive to the surface. Specificadhesion promotes are often recommended by the materialsuppliers for certain combinations of surface material andpolymer adhesive. A detailed discussion of surface energymeasurements and surface wettability can be found in Ref.17.

The more complete the polymer adhesive flows into andfills the troughs of a surface profile the better is the resultingbond quality and the long-term stability of the bond. Polymeradhesives that have low viscosity and low shrinkage duringhardening generally achieve better filling of the troughs of asurface profile which decreases the amount of unfilled spaceat the bond interface. Small molecules such as water or gasmolecules can creep or diffuse in the unfilled space at theboundary layer between the adhesive and the surface andmay decrease the bond energy or affect the materials at theboundary layer.

FIG. 3. Surface energy of different solid and liquid materials �Ref. 19�.

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-6 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

III. POLYMER ADHESIVES

Polymers are large molecules �macromolecules� consist-ing of large numbers of linked small molecules �monomers�.The joining process of the monomers is called polymeriza-tion. The molecular chains, typically 0.2–1 nm wide and upto several hundreds of nanometers long,20,21 and their inter-nal structure determine the specific properties of polymers.Polymers can be placed into the four broad material classes�I� thermoplastics, �II� thermosettings, �III� elastomers, and�IV� hybrid polymers. Thermoplastic polymers solidify bycooling and can be remelted. Thermosetting polymers un-dergo cross-linking to form a three-dimensional network and,unlike thermoplastics, cannot be remelted or reshaped. How-ever, they do flow for a short time when heated the first timeto achieve cross-linking. The distinguishing characteristics ofelastomeric materials are their ability to sustain large defor-mations �five to ten times the unstretched dimensions� withrelatively low stresses and their ability to spontaneously re-cover their original shape without rupturing. Hybrid poly-mers are alloys and blends of polymers from the three pre-vious classes, which form materials whose properties andcharacteristics can be quite different from the individualcomponents. In principle, polymers from all four materialclasses can be used as adhesive materials.20,21

A. Hardening and polymerization of polymers

A polymer adhesive must exist in a liquid, semiliquid, orviscoelastic phase during the adhesive bonding process toachieve sufficiently close contact with the surfaces to bebonded. The adhesive must then transform into a solid ma-terial to achieve a lasting bond. The three basic ways forpolymer adhesives to harden and transform from a liquidphase into a solid phase are19

• Polymers dissolved in water or in solvents hardenwhen the water or solvents are evaporated, are calleddrying adhesives.

• Thermoplastic polymers melt when heated to theirmelting temperature and solidify upon cooling belowtheir melting temperature, are called hot melts.

• Polymer precursors cure �polymerize� by chemical re-actions that form larger molecules or molecular chains,with the polymer precursor either in a liquid phase�e.g., resins� prior to curing or transform from a solidinto a liquid phase for some time during the curingprocess. The curing process can be triggered or main-tained by various mechanisms such as, e.g.,

�1� Mixing of two or more components (e.g., two-componentepoxies)

�2� Heating (e.g., many thermosetting adhesives and ep-oxies)

�3� Illumination with light (e.g., UV light curable adhesives)�4� Presence of moisture (e.g., some polyurethanes and cy-

anoacrylates)�5� Absence of oxygen (e.g., anaerobic adhesives)

In practical cases, the above mentioned hardening andcuring principles are very often combined in various ways.

For example, solvent-based epoxies both dry and cure. Sol-

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

vents in thermosetting materials are often employed to real-ize low viscosity adhesives, with the solvents evaporated be-fore or during the curing �polymerization� process. Anotherexample of combining the hardening and curing principles isa two component adhesive for which the start of the curingprocess is triggered with UV light illumination. The curingprocess continues to proceed even after the UV light illumi-nation is removed. Very often, the polymerization process ofUV curable polymers can be supported and intensified byadditional heat treatment. Tacky, pressure sensitive adhe-sives, such as used on tapes, are highly viscous polymers thatdeform and flow very slowly into surface troughs to bond toa surface. These types of polymer adhesives remain highlyviscous, do not harden and provide comparably low bondstrength. Commonly used hardening methods for polymeradhesives in the semiconductor industry are the evaporationof solvents �drying�, thermal curing, two component curing,UV light curing, and the combination of evaporation of sol-vents together with thermal curing or UV light curing.20,21

B. General properties of polymers

Most polymers can be used as adhesive and a large num-ber of polymer materials are commercially available thathave widely varying material properties and chemistries.20,21

Polymers typically have excellent cohesive properties andadhere well to a large variety of substrate materials. In gen-eral, polymers are hard and brittle, but soften when heated.The transformation of a polymer from a hard �glassy� state toa rubberlike state is called the glass transition; the tempera-ture at which this occurs is the glass transition temperature.

All polymers creep if influenced by a load, an effectcalled the viscoelastic effect. The amount of creep is depen-dent on the ambient temperature and the time during whichthe load is present. Different types of polymers have differ-ent creep strengths �load-bearing capabilities�.19–21

Polymers are subject to similar environmental concernsas other materials such as glass and metals. They are affectedby chemicals, temperature, radiation �ultraviolet and gammaradiation�, stress, and biological deterioration and thus, theirproperties can change over time.19

Polymers are typically several orders of magnitude morepermeable to moisture than glass or metals as depicted inFig. 4.22 Water molecules with dimensions of slightly morethan 0.1 nm diffuse in the free space between the molecularchains of polymers. Thus, polymers cannot be directly usedto achieve hermetically sealed cavities and bonds.19,22

Thermoplastic polymers have a useful temperature rangeup to 200–300 °C and are limited at the low temperatureend by their brittleness. Thermoplastic polymers can be elon-gated and deformed to a large extend when heated and if thetemperature is further increased, they are converted to a vis-cous melt. Typically they have poor creep resistance butgood peel strength. Chemical resistance ranges from poor toexcellent depending on the polymer.19–21

Thermosetting polymers can operate at temperatures upto 300–450 °C, are more rigid than thermoplastics, and gen-erally offer better chemical resistance. Cross-linked thermo-

setting polymers cannot flow but continue to soften until

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-7 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

degradation occurs when exposed to increasing temperatures.Typically they have good creep resistance but only fair peelstrength.19–21

Elastomeric polymers can operate over a broad tempera-ture range up to about 260 °C. They have high peel strength,low overall strength, and high flexibility. Chemical resistanceis variable depending on the elastomer.19–21

Hybrid polymers can have the properties of all the othermaterial classes but with a more balanced combination.Some high performance polymers, e.g., polybenzimidazoles�PBI�, can survive temperatures of up to 760 °C for shorttimes without degradation. Specialized polymer adhesivescan have very low water absorption and are comparablygood diffusion barriers for moisture.19–21

C. Deposition of polymers on wafer surfaces

Most applications for adhesive wafer bonding in micro-electronics and MEMS require uniform thicknesses of theintermediate bonding material of 0.1–100 �m. There areseveral ways to obtain uniform polymer layers in this thick-ness range. The most common method in electronic andMEMS fabrication technology is the spin coating of a liquidpolymer precursor on a wafer.13 The viscosity of the polymerprecursor and the spin speed of the wafer determine the re-sulting layer thickness. Highly uniform coatings with verywell defined thicknesses and smooth surfaces can beachieved.

The spray coating,23 electrodeposition,24 stamping,16

screen printing,19 brushing,19 and dispensing15 of liquid poly-mer precursors are alternative methods that are relativelyeasy to use. However, these methods often do not achieve theuniformity and thickness control of spin-coated layers. A lessfrequently used method to deposit thin polymer films ischemical-vapor deposition.24 Some polymers are available asthin films or sheets.20,21 These films can be laminated to awafer surface. The thickness uniformity of laminated poly-mer layers depends on the tolerances of the films as delivered

FIG. 4. Times scale for moisture to penetrate inside various materials �Ref.22�.

from the material supplier.

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

D. Polymer adhesives for wafer bonding

Several aspects must be considered when selecting apolymer adhesive for a specific adhesive wafer bonding ap-plication. Selection of previously accepted polymer materialseases incorporation of any industrial process, particularly inthe electronic industry. In particular, good availability, mini-mum material and process incompatibilities, and applicable apriori characterization can be expected. The polymer adhe-sive, including the solvents and impurities involved must becompatible with the wafer surface materials, with devicesthat are integrated in the wafer surfaces �e.g., CMOS cir-cuitry�, as well as with the previously deposited films, andsubsequent processing procedures. Many material suppliersoffer adhesion promoters together with their polymer mate-rials to enhance the adhesion between specific substrate ma-terials and polymer adhesives. The physical properties ofpolymer adhesives, such as thermal stability, mechanical sta-bility, and creep strength have to be considered when choos-ing a polymer for an adhesive wafer bonding application.The chemical resistance to acids, bases, or solvents is an-other important factor that must be considered. Many pro-cesses in electronic and MEMS fabrication technologies in-volve solvents and enchants to which the polymer adhesivemay be exposed. In applications where the polymer adhesiveremains as a functional material on the device, chemical sta-bility and aging effects are critical. In applications where thewafer bonding is of temporary nature, the polymer adhesiveat the bond interface should be easily etchable or dissolvable.In these cases the long-term stability and aging effects of thepolymer are not very crucial.

Table III lists some polymer adhesives that have beenproposed for adhesive wafer bonding for various applicationareas.13–16,25–223 In general, semiconductor wafers are not po-rous or permeable to liquids and gases. If volatile substances,such as solvents or water, evolve from the polymer adhesiveduring the hardening process, they cannot escape the thinbond line in between the wafers. The volatile substances gettrapped as voids and deteriorate the bond interface. Thus, thepolymer adhesives that use the evaporation of solvents orwater for hardening during bonding are generally not suit-able for adhesive wafer bonding applications. The same istrue for the polymer adhesives that outgas or otherwise pro-duce by-products during the hardening process after the wa-fers are joined.13,70 For example, many polyimide coatingsused by the electronic industry produce water vapor as by-product during the curing �imidization� process.13,55,70 Thus,only thermoplastic polyimides, which are being fully imi-dized prior to wafer bonding and that can melt again duringthe bonding process will provide void-free bond interfaces.For polymer adhesive in which the evaporation of solvents orwater does not occur in the final hardening step, the evapo-ration can be done before the wafers are joined for bonding.However, it is important that the volatile substances, such aswater, are not created during the final curing process of thepolymer adhesive after the wafers are joined.13,70

Drying or outgassing polymer adhesives may be used foradhesive wafer bonding applications if at least one of the two

216

bonded substrate materials are permeable to moisture or if

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-8 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

TABLE III. Polymers for adhesive wafer bonding.

Polymer adhesives Features References

Epoxies - thermosetting materials- thermal curing and

25, 27, 29, 33–35, 39, 40, 46, 48, 69, 91, 150, 151, 158, 197,190, 209, and 220

two component curing- strong and chemically stable

bond

UV epoxies �e.g., SU8� - thermosetting materials- UV curing �one of the substrates

has to be transparent to UV light�- strong and chemically stable bond- bonding with patterned films

15, 42, 47, 57, 66, 78, 80, 85, 89, 109, 111, 127, 139, 150, 151,158, 161, 162, 170, 173, 184, 190, 211, 213, 214, and 218

Positive photoresists - thermoplastic materials 13, 41, 59, and 70- hot melt- void formation at the bond

interface, weak bond

Negative photoresists - thermosetting materials 13, 27, 31, 41, 59, 70, 73, 75, 89, 107, 112, and 147- thermal curing and UV curing- weak bond- low thermal and chemical

stability- bonding with patterned films

Benzocyclobutene �BCB� - thermosetting materials- thermal curing- high yield on wafer scale- very strong, chemically and

thermally stable bond

13, 14, 16, 49, 59, 60, 62, 65, 68, 70–72, 76, 77, 82–84, 87, 88,94, 96, 97, 101, 106, 108, 113, 114, 116–120, 129, 132, 133,136–138, 142, 143, 145, 152, 159, 160, 164–167, 175–188, 181,182, 189, 194, 196, 198–200, 202, 203, 205, 207, 208, 210, and212

- bonding with patterned films

Flare - thermosetting materials 14, 84, and 97- thermal curing- high yield- bonding with patterned films

Polymethylmeth- - thermoplastic materials 28, 30, 122, 139, 171, 186, 191, and 221acrylate �PMMA� - hot melt

Polydimethylsiloxane - elastomeric materials 32, 54, 155, 157, 174, 206, 216, and 217�PDMS� - thermal curing

Fluoropolymers - thermoplastic and 53, 58, and 92thermosetting materials

- thermal curing or hot melt- chemically very stable bond- bonding with patterned films

Polyimides - thermosetting and 13, 27, 33, 36–38, 55, 59, 70, 98, 123, 28, 156, and 163thermoplastic materials

- thermal curing and hot melt- void formation during

imidization process- mainly chip-scale process- bonding with patterned films

Methylsilsesquioxane - thermosetting materials 62�MSSQ� - thermal curing

- very strong, chemically andthermally stable bond

- void formation during curingprocess

Polyetheretherketone - thermoplastic materials 26�PEEK� - hot melt

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-9 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

the ventilation channels are incorporated in the bondline,36,55,90,128 allowing the volatile substances from the bondinterface to be discharged.

Thermal curing of thermosetting polymers13,70 or melt-ing of thermoplastic polymers90,128 are suitable techniquesfor polymer bonding of wafers that consist of identical ma-terials or wafers that consist of materials with similar coef-ficients of thermal expansion. When two wafers with dis-similar materials with different coefficients of thermalexpansion are bonded, the bonded wafer stack bends aftercooling down to room temperature since the wafer bond iscreated at an elevated temperature. The wafer with the highercoefficient of thermal expansion is expanded more and con-sequently shrinks more during the cooling to room tempera-ture than the wafer with the lower coefficient of thermalexpansion. The resulting stresses in the wafer stack at roomtemperature can bend and even crack the wafers. Large co-efficient of thermal expansion differences of the substratematerials and high bonding temperatures increase this effect.For example, bonding at room temperature with two compo-nent or UV-curable epoxies can prevent thermally inducedstresses. However, when using UV curable polymer adhe-sives at least one of the substrate materials must be transpar-ent to UV light.15,42,57,111,170,173

If the polymer adhesive is in a solid or gel-like stateprior to the curing process and if curing of the polymer ispromoted by curing parameters other than time only, waferswith deposited adhesives coatings can be stored in betweenthe adhesive deposition process and the bonding process.Polymer adhesives with such characteristics are thermoplas-tic polymers or solvent-based thermosetting polymers�B-stage polymers� that are in a solid or gel-like state afterthe solvents are evaporated. Polymer adhesives that workspecifically well for adhesive wafer bonding applications areB-stage polymers �e.g., benzocyclobutene �BCB� andSU8�13–15,49,60,66,158 and most thermoplastic adhesives �e.g.,Polymethylmeth-acrylate �PMMA�, copolymers and

28,30,33,57,122,139,171,186,191

TABLE III

Polymer adhesives Features

Thermosetting - thermosetting materialscopolyesters �ATSP� - thermal curing

Thermoplastic - thermoplastic materialscopolymers �PVDC� - hot melt

Parylene - thermoplastic materials- hot melt

Liquid-crystal - thermoplastic materialspolymer �LCP� - hot melt

- very good moisture barrier- not available as a liquid

polymer precursor

Waxes - thermoplastic materials- hot melt- low thermal stability- mainly for temporary bonds

waxes�.

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

IV. ADHESIVE WAFER BONDING TECHNOLOGY

Most applications of adhesive wafer bonding requirewell defined and high yield bond interfaces and often precisealignment of the bonded wafers. To achieve the bonding re-sults with repeatable high quality, the bonding process andparameters, as well as wafer-to-wafer alignment where re-quired, must be precisely controlled. Bonding parameterssuch as bonding pressure, bonding temperature, chamberpressure, and temperature ramping profile have significantimpact on the resulting bonding quality and defect density.The influence and mechanisms of the adhesive wafer bond-ing parameters are presented in this section, along with abrief description of the commercial equipment available forboth the wafer bonding and wafer alignment. Examples ofbonding procedures and suitable bonding parameters for wa-fer bonding with unpatterned polymer adhesives and for wa-fer bonding with patterned polymer adhesives �selective orlocalized bonding� are presented.

A. Wafer bonding tools and wafer-to-wafer alignmenttechniques

A wafer bonder typically consists of a vacuum chamber,a mechanism for joining the wafers inside the vacuum cham-ber, a wafer chuck, and a bond tool. Figure 5�a� shows sche-matic drawings of a typical commercial wafer bonder. Thewafer stack is placed between the bottom wafer chuck andthe bond tool. Thus, the wafer stack can be pressed togetherwith the bond tool using a controlled pressure �force perwafer or bond area�. The wafer stack can be heated throughthe bottom wafer chuck and the top chuck �bond tool�. Thetop chuck can be a stiff flat plate or stiff flat plate with a softplate or sheet in between the top chuck and the wafer stack.Soft plates or sheets typically adapt better to the nonunifor-mities of the wafer stack and thus distribute the pressuremore evenly over the wafer stack.

The leading suppliers of wafer bonding equipment pres-

ontinued.�

References

67

88

104, 125, 168, 169, 185, 204, and 222

110

33 and 57

. �C

ently are Electronic Vision Group �Austria� and Karl Suss

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-10 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

AG �Germany�, with equipment also available from AML�United Kingdom� and Ayumi �Japan�. Logitech �UnitedKingdom� and Dynatex �USA� offer substrate laminationtools that are typically used for temporarily laminating sub-strates on handling wafers, for chemical mechanical polish-ing �CMP� and wafer grinding processes. A substrate lami-nation tool typically consists of a low-pressure chamber witha hotplate as bottom chuck and an elastic top membrane asshown in Fig. 5�b�. The top membrane can be gas-pressurized and thus, applies pressure to the wafer stack onthe hotplate. While the substrate lamination tools do not al-low precise wafer-to-wafer-aligned bonding and are usuallyrestricted to bonding temperatures below 200 °C, the com-plexity and cost of ownership of the substrate laminationtools are significantly lower than those of traditional waferbonders. Printed circuit board lamination tools have alsobeen used for adhesive wafer bonding.209

For many adhesive wafer bonding applications, accuratealignment is essential between the bonded wafers. Differenttechniques have been implemented for precise wafer-to-wafer alignment during bonding.13,106,123,163,198,200,205,223–227

Commonly used wafer alignment techniques are �a� waferbackside alignment with digitized image, �b� the Smart-View® method, �c� intersubstrate microscope, �d� infrared�IR� transmission microscopy, �e� transparent wafer and op-tical microscope, and �f� through-wafer holes and optical mi-croscope. Figures 6�a�–6�f� shows schematic views of thesewafer-to-wafer alignment mechanisms.226

For wafer backside alignment as shown in Fig. 6�a�, onewafer has alignment keys on the wafer side that faces thebond interface �wafer frontside�, while the second wafer hasthe alignment keys on the wafer side that faces away fromthe bond interface �wafer backside�. These alignment keysare aligned to structures at the front side �wafer side that

FIG. 5. Schematic drawings of �a� a commercial wafer bonder and �b� asubstrate lamination tool.

faces towards the bond interface� of the same wafer. An im-

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

age of the alignment keys of the first wafer is digitized andstored. The second wafer is superimposed and alignment tothe alignment keys on the digitized image.

For the SmartView® method as shown in Fig. 6�b�, apair of top and bottom side microscopes in combination witha precision alignment stage are used to align the wafers.First, the positions of the top and bottom microscopes arecalibrated. The top wafer is then moved between the micro-scopes and the alignment key position is registered andstored by the bottom microscope. After the top wafer is re-tracted, the bottom wafer is brought in between the micro-scopes and aligned to the existing digitized image of the topwafer. Finally, the two wafers are automatically moved intoalignment by calculating the relative X and Y locations of thealignment keys on each wafer and moving the wafers intothe final alignment position.

For alignment with an intersubstrate microscope, the in-tersubstrate microscope is inserted in between two wafersand can view simultaneously both the top and bottom wafersurfaces with the alignment keys �see Fig. 6�c��. After thewafers are aligned relative to each other, the intersubstratemicroscope is retracted and then the wafers are moved invertical direction to join the wafer surfaces.

For infrared transmission microscopy as shown in Fig.6�d�, an infrared light source is placed on one side and aninfrared imaging sensor is placed on the opposite side of awafer pair. The alignment keys at the wafer surfaces arevisible in the infrared image and thus, the wafers can bealigned relative to each other. This method can only be usedif both wafers are transparent in the infrared spectrum. Inorder to get good image quality, the wafer surfaces should bepolished and the metal lines or patterned surfaces need to be

FIG. 6. Techniques for precise wafer-to-wafer alignment �Ref. 226�.

avoided in the alignment areas.

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-11 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

If at least one of the wafers is transparent in the visiblelight spectrum, an optical microscope can be used to viewthe alignment keys at the wafer surfaces and thus, the waferscan be aligned relative to each other �see Fig. 6�e��.

Through wafer via holes can be fabricated �e.g., by deepetching� in one of the wafers. An optical microscope can beused to view the alignment keys at the wafer surfaces andthus, the wafers can be aligned relative to each other �seeFig. 6�f��.

The above described wafer-to-wafer alignment schemesare typically executed on dedicated wafer alignment tools.After aligning the wafers relative to each other, they areclamped on a transport fixture. The transport fixture is trans-ferred from the alignment tool to the bond chamber, wherethe bonding process takes place as described in Sec. IV B.The transport fixture may or may not provide spacers that areplaced between the wafers to prevent them from becomingattached to each other outside the bond chamber. Some bondtool suppliers have implemented wafer-to-wafer alignmentmechanisms directly in the bond tool, although a long bond-ing time makes a cluster tool more attractive in high-volumemanufacturing.

All of the above mentioned alignment techniques can beused in combination with adhesive wafer bonding. Currentlyachievable wafer-to-wafer alignment accuracies with someof these techniques are in the range of 1 �m in laboratory-environments and in the range of 2–3 �m for device fabri-cation. Wafer-to-wafer alignment capabilities below 1 �mshould be achievable with additional equipment and processdevelopment.224–226 However, the adhesive wafer bondingbased on softening, deforming, and hardening of the interme-diate polymer adhesives may cause additional problems forthe achievable postbond wafer-to-wafer alignment accuracy.When the wafer stack is pressed together with the bond toolduring the bonding process, shear forces acting in parallel tothe bond line almost inevitably occur. If the polymer adhe-sive obtains a low viscous phase during the bonding process,these shear forces result in the wafers shifting relative toeach other. Although the initial alignment accuracy betweenthe wafers may be 1–3 �m before the bonding, the wafer-to-wafer alignment during the adhesive bonding process canincrease significantly ��10 �m�. To prevent such a largealignment shift while the intermediate polymer is in a lowviscous state, surface structures, which create additionalfriction,13,105 mechanical interlocking structures,123,163 or selfalignment structures13,223 that interlock the wafers, can beintroduced at the bond interface. Partially cross-linked ther-mosetting polymer adhesives, which do not reflow and trans-form into a low viscous phase during the bonding process,can also be used to prevent bonding-inducedmisalignment.198,200,205

Wafers that consist of dissimilar materials expand to adifferent degree when heated. At a temperature increase of100 °C, the difference in thermal expansion between twowafers consisting of dissimilar materials can be several tensof micrometers �e.g., for silicon and gallium arsenide wa-

fers�. To accurately align and bond wafers that consist of

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

dissimilar materials either their coefficients of thermal ex-pansion must match or the polymer bonding must be per-formed near room temperature.198,205

B. Adhesive wafer bonding processes

Table IV describes a typical process scheme for waferbonding with an intermediate polymer adhesive. The processflow involves the use of wafer or substrate bonding tool andapplies generally to the bonding with thermoplastic polymeradhesives and with uncured �soft baked� or to partially curedthermosetting polymer adhesives. Adhesive wafer bondingschemes that use UV light curableadhesives15,42,57,111,170,173,218 or special surface activation ofthe adhesive prior to wafer bonding215–217 typically consist ofslightly modified process schemes.

The bond strength and the amount of void or defect for-mation at the bond interface in adhesive wafer bonding isinfluenced by the polymer adhesive, wafer materials, sizeand amount of particles at the wafer surfaces, wafer surfacetopography, polymer thickness, bonding pressure, degree ofprecuring �level of polymerization� of the polymer adhesive,wafer thickness, polymer curing conditions, and atmosphericcondition in the bond chamber before wafer bonding. Allthese bonding parameters and their qualitative influences onthe resulting bond quality are listed in Table V.

1. Adhesive wafer bonding with unpatterned adhesivelayers

In the following example, an adhesive wafer bondingprocess with a self-contained dry-etch BCB coating is de-scribed that creates very strong, durable, and defect-freebonds. The thermosetting polymer BCB was selected in thisexample for its excellent properties as adhesive material andbecause it is a material widely used in the semiconductorindustry.

The adhesive bonding process starts out by applyingBCB with the required layer thickness on one or both wafersurfaces to be bonded using spin coating. Prior to applyingthe BCB coating, the wafer surface may be cleaned and anadhesion promoter may be applied. Next, the BCB coatingsare soft baked at a temperature between 100 and 170 °C fora few minutes to remove the solvents. Soft baking at thesetemperatures does not significantly increase the cross-linkinglevel of the BCB coatings.13,60,72,108,198,200,205 The wafers areplaced on the bond fixture and transferred to the bond cham-ber. After the bonding process is started, the bond chamber isevacuated to an atmosphere of about 10−3 mbar. Then abonding pressure of about 0.2–0.5 MPa is applied with thebond tool and the wafer stack is heated. The curing tempera-ture of dry-etch BCB layers can be chosen between 200 and300 °C with the curing time dependent on the curing tem-perature. A curing temperature of 250 °C requires a curingtime of about 1 h. During the curing process, the BCB coat-ing reaches a low viscous phase while cross-linking, com-pensating extremely well for topographic features on the wa-

fer surfaces and achieving very strong and durable

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-12 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

bonds.13,60,72,192 Soft-baked and partially cured BCB coatingscan be stored several days or weeks in particle-free environ-ments before the actual wafer bonding is performed withoutcompromising the bonding results. Substrates with diametersof up to 200 mm have been successfully bonded using BCBin combination with the presented bondingparameters.13,14,70,84,96,192

Figure 7 shows the bond interfaces of a 200-mm-diambonded wafer pair in which the top wafer has been sacrifi-cially removed to expose the BCB adhesive layer. The colorfringes at the wafer surface result from small BCB thicknessvariations that result form BCB reflow during the waferbonding process. If the BCB is partially cured prior to thewafer bonding process, the thickness variations of the BCBadhesive after bonding can be reduced dramatically since thepartially curing of the BCB does not reflow during waferbonding.198,200,205

2. Adhesive wafer bonding with patterned adhesivelayers

Selective or localized adhesive wafer bonding is

TABLE IV. Typical process steps for adhesive wafer bonding �Refs. 13, 14

No. Process step

1 Cleaning and drying of the wafers.

2 Treating the wafer surfaces with an adhesion promoter�optional�.

3 Applying the polymer adhesive to the surface of one or botwafers; patterning the polymer adhesive �optional�.

4 Soft baking or partially curing of the polymer.

5 Placing the wafers in the bond chamber, establishing a vacuatmosphere, and joining the wafers inside the bond chambe

6 Applying pressure to the wafer stack with the bond tool.

7 Remelting or curing the polymer adhesive while applyingpressure with the bond tool.

8 Chamber purge, cool down, and bond pressure release.

achieved by applying the polymer adhesive only on areas

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

where bonding is desired13,16,36,44,50,51,55,56,77,79,80,85,88,89

95,108,111,122,132,142,150–152,170,171,173,178,184,189,193,194,210,213,218 orby locally heating the bond interface with integrated heatersat the wafer surfaces to create local bonding at the desiredareas.79,90,128 Methods to place the polymer adhesive only oncertain wafer areas are spraying with a shadow mask, localdispensing, screen printing, and stamping of a liquid polymerprecursor. The lamination of a polymer sheet that is patternedby local punching, or cutting with a water jet or laser isanother suitable way to apply a polymer adhesive only oncertain wafer areas. All these methods have limitations con-cerning the polymer thickness control and the smallestachievable feature sizes of the bonded andunbonded areas. To achieve very precise dimensions of theareas to be bonded, the intermediate polymer adhesive canbe patterned with the use ofphotolithography.13,16,65,77,88,108,184 Suitable techniques arepolymer etching with a lithographically defined mask or theuse of photosensitive polymers. Other alternatives for poly-mer patterning with the help of lithographic techniques are

and 205�.

Purpose of the process step

Remove particles, contaminations, and moisture from the wafersurfaces.

Adhesion promoters can enhance the adhesion between thewafer surfaces and the polymer adhesive �see Sec. II�.

The most commonly used application method is spin coating.Alternative application methods are described in Sec. III C.Polymer patterning is described below in Sec. IV B.

Solvents and volatile substances are removed from the polymercoating. Thermosetting adhesives should not be polymerized, ormay only be partially polymerized. Thermoplastic adhesivesmay be completely polymerized, since they can be remelted toachieve bonding.

The wafers are joined in a vacuum atmosphere to prevent voidsand gases from being trapped at the bond interface. The vacuumatmosphere can also be established after the wafers are joined,as long as trapped gases at the bond interface can be pumpedaway before the bond is initiated.

The wafer and polymer adhesive surfaces are forced intointimate contact over the entire wafer. For thermosettingpolymer adhesives, the bonding pressure should be appliedbefore the curing temperature is reached. If thermoplasticpolymer adhesives are used, the bond pressure can be appliedafter the bonding temperature is reached.

The hardening procedure depends on the curing mechanism ofthe used polymer adhesive as described in Sec. III A. Thereflow of the polymer adhesive is typically triggered throughelevated temperature.

End bonding process. The sequence of chamber purge, bondforce release, and cool down is largely interchangeable.However, when thermoplastic polymer adhesives are used, thecool down should happen before the bond pressure release. Thisis to solidify the polymer adhesive before the bond pressure isreleased.

, 70,

h

umr.

lift-off processes and selective polymer deposition.

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-13 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

TABLE V. Influence of various bonding parameters

Bondingparameter Influence on bond defec

Polymeradhesive andwafer materials

- The intermediate polysolvents or by-productsthe wafer materials aresubstances get trapped athey evolve from the poare joined.- The polymer adhesiveof the wafer surfacesviscoelastic state during- The wafer materials mbonding process �e.g., ttransparency�.

Amount andsize of particlesat wafersurfaces, wafersurfacetopography, andadhesivethickness

- Particle-free surfaces aParticles at the wafer suthickness of the polymedefects or extended unb- If the wafer surface tothe thickness of the polresult. The polymer reflmay not compensate forwafer surface.- The use of very thin �likely results in unbondpolymer layers. Thin posurface nonuniformitiesinterface to a lesser exte

Bondingpressure/force

- The bonding pressureintermediate polymer adbrings the surfaces in suachieve bonding.- High bonding pressuredeformation of the polyHowever, excessive bonstress. Thus, structurescan be destroyed or the- The bonding pressurestack should be uniformresulting thickness of thPolymer adhesives tendpressure towards areas oin a liquid phase.

Polymerizationlevel of thepolymeradhesive

- Thermosetting polymeunpolymerized or partlyIf the amount of polymbond is too high, the poand adapt sufficiently to- For thermoplastic polypolymerization before thremelt during the bondibetween the surfaces.

Waferthickness

- Thin wafers are morepressure to compensatebond interface and thusbond interface.

Polymer curingconditions

- The bonding temperatcycles have to be adjustused polymer adhesive.

on bond defects �Refs. 4, 13, 14, 60, 70, 72, and 205�.

ts Importance

mer adhesive must not releaseduring the hardening process ifnot permeable to gases. Volatiles voids at the bond interface iflymer adhesive after the wafers

Very high

must provide sufficient wettingand flow or achieve athe bonding process.ust be compatible with the

emperatures, UV light

re key to good bonding results.rface that are larger than ther adhesive may cause bondingonded areas.

Very high

pography is high compared toymer layer, unbonded areas canow and the wafer deformation

the topographic features on the

1 �m� polymer layers moreed areas than the use of thickerlymer layers compensate forand particles at the bondnd.

facilitates deformation of thehesive and the wafers, andfficiently close contact to

High

s increase the conceivablemer adhesive and the wafers.ding pressures may cause high

that are present on the waferswafers may crack.that is introduced to the waferto avoid differences in the

e intermediate polymer material.to flow from areas of higherf lower pressure while they are

r adhesives should bepolymerized prior to bonding.

erization before creating thelymer adhesive does not deformthe wafer surfaces.

High

mer adhesives the amount ofe bonding is not decisive. They

ng process to achieve bonds

easily deformed by the bondingto surface nonuniformities at the, less stress is introduced at the

Medium

ure and the temperature rampinged to the requirements of the

Medium

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-14 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

The patterned polymer adhesive has to remain sufficientlyfirm during the bonding process to retain the shape of thepatterned structures and must be deformable to adapt to thewafer surfaces. If the polymer adhesive is too firm during thebonding process, some areas of the wafer cannot wet, whichresults in incomplete bonding. If the polymer adhesive be-comes too low viscous, the lithographically defined polymerstructures lose their shape and start flowing during the bond-ing process. Thus, the bonded wafer areas become largerthan the initially defined areas and the resulting gap widthbetween the two wafer surfaces, defined by the polymerthickness, decreases in an uncontrolled way.16,77,108 In theadhesive wafer bonding with patterned adhesive layers, theeffective bonding pressure is typically the applied bondingforce divided by the sum of the areas to be bonded.

In the following example, detailed process parametersfor wafer bonding with a patterned BCB coating for local-ized adhesive bonding is described.13,16,77,108 The thermoset-

TABLE V.

Bondingparameter Influence on bond defects

- Very fast temperature ramand incomplete heating ofincomplete adaptation of ththe wafer surfaces and resuareas, and delamination of- If two wafers consisting othermal expansion differenbetween the bonded wafersto delamination of the bondthe wafers.

Atmosphericcondition in thebond chamberbefore thewafer bondingis initiated

- Gas pressure in the bondwhile joining the wafers isgasses from being trapped- If wafers are joined and bsmall bubbles or larger voibond interface. The trappedcan expand if the wafer stadeteriorate the bond quality

FIG. 7. Bonded wafer pairs �200 mm diameter� in which the top wafer hasbeen sacrificially removed to expose the BCB adhesive layer. The colorfringes result from BCB thickness variations. No color fringes can be ob-served on wafers bonded using partially cured BCB, indicating uniform

BCB thickness ��0.5% � �Refs. 198, 200, and 205�.

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

ting polymer BCB was selected. The deformability duringbonding of thermosetting polymers such as dry-etch BCBand photosensitive BCB can be influenced by their degree ofpolymerization prior to bonding. The BCB polymerizationlevels of 40%–60% prior to the bonding have shown to besuitable for achieving complete bonding between the BCBcoating and a wafer surface. Nevertheless, these BCB coat-ings are sufficiently firm to retain the patterned structuresduring the bonding process. The selective or localized BCBbonding process starts out by cleaning the wafer surfaces,applying an adhesion promoter and spin-coating dry-etchBCB layers to one or both wafers. The BCB coatings areprecured at temperatures between 190 and 200 °C for30 min in an oxygen-free atmosphere, which increases thepolymerization level of the BCB coating from 35% �as de-livered from the material supplier� to nearly 50%. The BCBcoating is patterned using reactive ion etching �RIE� andapplying photoresist as the masking material. A detailed pro-cess description for patterning of dry-etch BCB can be foundin Refs. 77, 108, and 227. Next, the wafers with the pat-terned BCB coatings are placed on the bond fixture andtransferred to the bond chamber. After initiating the bondingprocess, the bond chamber is evacuated to an atmosphere ofabout 10−3 mbar. Then a bonding pressure of approximately0.4 MPa is applied with the bond tool and the wafer stack isheated. The curing temperature of dry-etch BCB layers canbe chosen between 200 and 300 °C with the curing timedependent on the curing temperature. A curing temperatureof 250 °C requires a curing time of about 1 h. Figure 8shows a glass wafer that is selectively bonded to a siliconwafer using lithographically patterned dry-etch BCB as thepolymer adhesive. The dark areas on the photo are bondedand the light areas are unbonded cavities.

V. BOND CHARACTERIZATION

The quality of a wafer bond can be described by defect

ontinued.�

Importance

cycles may cause nonuniformafer stack, leading toermediate polymer adhesive toin excessive stress, unbondedond interface.terials with large coefficient ofe bonded, large stressesoccur. These stresses can leadto bending and cracking of

ber of 100 mbars or lowerally sufficient to preventbond interface.

Medium

d at atmospheric pressure,gas can get trapped at thes mark unbonded areas, whichheated and thus, significantly

�C

pingthe we intltingthe bf ma

ces arcanand

chamtypicat theonde

ds ofgase

ck is.

rate, bonding strength, hermeticity, induced stresses in the

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-15 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

wafer and adhesive materials, and stability towards harshenvironments. A number of nondestructive and destructivetechniques can be used to characterize a bond. The mostcommon techniques are bond interface imaging, bondstrength measurements, and bond hermeticity measurements.

A. Bond interface imaging

Useful imaging methods for wafer bond characterizationare the bonding of glass wafers, infrared transmission, x-raytopography, acoustic microscopy, interface etching, andcross-sectional analysis.4–6,13,17,18 The former four methodsare nondestructive while the latter two are destructive meth-ods.

If one of the bonded wafers is transparent to visible light�e.g., a glass wafer� the bond interface can be inspected di-rectly or with optical microscopy. This inexpensive and effi-cient method is especially useful in the adhesive wafer bond-ing since the bond defects in adhesive wafer bonding areusually not dependent on the wafer materials. However, wa-fer surface and wafer edge effects important in semiconduc-tor manufacturing are not duplicated with a transparent waferused for bond defect characterization.

In infrared transmission imaging the bonded wafers arelocated between an infrared source and an infrared sensitivecamera, with defects at the bond interface displayed as con-trasts in the infrared image. Infrared transmission can only beused if all wafer materials are transparent to infrared light�e.g., silicon�. However, this comparably simple, fast, andinexpensive technique has limitations in the lateral resolutionof defects, particularly if any interfaces are not smooth �e.g.,the nonpolished backside of silicon wafers�.

X-ray topography is a comparably expensive and timeconsuming method used to obtain an image of deviationsfrom ideal, undistorted lattice planes. X-ray topography canonly be applied to single-crystalline materials, but has a typi-cal spatial resolution of 2–20 �m �much better than that ofthe infrared transmission techniques�.

Acoustic microscopy is based upon the acoustic wavepropagation through solid-state materials. Since the scatter-ing of acoustic waves depends on changes in elastic proper-ties of the material, voids, and defects at a bond interface canbe readily detected. Depending on the frequency range used,the resolution of acoustic microscopy can approach 10 �m.

FIG. 8. Glass wafer that is selectively bonded to a silicon wafer usinglithographically patterned dry-etch BCB as the polymer adhesive. The darkareas are bonded and the light areas are unbonded �Refs. 77 and 108�.

The equipment is relatively expensive and the measurements

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

are performed in water or in another liquid. Acoustic micros-copy measurements are easy to operate, fast, and applicableto practically all materials.

In the interface etching, one of the bonded wafers issacrificially etched to a thin etch-stop layer with a suitableetching technique. Voids and defects can be visually in-spected through the thin etch-stop layer using optical micros-copy. If larger defects are present at the bond interface theetch-stop layer buckles or bursts open, indicating unbondedareas. For silicon wafers the interface etching can be per-formed using wet etching or deep reactive ion etching�DRIE�; silicon dioxide �SiO2� is typically used as the etch-stop layer. This technique is particularly attractive with SOIstarting wafers.

Cross-sectional analysis of a bond interface is done bycleaving or dicing a bonded wafer pair perpendicular to thebond interface. The cross section of the bond interface isinspected using optical microscopy, scanning electron mi-croscopy �SEM�, or focused ion beam milling in combina-tion with scanning electron microscopy �FIB/SEM�. Cross-sectional analysis is especially useful for the inspection ofbonds with thin intermediate bonding materials such as ad-hesives.

B. Bond strength measurements

Figure 9 illustrates five bond strength measurement tech-niques that are useful for wafer bondcharacterization,4–6,13,14,17,18 all of which are destructivetechniques. The tensile load test measures the tensile strengthof a bond, while the crack opening test, the blister test and

FIG. 9. Schematics of bond strength measurement techniques �Refs. 4, 13,and 14�.

the four-point bending test measures the surface energy of a

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-16 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

bond. A mathematical correlation between the tensilestrength and the surface energy is not established.

In the tensile and shear load measurements, bondedsamples are pulled apart as illustrated in Fig. 9�a� while mea-suring the load necessary for destroying the bond. The tensilestrength of a bond equals the tensile forces at the time offracture divided by the bond area of the sample. Load mea-surements are typically performed on strain test machines,with Fig. 9�b� depicting a setup for tensile strength measure-ments of bonds between a thick substrate and a thin film.

In the crack opening test, also referred to as razor bladetest, a blade of a defined thickness is introduced in betweenthe bonded wafers as illustrated in Fig. 9�c�. The length ofthe resulting crack can be measured with infrared inspectionand gives a measure of the surface energy keeping the waferstogether. The crack opening method cannot be used if thebond is too strong to introduce the blade without crackingone of the bonded wafers.

A schematic drawing of the blister test or pressure bursttest is shown in Fig. 9�d�. The test sample consists of twobonded wafers with one wafer containing a hole. Hydrostaticoil pressure is applied through the wafer hole while the wafercontaining the hole is fixed to the surface underneath. Thepressure at which the bond cracks gives a measure of thesurface energy of the bond.

In the four-point bending test, a precrack is formed inone of the substrate layers of a bonded sample by sawingand/or etching as shown in Fig. 9�e�. The bonded and pre-cracked sample is mounted in a four-point bending machinewhere the applied load and the resulting displacement aremeasured. As the load is applied, the sample deforms elasti-cally and the precrack propagates to the weak interface,which is “below” the precrack. The load versus displacementcurve gives a measure for the surface energy of the bond.

C. Bond hermeticity measurements

A hermetic, or gas-tight, bond must work as a barriertowards gasses and moisture. Most hermeticity test methodsto evaluate gas tightness use a cavity that is sealed with abond. The gas leakage into or out of the cavity through thebond is measured to determine the hermeticity of the bond.The range of leak rates of interest is 10−1–10−4 atm cm3 s−1

for gross leaks and 10−5–10−12 atm cm3 s−1 for fine leaks.The most important testing methods are briefly describedbelow.

The bubble method is a gross leak test, in which thebonded cavity is subjected to vacuum evacuation. The cavityis immersed in a fluorocarbon liquid �e.g., FC-84, 3M Elec-tronic Products� without breaking the vacuum to facilitateeasy entry of the liquid into the cavity through any leak. Thecavity is then immersed in a bath with another fluorocarbonliquid �e.g., FC-40, 3M Electronic Products� having a higherboiling temperature than the first liquid. The temperature ofthe bath is held between the respective boiling temperaturesof the two fluorocarbon liquids. Any fluorocarbon that hasleaked into the bonded cavity during the fill phase will ex-pand in volume and appear as bubbles observable in the

228,229

fluorocarbon bath.

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

The negative ion detection method �NID� is anothergross leak test in which the bonded cavity is penetrated witha fluorocarbon liquid �e.g., FC-84, 3M Electronic Products�in a manner similar to that used in the bubble method. There-after, the cavity is placed in a metal chamber and heated�e.g., 125 °C�. The metal chamber is attached to a gas ana-lyzer that can detect the fluorocarbon molecules that emergefrom the cavity. Thus, a measure for the leak rate of thecavity bond can be determined.228,229

The helium leak test is a fine leak test and is widely usedto test the hermeticity of electronic packages.88,228,229 Asealed cavity is subjected to helium gas under several atmo-spheres pressure. After pressurizing, the cavity is introducedinto a vacuum chamber that is attached to a helium sensitivemass spectrometer. The helium that leaks out of the cavity isdetected and converted into a standard leak rate. Helium isused due to its high diffusion rate and its inert chemicalbehavior. While well established, the helium leak test forhermeticity in method 1014.4 of the military standard MIL-STD-883E is not directly applicable to very small cavities, asthe minimum detectable leak range is too large.88 A variationof the helium leak test is a method, in which a hole estab-lished in the lid of the cavity is connected through a sealedpipe to a helium sensitive mass spectrometer. The outside ofthe cavity is exposed to a helium atmosphere and the massspectrometer detects the helium that leaks from the outside toinside of the cavity. This provides a measure for the leak rateof the sealed cavity.208

The radioisotope method can be used as a fine and grossleak test. A tracer gas �e.g., Kr85� is forced into a bondedcavity by pressurization. The cavity is then placed in a scin-tillation counter, which detects the gamma emission that ac-companies the beta decay of the tracer gas. The gammaemission directly yields a measure of the tracer gas concen-tration within the cavity. In this method the amount of gasleaking into the cavity is measured directly; thus, the leakrate detection is independent of the cavity volume.225,226

The internal vapor analysis �IVA� test analyses the gascomposition of the atmosphere that is present inside abonded cavity.230 Thus, information about the hermeticity ofthe sealing and the corrosiveness of the atmosphere insidethe cavity can be extracted. In the internal vapor analysis testa bonded and sealed cavity is pierced �opened� and the gasesthat escape the cavity are analyzed with mass spectroscopy.In this way, it is possible to see if the moisture or other gasessuch as oxygen, nitrogen, and carbon dioxide were presentinside the cavity. Also the pressure of the atmosphere insidethe cavity prior to the piercing can be determined. The inter-nal vapor analysis test is a destructive test method.

Another possibility to test the hermeticity of a bond is toplace or integrate a pressure, gas, or moisture sensitive de-vice �e.g., bolometer, resonator, moisture sensor, or pressuresensor� in a cavity that is sealed. The atmosphere inside thecavity is monitored with the sensing device over time. Thus,changes in the pressure or the atmospheric composition inthe cavity can be measured with the device in the cavity and

88,225,226

the leak rate of the bond can be determined.

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-17 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

VI. APPLICATIONS OF ADHESIVE WAFER BONDING

Adhesive wafer bonding is a generic technology withunique features. Adhesive wafer bonding is used for the fab-rication of three-dimensional integrated circuits13,14,43,52,62,71,76,82–84,96–98,106,116–120,145,174–177,189,192,198–200,202

203,205 and for the integration of integrated circuits with mi-crosystems such as infrared focal plane arrays,13,73,75,147 spa-tial light modulators �micromirror arrays�,13,69,107,129,214 mi-crotip arrays for data storage systems,123,163 and lasersystems.37,38,63,71,76,103,136,137 Adhesive wafer bonding is alsoused for the fabrication of microcavities in packagingapplications,13,16,36,56,77,87–89,91,93–95,108,113,114,133,142–144,152,168

169,170,194,208,210 for manufacturing of liquid crystal on silicon�LCoS� components,50,51 for thin-film solar cells,81 for radio-frequency components,15,39,40,42,47,130,131,135,154,207 for micro-fuel cells,217 for hard disk drive microactuators,213 and forthe bioMEMS and micrototal analysis systems ��TAS�.13,54,58,60,66,72,74,79,80,85,111,122,132,148–151,155,157,171,173,184,185,191,211

216,218,220,221 We have grouped the various applications in fivesections, depending on the application area and the use ofadhesive wafer bonding. Section VI A describes ways of us-ing adhesive wafer bonding for the manufacturing of three-dimensional integrated circuit; Sec. VI B describes the appli-cation of adhesive wafer bonding for the integration ofMEMS components and integrated circuits; Sec. VI C showsapplications in which temporary adhesive wafer bonding isused to transfer thin films and components using an interme-diate handling wafer; Sec. VI D describes the use of adhesivewafer bonding for wafer-level packaging applications; andSec. VI E shows the applications of adhesive wafer bondingfor manufacturing of microfluidic components in bioMEMSand �TAS.

A. Fabrication of three-dimensional integratedcircuits

Wafer-level 3D integration is an emerging technology toincrease the performance and functionality of integratedcircuits.85,187,188 3D integration holds promise for reducinginterconnect delays in future integrated circuits by reducinglength and number of long interconnect lines82,83,85,187 aswell as offering heterogeneous integration of processes anddevices as shown in Fig. 10.105,176 In principle, several de-vice layers can be stacked on top of each other, which alsocan include the integration of high performance passive com-ponent substrates directly with active integrated circuit sub-strates. The wafer-level three-dimensional integration of

FIG. 10. Three-dimensional integration for future ICs and heterogeneoussystems with interchip via contacts �Ref. 105�.

electronic circuits is of special interest for devices that re-

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

quire very high density of vias between the layers, such as,e.g., stacked memories, processors, and smart imagingcomponents.82,83,96,120,176,188

Aligned wafer-to-wafer bonding with dielectric polymerlayers such as BCB is one of the promising approaches forthe fabrication of3D-ICs.13,14,70,71,76,82,96,116,120,145,176,198,200,205 Figure 11 showsa schematic of a typical process flow, where the fully pro-cessed wafers �with active devices and multilevel on-chipinterconnects� are aligned and bonded using BCB as the in-termediate adhesive layer, followed by a top wafer thinningand interwafer interconnection.71,83,105,145 Initially, two pro-cessed wafers are aligned to the required tolerances �typi-cally within 1–2 �m� and bonded using a dielectric adhesivelayer under back-end-of-the-line �BEOL� compatible condi-tions. The top wafer is thinned to �1 �m by a three-stepthinning process, i.e., backside grinding, CMP, and wet etch-ing to an etch stop �e.g., implanted, epi, or buried oxide�BOX� layer with SOI technology� as shown in Fig. 11�I��a�.Subsequently, bridge-type, and/or plug-type interwafer inter-connects are formed using a copper dual-inlaid patterningprocess, involving high-aspect-ratio via etching, copper/barrier deposition, and CMP as in advanced BEOL processflows as shown in Figs. 11�I��b�, 11�I��c�, and 11�I��d�. If

FIG. 11. Fabrication process for three-dimensional integrated circuits �I��Ref. 145� and damage-free interconnect structures after adhesive bondingto a 200 mm glass wafer and Si substrate removal �II� �Ref. 116�.

required, a third wafer �or more� can be aligned, bonded,

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-18 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

thinned, and interwafer interconnected with the same processflow to achieve multilayer 3D structures. The picture in Fig.11�II� shows integrated circuit structures that have beentransferred from the original 200-mm-diam substrate to a200-mm-diam glass substrate using BCB bonding in combi-nation with substrate thinning.

Another technology platform to fabricate 3D-ICs usingadhesive wafer bonding is the via-first, BEOL compatible,monolithic wafer-level technology platform shown in Fig.12.199,202,203 This technology platform employs wafer bond-ing of damascene-patterned metal/adhesive redistributionlayers on two wafers, thus providing interwafer electricalinterconnects �via first� and adhesive bonding of two wafersin a one unit processing step. In one possible process flowfor this technology, the partially cured BCB was used as adielectric bonding layer. The BCB films are spin coated onthe wafer surfaces and partially cured on a 250 °C hotplateunder a nitrogen purge for 60 s.199,202,203 The BCB films arepatterned using a photoresist mask in combination with re-active ion etching or deep reactive ion etching. Tantalum andcopper are then sputtered over the patterned BCB. A baselinetwo-stage CMP process employing commercially availabletwo-component �abrasive and oxidizer� slurries is utilized topolish the copper and tantalum199,202,203 as shown in Fig.12�a�. These damascene patterned films are aligned andbonded under controlled vacuum, temperature �e.g., 350 °C�,and pressure parameters �e.g., 10 000 N� as shown in Fig.12�b�. The top silicon wafer is then thinned to �100 �mthickness by grinding and polishing with the final thinning intetramethyl ammonium hydroxide �TMAH� as shown in Fig.12�c�. Figure 13 shows a cross-sectional FIB/SEM image of

199,202,203

FIG. 12. Via-first process for three-dimensional integrated circuits �Refs.199, 202, and 203�.

a bonded copper via and BCB dielectric interface.

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

This via-first approach to 3D integration combines theadvantages of dielectric adhesive bonding, which is a robustbonding process and provides a high wafer bond strength,with the prebonding interwafer copper interconnects for pro-cess integration simplicity. A copper-BCB redistributionlayer as typically needed in wafer-level packaging �WLP�techniques can easily be incorporated with a dual damascenepatterning approach �see Sec. VI D�.

B. Film and device transfer for integration of MEMSand electronic circuits

Adhesive wafer bonding can be used to transfer thinfilms for MEMS or micro-opto-electromechanical system�MOEMS� devices from one substrate wafer �sacrificial wa-fer� to a second substrate wafer �target wafer�. Thus, thetransducers and electronic circuits can be optimized and fab-ricated independently on separate wafers with cost-efficientprocesses before they are combined with an integration pro-cess. The adhesive wafer bonding is CMOS compatible andit allows wafer-level transfer of extremely thin ��0.3 �m�films and devices that have very small feature sizes��1 �m�. Very small electrical via contacts between thetransferred devices and the new substrate wafer can berealized.13,71,76,84 Wafer-level transfer of thin films and de-vices is a specifically attractive technology for devices thatrequire a very high density of via interconnect with verysmall dimensions, such as in imaging or other arrayedcomponents.13,73,75,107,112,123,147,163

Figure 14 shows one possible process flow for devicetransfer including the fabrication of electrical via contactsbetween the transferred devices and the targetwafer.13,71,73,75,76,106,111,146 In device transfer, a device isplaced on top of an etch-stop layer on a sacrificial devicewafer �e.g., SiO2 as the etch-stop layer in the case of siliconetching�. The sacrificial device wafer is bonded to the targetwafer �e.g., a CMOS wafer� with adhesive wafer bonding asshown in Fig. 14�b�. In the next step, the device wafer issacrificially thinned down to the etch-stop layer as illustratedin Fig. 14�c�. The thinning of the sacrificial device wafer can

FIG. 13. Cross section of a bonded copper-copper and BCB-BCB interfacefabricated using the via-first process �Refs. 199, 202, and 203�.

FIG. 14. Process sequence for thin film and device transfer.

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-19 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

be done using dry etching �e.g., DRIE�, wet etching �e.g.,potassium hydroxide �KOH� etching� or a combination ofgrinding, polishing, and etching. If the transferred devicescan withstand the applied etching process no etch-stop layeris required. Throughholes can be etched in the polymer ad-hesive �e.g., using reactive ion etching� as illustrated in Fig.14�d�; electrical via contacts between the target wafer andthe transferred devices can be processed in a subsequent stepas shown in Fig. 14�e�. The electrical via contacts can bedeposited with electroplating, electroless plating, sputtering,metal evaporation, or chemical-vapor deposition �CVD� ofmetal. If the free-hanging membrane structures are needed,the polymer adhesive can be sacrificially removed using dryetching as shown in Fig. 14�f�. To fabricate more complextransducer devices, also a sandwich of several patterned andunpatterned materials can be transferred from the sacrificialdevice wafer to the target wafer using thistechnique.13,71,73,75,76,147

Transfer bonding of films, devices, or membranes en-ables the integration of electronic circuits and transducersthat are fabricated with incompatible techniques such asstandard CMOS technology, III–V technologies �gallium ar-senide �GaAs�, indium phosphide �InP�� and high-temperature deposition and annealing techniques. Transferbonding is used for the fabrication and integration of theoptical transducer arrays on standard CMOS wafers, i.e., un-cooled infrared focal plane arrays �e.g., bolometer detectors,pyroelectric detectors�,13,71,73,147 optical transducer systems�e.g., spatial light modulators, tunable vertical coupled sur-face emitting lasers�,13,106,111,214 and imaging systems and la-ser systems.37,38,46,103,136,137 Transfer bonding of films anddevices is also used to process material films �e.g., single-crystalline silicon, gallium arsenide, etc.� on the front- andbackside13,34,46,49,68,71,76 and to transfer high-frequency com-ponents to new, low-losssubstrates.15,39,40,42,47,130,131,135,154,209

Figure 15 shows an example for the process flow forfabrication of monocrystalline silicon micromirrors usingmembrane transfer bonding.13,107,112 In this process flow, a1-�m-thick gold �Au� layer is evaporated onto a silicon wa-fer �target wafer�, which is then covered with a 0.5-�m-thickplasma-enhanced chemical-vapor deposited �PECVD� sili-con nitride layer and a 0.15-�m-thick sputtered aluminum�Al� layer. The top aluminum layer is patterned to define the

FIG. 15. Process flow for CMOS compatible fabrication of monocrystallinesilicon micromirrors using film transfer with adhesive wafer bonding.

addressing electrodes of the micromirrors and the connecting

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

probing pads. A 0.2-�m-thick silicon nitride layer is depos-ited on top of the addressing electrodes and patterned to de-fine the distant holders. Then, the holes for the posts areetched in the silicon nitride layer that covers the gold seedlayer. The locally exposed gold seed layer is used as theplating base for electroplating 1.45 �m gold. Consequently,the gold posts extend 0.8 �m over the surface of the alumi-num addressing electrodes. Figure 15�a� shows a schematiccross section of the target wafer with the addressing elec-trodes and the electroplated posts. The sacrificial wafer �do-nor wafer� is a SOI wafer with a 0.34-�m-�±5% � thick sili-con device layer and a 1-�m-thick SiO2 layer. This SOIwafer is bonded to the target wafer using a 0.8-�m-thickpolymer layer that is spin coated on the SOI wafer as illus-trated in Fig. 15�b�. In the bonding procedure, the electro-plated posts are pressed in the adhesive bonding material.Their height of 0.8 �m defines the distance between the ad-dressing electrodes and mirror membranes. The sacrificialwafer �SOI bulk material� is removed by a combination ofgrinding and DRIE, stopping at the previously defined SiO2

layer as shown in Fig. 15�c�. The SiO2 layer is removedusing a buffered HF etch solution, leaving the 0.34-�m-thickmonocrystalline silicon layer on top of the adhesive bondingmaterial on the target wafer as illustrated in Fig. 15�c�. Afterthe monocrystalline silicon film is transferred from the sac-rificial SOI wafer to the target wafer, it is patterned to definethe micromirror membranes as shown in Fig. 15�d�. Next,the electrical and mechanical via connectors between thegold posts and the mirror membranes are formed by electro-plating another 0.8 �m of gold on top of the posts as illus-trated in Fig. 15�e�. Thus, the silicon micromirror mem-branes are joined and mechanically locked to the posts.Finally, the adhesive bonding material under the membranesis sacrificially removed to free the monocrystalline siliconmicromirrors as shown in Fig. 15�f�. Figure 16�a� shows aSEM image of a transfer-bonded monocrystalline silicon mi-cromirror arrays with 4�4 pixels.13,107,112 The micromirrorsconsist of very flat, uniform, and low stress monocrystallinesilicon membranes. They measure 16�16 �m2, the mirrorhinges are 4 �m long, 0.6 �m wide, and the mirror postsmeasure 3�3 �m2.

Figure 16�b� shows transfer-bonded uncooled infraredbolometer arrays that have been fabricated using a similarprocess flow as one for the miromirror arrays.13,73,75,147 Thetransfer-bonded uncooled infrared bolometers are made of amultiplayer structure with boron-doped polycrystalline sili-con as the temperature sensing material. The bolometershave a pitch size of 40�40 �m2 and smallest feature size of1 �m.

C. Temporary adhesive bonding for film and devicetransfer using handling wafer

Temporary adhesive wafer bonding can be used fortransferring thin films or devices from one substrate to an-other substrate with the help of an intermediate handlingwafer. Thus, the thin films or devices are in a first step trans-ferred from the original substrate to an intermediate handling

wafer �often a glass wafer� using adhesive wafer bonding

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-20 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

and then transferred from the handling wafer to the finaltarget wafer using any bonding technique of choice, includ-ing metal-to-metal bonding and adhesive bonding. The thinfilms or devices are released from the handling wafer bydebonding the adhesive bond between the handling waferand the thin film or devices. Two main reasons for the use ofan intermediate handling wafer are: �1� when a transparentintermediate handling wafer �e.g., a glass wafer� is used, thewafer-to-wafer alignment and alignment testing procedurescan be simplified because a optical microscopy can be usedto look at corresponding alignment keys at the bond interfaceduring alignment of the wafers:123,163 �2� when transferringthe thin films or devices to an intermediate handling wafer,they can be processed on the backside and the backside ofthe thin films and devices can be contacted to the frontside ofthe target wafer.123,163

Figure 17 shows an example of a process flow that usesadhesive wafer bonding and a temporary handling wafer forfabrication of a scanning-probe array on the CMOS-baseddriving electronics. The goal is to transfer the cantilever ar-

FIG. 16. SEM image of �a� free-hanging monocrystalline silicon micromir-rors and �b� free-hanging uncooled infrared bolometers.

ray on a dedicated CMOS chip in order to have a very short

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

electrical connection path between the cantilever and thedriving/sensing integrated analog electronics. The cantileveritself is fabricated in the silicon membrane of an SOI wafer.The first step is the lamination of the wafer on a glass waferusing adhesive wafer bonding. Next, the silicon wafer is re-moved by grinding to the final tens of micrometer, then byplasma etching to stop on the SiO2 layer. The SiO2 layer issubsequently wet etched with buffered hydrofluoric acid�BHF�. Backside processing is performed to define a metalpad for the electrical interconnection as well as a polyimidevia. On the CMOS wafer side, a copper/tin stud is electro-plated. Metal/solder studs based on a copper/tin alloy areselected because the alloy-formation temperature��300 °C� is compatible with the process and the studs arestable after the alloying process. The CMOS and the cantile-vers wafer are laminated together, using the stud/polyimide

FIG. 17. Process flow showing a cross section of the basic cantilever-transfer processing steps �Refs. 123 and 163�.

via as interlock feature to provide the high alignment accu-

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-21 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

racy as shown in Fig. 17 �II� �I�. The debonding of the ad-hesive bond to the temporary glass handling wafer is per-formed by laser ablation and finally, the polymers are etchedaway by oxygen plasma to release the cantilevers. Figure 18shows a section of the transferred cantilever array with adensity of about 100 cantilevers per mm2; the cantilevers aretypically 70 �m long and 500 nm thick, with a sub-20 nmradius tip. A similar transfer technique as the one describedin Fig. 17 with an intermediate handling wafer has been usedfor fabricating 3D-ICs.123,163

Figure 19 shows a process flow using adhesive waferbonding and a temporary handling wafer for the fabricationof thin-film solar cells.81 The process flow starts with theadhesive wafer bonding of the fabricated solar cell wafer toan intermediate glass handling wafer. This is to transfer theactive thin silicon film with its backside to a near-Lambertianaluminum ceramic substrate. The SOI wafers are used as thesilicon solar cell base material. A boron-doped p+ layer andphosphorous-doped n+ layers are formed by thermal diffu-sion, followed by thermal growth of a 110-nm-thick SiO2

film at 950 °C. Then, an emitter electrode and a base elec-trode are formed by aluminum evaporation as shown in Fig.19�b�. After fabricating the cell on the SOI layer, the cell isadhesively bonded to an intermediate glass handling wafer.The supporting SOI substrate and the buried oxide layer areetched, leaving the 10-�m-thick silicon layer on the adhesivelayer on top of the intermediate glass handling wafer asshown in Fig. 19�c�. Next, an aluminum ceramic substrate isattached to the silicon layer with adhesive wafer bonding.Finally, the intermediate glass handling wafer is debonded asshown in Fig. 19�d�.

D. Wafer-level packaging

Packaging is an important manufacturing step for com-mercial electronic, MEMS, MOEMS, and sensor systems.Typically, at least some part of the packaging is done on chiplevel and a huge variety of chip-level packaging techniques

FIG. 18. SEM closeup of a transferred cantilever array �Refs. 123 and 163�.

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

are available.228,229 WLP has advantages over the chip-levelpackaging as the wafer-level packaging enables highly par-allel wafer processes, while allowing complex three-dimensional system designs. Another inherent advantage ofthe wafer-level packaging, sometimes referred to as zero-level packaging,142,143,160,189 is that the packages can protectthe transducers and circuits during the wafer dicing process.Processing techniques that are typically used in wafer-levelpackaging are thin-film deposition processes229 and variouswafer bonding processes.4–6,13,16,25,36,56,65,77,87–89,91

93–95,108,113,114,133,142–144,152,168–170,194,208,210,219 Adhesive waferbonding is one very attractive candidate for the wafer-levelpackaging applications since it is CMOS compatible, low-cost, and relatively insensitive to wafer surface conditions.

Figure 20 shows a few alternatives of using polymerbonding to create cavities and surface protection for wafer-level packaging applications. The choice of wafer material

FIG. 19. Fabrication process of a thin-film solar cell. �a� SOI wafer, �b�fabricated cell on the SOI wafer, �c� attachment of glass and etching ofsupporting substrate and SiO2 of SOI wafer, and �d� thin-film cell by adher-ing the Al2O3 substrate �adapted from Ref. 81�.

FIG. 20. Fabrication methods for mi-crocavities and component protectionin wafer-level packaging applications�Ref. 13�.

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-22 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

for these packages is practically unrestricted. The packagesin Figs. 20�a� and 20�e� use adhesive wafer bonding with aself-contained polymer film, and the packages in Figs.20�b�–20�d� use a localized adhesive wafer bonding with apatterned polymer adhesive. Cavities that extend into the wa-fer material, as shown in Figs. 20�a� and 20�b�, can be cre-ated with standard etching techniques such as DRIE or wetetching. The package shown in Fig. 20�c� consists of dis-tance holders that may be a patterned metal, polymer, oranother patterned material. The distance holder is then cov-ered with the adhesive material. In the package shown in Fig.20�d�, the polymer layer acts at the same time as both thepolymer adhesive and as spacer material between the twowafer surfaces. The package schemes shown in Fig. 20 canbe combined with each other in various ways and for allpackages the adhesive bonding layer can be present on bothwafer surfaces.

To establish electrical contacts between the componentsinside a package and the outside world, feed-through con-tacts can be used that are placed underneath the bond line orthat are embedded in one of the substrates. To access thebond pads of the feed-through lines on the wafer surface, thetop capping wafer is diced and/or etched after the waferbonding.13,16,77,87,95,108,114,159,165,166 Another method to pro-vide an electrical contact between the inside and the outsideof a package is to use through-wafer contacts. Through-wafer contacts are fabricated by drilling or etching viathrough-holes in the wafer. The holes are then filled withelectrically conducting materials.56,61

For many applications, hermetically sealed packages arenecessary. These include components for military and otherhigh-end applications as well as transducers that are moisturesensitive or that have to be operated in a controlled atmo-sphere or vacuum. Polymer adhesives are generally perme-able to gases and moisture as depicted in Fig. 4.22 Thus, theyare typically not suitable as the diffusion barriers in hermeticsealing applications. To benefit from the advantages of poly-mer adhesive wafer bonding while obtaining hermeticallysealed packages, an additional diffusion barrier is required.

FIG. 21. Fabrication scheme for hermetically sealed packages using adhe-sive wafer bonding in combination with an additional diffusion barrier ma-terial �Refs. 13 and 152�.

Figure 21 shows a concept of one possible process flow for

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

wafer-level fabrication of hermetically sealed packages usingadhesive wafer bonding.13,16,94,152 The top wafer of one ofthe packages from Fig. 20 is diced and/or etched in a waythat the polymer adhesive at the bond interface is exposed atthe chip edges as shown in Fig. 21�b�. A diffusion barriermaterial is deposited on top of the polymer adhesive to her-metically seal the chip packages as shown in Fig. 21�c�.Chemical-vapor deposited metals, a preferred diffusion bar-rier layer, provide complete and full conformal coating of thepolymer adhesive. For cavities that are fabricated using pat-terned BCB as the polymer adhesive, the atmosphere inside acavity adapts to the outside atmosphere within seconds.88,93

Thus, if a package is placed in vacuum, the surroundingvacuum will also be present inside the package after a shorttime. This effect can be used to obtain defined atmospheresinside cavities by depositing the diffusion barrier material atthe desired atmospheric condition. The cavity is sealed andthe predefined gas pressure or vacuum is preserved inside thecavity.

Adhesive wafer bonding is used for wafer-level packag-ing and capping of electronics,15,47,133,194 CMOS imagingchips,56,61 radio-frequency �RF�devices,13,16,77,87,88,91,94,95,108,113,114,142–144,152,210 and MEMSdevices and MOEMSdevice.13,16,77,88,89,93,94,108,113,114,152,168–170,194 Figure 22�a�shows an example of wafer-level packages for radio-frequency devices189 and Fig. 22�b� shows an example ofwafer-level package for the CMOS imaging sensors.56,61 Fig-ure 23 shows an example of a LCoS chip that is manufac-tured using localized adhesive wafer bonding as shown inFig. 20�d� and described in Sec. IV B 2. Liquid crystal on

FIG. 22. �a� Wafer-level fabrication of cavities �Ref. 189� and �b� wafer-level package for CMOS imaging sensors with through wafer contacts�Refs. 56 and 61�.

silicon chips make up high-resolution displays. The most at-

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-23 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

tractive application area of liquid crystal on silicon chips isas fast, high-resolution reflective light modulators for projec-tion displays.50,51

E. Fabrication of microfluidic and bioMEMScomponents

Microfluidic systems have been used in the past for ap-plications such as inkjet print heads172 and device cooling.The recent, rapid development of microfluidic systems foruse in micrototal analysis systems ��Tas�, microchemicalsynthesis applications, and bioMEMS, has triggered the needfor fabrication techniques of microfluidic channels, devices,and systems.

Adhesive wafer bonding is an attractive candidate that isincreasingly used for sealing of microfluidic channels andcomponents since it can provide low-temperature bondingand even to room-temperature bonding, which is compatiblewith many temperature sensitive biochemical agents. Themethods for the fabricating cavities described in Sec. VI Dand shown in Figs. 20�a�–20�d� can be used to manufacturemicrofluidic channels and components. The manufacturingof microfluidic channels and devices has been demonstratedusing various adhesive materials and bondingschemes.13,16,54,58,60,66,72,74,79,80,85,111,122,132,148–151,155,157,171,173

184,185,191,211,216,218,220,221The most commonly used substratematerials for the fabrication of microfluidic systems are sili-con, glass, and plastics. Microfluidic systems may also beintegrated with electronic circuits and optical components forthe applications such as on-chip signal analysis of largeamounts of signal data in micrototal analysis systems.186 Fig-ure 24 shows examples of a filter chamber72 and a lab-on-a-chip device with integrated optical sensors.186 Adhesive wa-fer bonding can be used to seal microchannels in compactdisk �CD� based analytical chemistry systems.

Figure 25 shows an example of manufacturing of micro-fluidic channels that include hydrophobic patches sealed us-ing adhesive wafer bonding. Thus, hydrophobic valves arecreated in the sealed channels that can stop capillary fillingof the channels.13,60,72,74 The progressing mensicus of a liq-uid inside the channel is stopped at the hydrophobic patch.The hydrophobic patch can be overrun with the liquid whenan external pressure drop is applied between the channel in-let and the channel outlet. Alternative bonding methods suchas anodic bonding cannot be used for sealing the channels

FIG. 23. Wafer-level fabrication of cavities for liquid crystal on silicon�LCoS� components �Ref. 50�.

since they cause damage to the hydrophobic patches due to

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

the combination of excessive temperatures and strong elec-tric fields. The hydrophobic valves are fabricated as follows:The 50–100 �m-wide and 50-�m-deep channels are formedby deep reactive ion etching of a silicon wafer as shown in

FIG. 24. Fabrication methods for fluidic channels and devices with adhesivewafer bonding �Refs. 72 and 186�.

FIG. 25. Process flow for the fabrication of hydrophobic valves �Ref. 74�.

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-24 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

Fig. 25�a�. A thick photoresist is applied and openings arepatterned as illustrated in Fig. 25�b�. The polymer for thehydrophobic patches is deposited using a plasma processwith octafluorcyclobutane �C4F8� gas. 74 A lift-off process bystripping the thick photoresist leaves a patterned polymerfilm inside the channels. The fluidic channels with the hydro-phobic valves and the connected fluidic devices are thensealed by bonding a glass lid on top of the silicon waferusing a self-contained intermediate adhesive layer �e.g.,BCB� as shown in Fig. 25�c�.

VII. FUTURE DEVELOPMENTS AND CONCLUSIONS

Three-dimensional integrated circuit �3D-IC� technologywas proposed in the International Technology Roadmap forSemiconductors �ITRS� in 2003 �Ref. 231� as one of thepossible techniques to reduce global interconnect delays.Wafer-level three-dimensional integration of electronic cir-cuits also benefits devices that require a high via density asconnectors in between the layers, such as stacked memories,processors, and imaging components. Aligned wafer-to-wafer bonding with dielectric adhesive layers �e.g., BCB� isone of the most promising approaches for three-dimensionalintegrated circuit manufacturing. If the 3D technology plat-forms using adhesive wafer bonding techniques becometechnologies of choice in integrated circuit manufacturing,other application areas of adhesive wafer bonding willgreatly benefit from the technology advances that can be ex-pected.

In recent research, a clear trend is to integrate MEMSand MOEMS components with standard integrated electroniccircuits. If high temperature deposited and high-quality ma-terials for the MEMS or MOEMS components shall be inte-grated on the integrated circuit wafers, some form of thematerial transfer on to the integrated circuit wafer has to bedone. Various thin film and device transfer techniques thatare based on adhesive wafer bonding show great promise toprovide the layer transfer in an easy to implement, robust,and cost-efficient way. The first commercial MEMS devicesthat use adhesive wafer bonding for material transfer may bethe arrayed devices with a very high via density, such asuncooled infrared focal plane arrays, arrays of torsional mi-cromirrors, and cantilever scanning-probe arrays.

Packaging is an important �in some cases, critical�manufacturing step for commercial electronic, MEMS,MOEMS, and sensor systems and a large part of the compo-nent costs can be attributed to their packaging. Wafer-levelpackaging is used increasingly as a very promising technol-ogy to reduce the total packaging costs. This is specificallytrue when a wafer is populated with a very large amount ofsmall, but similar devices. Thus, all devices on one wafer canbe packaged simultaneously in a single step. Adhesive waferbonding is one very promising technology that provides ro-bust, low cost, and high yield bonding processes for manypackaging applications. In many application areas, hermeticsealing of the components are an important feature. Adhesivewafer bonding typically cannot provide hermetically sealed

packages; however, packaging schemes are available with

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

adhesive wafer bonding in combination with thin-film depo-sition, which can provide hermetically sealed packages.

The recent, rapid development of microfluidic systemsfor use in micrototal analysis systems ��Tas�, microchemicalsynthesis applications, and bioMEMS has accelerated the useof adhesive wafer bonding for manufacturing of channelsand microfluidic components. For these applications, adhe-sive wafer bonding is one of the most attractive and mosteasy to use manufacturing technologies, while compatiblewith many materials used in such systems.

Adhesive wafer bonding is a generic CMOS compatibletechnology that provides unique possibilities for fabricationand improvement of microsystems. Process schemes and pa-rameters for adhesive wafer bonding with the self-containedintermediate polymer films and with the photolithographi-cally patterned polymer adhesives �localized adhesive waferbonding� are readily available in literature. Polymer adhe-sives that work specifically well for wafer bonding applica-tions are epoxylike B-stage polymers �e.g., BCB, SU8, andsome negative photoresists� and most thermoplastic poly-mers �e.g., PMMA, copolymers�. The main advantages ofadhesive wafer bonding are the insensitivity to surface to-pography, the low bonding temperatures, the compatibilitywith standard integrated circuit wafer processing and theability to join practically any kind of wafers. Adhesive waferbonding requires no special wafer surface treatments such asplanarization. Structures and particles at the wafer surfacescan be tolerated and compensated for some extent by thepolymer adhesive.

In conclusion, the underline physics of adhesive waferbonding has been extensively investigated. Various adhesivewafer bonding technologies and characterization methodolo-gies are developed. As a simple, robust, and low-cost bond-ing process, adhesive wafer bonding can be used for manyapplications, such as wafer-level 3D-ICs, wafer-level pack-aging, and a variety of microsystems that can be integratedwith CMOS ICs.

ACKNOWLEDGMENTS

The authors would like to thank their colleagues in the3D group at Rensselaer Polytechnic Institute �RPI� in Troy,USA and in the Microsystem Technology Group at RoyalInstitute of Technology �KTH� in Stockholm, Sweden for thefruitful discussions on the various aspects of the adhesivewafer bonding.

1J. E. Gragg, W. E. McCulley, W. B. Newton, and C. E. Derrington, Pro-ceedings of IEEE Solid State Sensor and Actuator Workshop, Hilton Head,SC, 1984 �unpublished�, pp. 21–27.

2K. Petersen, P. W. Barth, J. Poydock, J. Brown, J. Mallon, and J. Bryzek,Proceedings of IEEE Solid State Sensor and Actuator Workshop, HiltonHead, SC, 1988 �unpublished�, pp. 144–147.

3M. A. Schmidt, Proc. IEEE 86, 1575 �1998�.4Q.-Y. Tong and U. Gösele, Semiconductor Wafer Bonding: Science andTechnology �Wiley, New York, 1999�.

5S. S. Iyer and A. J. Auberton-Hervé, Silicon Wafer Bonding Technologyfor VLSI and MEMS �INSPEC, London, UK, 2002�.

6M. Alexe and U. Gösele, Wafer Bonding Applications and Technology�Springer-Verlag, Berlin, 2004�.

7D. Sparks, G. Queen, R. Weston, G. Woodward, M. Putty, L. Jordan, S.Zarabadi, and K. Jayakar, J. Micromech. Microeng. 11, 630 �2001�.

8

Y. T. Cheng, L. Lin, and K. Najafi, J. Microelectromech. Syst. 9, 3 �2000�.

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-25 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

9M. M. Maharbiz, M. B. Cohn, R. T. Howe, R. Horowitz, and A. P. Pisano,Proceedings of MEMS, Orlando, FL, 1999 �unpublished�, pp. 482–489.

10P. Morrow et al., Proceedings of Advanced Metallization Conference, SanDiego, CA, 2004 �unpublished�, pp. 125–130.

11J. Kim, M. Chiao, and L. Lin, Proceedings of MEMS, Las Vegas, NV,2002 �unpublished�, pp. 415–418.

12S. A. Audet and K. M. Edenfeld, Proceedings of Transducers, Chicago, IL,1997 �unpublished�, pp. 287–289.

13F. Niklaus, Ph.D. thesis, Royal Institute of Technology, 2002.14Y. Kwon, Ph.D. thesis, Rensselaer Polytechnic Institute, 2003.15R. Dekker, Ph.D. thesis, University of Technology, 2004.16J. Oberhammer, Ph.D. thesis, Royal Institute of Technology, 2004.17B. G. Yacobi, S. Martin, K. Davis, A. Hudson, and M. Hubert, J. Appl.

Phys. 91, 6227 �2002�.18B. G. Yacobi and M. Hubert, Adhesive Bonding in Photonics Assembly

and Packaging �American Scientific, Stevenson Ranch, CA, 2003�.19C. Nobel, Industrial Adhesives Handbook �Casco Nobel, Fredensborg,

Denmark, 1992�.20W. M. Alvino, Plastics for Electronics: Materials, Properties, and Design

�McGraw-Hill, New York, 1995�.21E. W. Flick, Adhesives, Sealants and Coatings for the Electronic Industry

�Noyes, Park Ridge, 1986�.22R. K. Traeger, Proceedings of Electronic Components Conference, San

Francisco, CA, 1976 �unpublished�, pp. 361–367.23N. P. Pham, E. Boellaard, J. N. Burghartz, and P. M. Sarro, J. Microelec-

tromech. Syst. 13, 491 �2004�.24S. J. Limb, C. B. Labelle, K. K. Gleason, D. J. Edell, and E. F. Gleason,

Appl. Phys. Lett. 68, 2810 �1996�.25R. L. Smith and S. D. Collins, IEEE Trans. Electron Devices 35, 787

�1988�.26A. A. Shores, Proceedings of Electronic Components Conference, Hous-

ton, TX, 1989 �unpublished�, pp. 891–895.27C. den Besten, R. E. G. van Hal, J. Munoz, and P. Bergveld, Proceedings

of MEMS, Travemunde, Germany, 1992 �unpublished�, pp. 104–109.28W. P. Eaton, S. H. Risbud, and R. L. Smith, Appl. Phys. Lett. 65, 439

�1994�.29S. K. Malik, R. Srinath, R. A. Pearson, R. Kodnani, J. Dzwilefsky, and A.

Call, Proc. SPIE 2649, 130 �1995�.30G. A. C. M. Spierings, J. Haisma, and F. J. H. M. van der Kruis, Philips J.

Res. 49, 139 �1995�.31V. L. Spiering, J. W. Berenschot, M. Elwenspoek, and J. H. J. Fluitman, J.

Microelectromech. Syst. 4, 151 �1995�.32P. Arquint, P. D. van der Wal, B. H. van der Schoot, and N. F. de Rooij,

Proceedings of Transducers, Stockholm, Sweden, 1995 �unpublished�, pp.263–264.

33E. Booth, C. E. Hunt, W. E. Brown, and R. J. Stover, Proceedings ofSemiconductor Wafer Bonding: Science, Technology and Applications,Pennington, NJ, 1995 �unpublished�, Vol. 95–97, pp. 201–211.

34M. V. Weckwerth, J. A. Simmons, N. E. Harff, M. E. Sherwin, M. A.Blount, W. E. Baca, and H. C. Chui, Superlattices Microstruct. 20, 561�1996�.

35M. O. Horo, J. O. Neil, E. Peeters, and S. Vandebroek, Proceedings ofEurosensors, Leuven, Belgium, 1996 �unpublished�, pp. 431–435.

36A. B. Frazier, Proceedings of Midwest Symposium on Circuits and Sys-tems, Rio de Janeiro, Brazil, 1996 �unpublished�, pp. 505–508.

37S. Matsuo, T. Nakahara, K. Tateno, and T. Kurokawa, IEEE PhotonicsTechnol. Lett. 8, 1507 �1996�.

38S. Matsuo, K. Tateno, T. Nakahara, and T. Kurokawa, Electron. Lett. 33,1148 �1997�.

39S. Van der Groen, M. Rosmeulen, P. Jansen, K. Baert, and L. Deferm,Proceedings of Transducers, Chicago, IL, 1997 �unpublished�, pp. 629–632.

40S. Van der Groen, M. Rosmeulen, K. Baert, P. Jansen, and L. Deferm, J.Micromech. Microeng. 7, 108 �1997�.

41I. B. Kang, M. Manda, A. Hariz, N. D. Samaan, and M. R. Haskard,Proceedings of Australian Microelectronics Conference, Melbourne, Aus-tralia, 1997 �unpublished�, pp. 129–133.

42R. Dekker, P. Baltus, M. Van Deurzen, W. van der Einden, H. Maas, andA. Wagemans, Proceedings of International Electron Devices Meeting,Washington, DC, 1997 �unpublished�, pp. 921–923.

43P. M. Sailer, P. Singhal, J. Hopwood, D. R. Kaeli, P. M. Zavracky, K.Warner, and D. P. Vu, IEEE Circuits Devices Mag. 13, 27 �1997�.

44J. Simon, L. S. Huang, B. Sridharan, and C. J. Kim, Proceedings of

MEMS, Dallas, TX, 1997 �unpublished�, Vol. 62, pp. 29–34.

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

45J. Simon, S. Saffer, and C. J. Kim, J. Microelectromech. Syst. 6, 208�1997�.

46E. Booth, C. E. Hunt, W. E. Brown, and R. J. Stover, Proceedings ofSemiconductor Wafer Bonding: Science, Technology and Applications,Paris, France, 1998 �unpublished�, Vols. 97–136, pp. 584–591.

47R. Dekker, M. H. W. A. van Deurzen, W. T. A. van der Einden, H. G. R.Maas, and A. G. Wagemans, Proceedings of Bipolar/BiCMOS Circuitsand Technology Meeting, Piscataway, NJ, 1998 �unpublished�, pp. 132–135.

48G. Klink and B. Hillerich, Proc. SPIE 3514, 50 �1998�.49S. R. Sakamoto, C. Ozturk, Y. T. Byun, J. Ko, and N. Dagil, IEEE Photon.

Technol. Lett. 10, 985 �1998�.50P. T. Kazlas, K. M. Johnson, and D. J. McKnight, Opt. Lett. 23, 972

�1998�.51P. T. Kazlas, K. M. Johnson, Y.-C. Lee, and S. Hareb, Proc. SPIE 3289, 52

�1998�.52T. Matsumoto, M. Satoh, K. Sakuma, H. Kurino, N. Miyakawa, H. Itani,

and M. Koyanagi, Jpn. J. Appl. Phys., Part 1 37, 1217 �1998�.53B. Ilic, P. Neuzil, T. Stanczyk, D. Czaplewski, and G. J. Maclay, Electro-

chem. Solid-State Lett. 2, 86 �1999�.54B. H. Jo and D. J. Beebe, Proc. SPIE 3877, 222 �1999�.55I. K. Glasgow, D. J. Beebe, and V. E. White, Sens. Mater. 11, 269 �1999�.56A. Badihi, Proceedings of Advanced Packaging Materials: Processes,

Properties and Interfaces, Braselton, GA, 1999 �unpublished�, pp. 236–240.

57H. Nguyen, P. Patterson, H. Toshiyoshi, and M. C. Wu, Proceedings ofMEMS, Miyazaki, Japan, 2000 �unpublished�, pp. 628–632.

58A. Han, K. W. Oh, S. Bhansali,H. T. Henderson, and C. H. Ahn, Proceed-ings of MEMS, Miyazaci, Japan, 2000 �unpublished�, pp. 414–418.

59F. Niklaus, P. Enoksson, E. Kälvesten, and G. Stemme, Proceedings ofMEMS, Miyazaki, Japan, 2000 �unpublished�, pp. 247–252.

60F. Niklaus, H. Andersson, P. Enoksson, and G. Stemme, Proceedings ofEurosensors, Copenhagen, Denmark, 2000 �unpublished�, pp. 243–244.

61A. Badihi, IEEE Trans. Adv. Packag. 23, 212 �2000�.62J.-Q. Lu et al., Proceedings of Advanced Metallization Conference, San

Diego, CA, 2000 �unpublished�, pp. 515–521.63Y. Ma, G. Chang, S. Park, L. Wang, and S. T. Ho, IEEE Photonics Tech-

nol. Lett. 12, 1495 �2000�.64C. Colinge, B. Roberds, and B. Doyle, J. Electron. Mater. 30, 841 �2001�.65T.-K. A. Chou and K. Najafi, Proceedings of Transducers, Munich, Ger-

many, 2001 �unpublished�, pp. 1570–1573.66R. J. Jackman, T. M. Floyd, R. Ghodssi, M. A. Schmidt, and K. F. Jensen,

J. Micromech. Microeng. 11, 263 �2001�.67J. C. Selby, M. A. Shannon, K. Xu, and J. Economy, J. Micromech.

Microeng. 11, 672 �2001�.68P. P. Absil, J. V. Hryniewicz, B. E. Little, F. G. Johnson, K. J. Ritter, and

P.-T. Ho, IEEE Photonics Technol. Lett. 13, 49 �2001�.69G.-D. J. Su, H. Toshiyoshi, and M. C. Wu, IEEE Photonics Technol. Lett.

13, 606 �2001�.70F. Niklaus, P. Enoksson, E. Kälvesten, and G. Stemme, J. Micromech.

Microeng. 11, 100 �2001�.71F. Niklaus, P. Enoksson, P. Griss, E. Kälvesten, and G. Stemme, J. Micro-

electromech. Syst. 10, 525 �2001�.72F. Niklaus, H. Andersson, P. Enoksson, and G. Stemme, Sens. Actuators, A

92, 235 �2001�.73F. Niklaus, E. Kälvesten, and G. Stemme, J. Micromech. Microeng. 11,

509 �2001�.74H. Andersson, W. van der Wijngaart, P. Griss, F. Niklaus, and G. Stemme,

Sens. Actuators B 75, 136 �2001�.75F. Niklaus, E. Kälvesten, and G. Stemme, Proceedings of AeroSense, Or-

lando, FL, 2001 �unpublished�.76F. Niklaus, P. Enoksson, P. Griss, E. Kälvesten, and G. Stemme, Proceed-

ings of Transducers, Munich, Germany, 2001 �unpublished�, pp. 1594–1597.

77J. Oberhammer, F. Niklaus, and G. Stemme, Proceedings of MME, Cork,Ireland, 2001 �unpublished�, pp. 54–57.

78S. K. Sampath, L. St. Clair, W. Xingtao, D. V. Ivanov, Q. Wang, C. Ghosh,and K. R. Farmer, Proceedings of Microelectronics Symposium, Rich-mond, VA, 2001 �unpublished�, pp. 158–161.

79Y.-C. Su and L. Lin, IEEE Proceedings of MEMS, Interlaken, Switzerland,2001 �unpublished�, pp. 50–53.

80Z. Huang, J. C. Sanders, C. Dunsmor, H. Ahmadzadeh, and J. P. Landers,

Electrophoresis 22, pp. 3924 �2001�.

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-26 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

81H. Takato and R. Shimokawa, IEEE Trans. Electron Devices 48, 2090�2001�.

82J.-Q. Lu, Y. Kwon, R. P. Kraft, R. J. Gutmann, J. F. McDonald, and T. S.Cale, IEEE Proceedings of the International Interconnect Technology Con-ference, Burlingame, KS, 2001 �unpublished�, pp. 219–221.

83R. J. Gutmann, J.-Q. Lu, Y. Kwon, J. F. McDonald, and T. S. Cale, IEEEProceedings of Polymers and Adhesives in Microelectronics and Photon-ics, Potsdam, Germany, 2001 �unpublished�, pp. 173–180.

84J.-Q. Lu et al., Proceedings of Advanced Metallization Conference, Mon-treal, Canada, 2001 �unpublished�, pp. 151–157.

85H. van der Linden, W. Olthuis, and P. Bergveld, Proceedings of Nanotech-nology, Montreux, Switzerland, 2001 �unpublished�.

86X. Wang, L.-H. Lu, and C. Liu, IEEE Proceedings of MEMS, Interlaken,Switzerland, 2001 �unpublished�, pp. 126–130.

87H. A. C. Tilmans et al., Proceedings of Electron Devices Meeting, Wash-ington, DC, 2001 �unpublished�, pp. 41.4.1–41.4.4.

88A. Jourdain, P. de Moor, S. Pamidighantam, and H. A. C. Tilmans, Pro-ceedings of MEMS, Las Vegas, NV, 2002 �unpublished�, pp. 677–680.

89C.-T. Pan, H. Yang, S.-C. Shen, M.-C. Chou, and H.-P. Chou, J. Micro-mech. Microeng. 12, 611 �2002�.

90A. Bayrashev and B. Ziaie, Proceedings of MEMS, Las Vegas, NV, 2002�unpublished�, pp. 419–422.

91Y.-K. Park et al., Proceedings of MEMS, Las Vegas, NV, 2002 �unpub-lished�, pp. 681–684.

92K. W. Oh, A. Han, S. Bhansali, and C. H. Ahn, J. Micromech. Microeng.12, 187 �2002�.

93C. Fu, T. Koller, R. Ahrens, Z. Rummler, and W. K. Schomburg, Proceed-ings of Eurosensors, Prague, Czech Republic, 2002 �unpublished�, pp.149–150.

94J. Oberhammer, F. Niklaus, and G. Stemme, Proceedings of Eurosensors,Prague, Czech Republic, 2002 �unpublished�, pp. 298–299.

95M. Goetz and C. Jones, IEEE Proceedings of Electronics ManufacturingTechnology Symposium, San Jose, CA, 2002 �unpublished�, pp. 63–66.

96J.-Q. Lu et al., IEEE Proceedings of International Interconnect TechnologyConference, Burlingame, KS, 2002 �unpublished�, pp. 78–80.

97Y. Kwon, J.-Q. Lu, R. P. Kraft, J. F. McDonald, R. J. Gutmann, and T. S.Cale, Proceedings of Polymer Interfaces and Thin Films Symposium, Bos-ton, MA, 2002 �unpublished�, pp. 231–236.

98K. W. Guarini et al. Proceedings of International Electron Devices Meet-ing, San Francisco, CA, 2002 �unpublished�, pp.943–945.

99V. Dragoi, T. Glinsner, G. Mittendorfer, M. Wimplinger, and P. Lindner,Proceedings of International Semiconductor Conference, Sinaia, Romania,2002 �unpublished�, Vol. 2, pp. 331–334.

100Y. Awatani, Y. Matsumoto, and K. Kato, IEEE Proceedings of Interna-tional Conference on Optical MEMS, Lugano, Switzerland, 2002 �unpub-lished�, pp. 137–138.

101Y. S. Choi, J. S. Park, J. H. Hwang, W. S. Hong, H. D. Park, Y. H. Song,and S. G. Kang, Proceedings of Pacific Rim Workshop on Transducersand Micro/Nano Technologies, Xiamen, China, 2002 �unpublished�, pp.147–150.

102D. J. Mould and J. C. Moore, Proceedings of GaAs MANTECH Confer-ence, San Diego, CA, 2002 �unpublished�, pp. 109–112.

103F. Sarvar, D. A. Hutt, and D. C. Whalley, IEEE Proceedings of Poly-tronic, Zalaegerszeg, Hungary, 2002 �unpublished�, pp. 22–28.

104H. Noh, P. J. Hesketh, and G. C. Frye-Mason, J. Microelectromech. Syst.11, 718 �2002�.

105J.-Q. Lu et al., Proceedings of VMIC, 2002 �unpublished�, pp. 445–454.106F. Niklaus, P. Enoksson, E. Kälvesten, and G. Stemme, Sens. Actuators, A

107, 273 �2003�.107F. Niklaus, S. Haasl, and G. Stemme, J. Microelectromech. Syst. 12, 465

�2003�.108J. Oberhammer, F. Niklaus, and G. Stemme, Sens. Actuators, A 105, 297

�2003�.109S. Li, C. B. Freidhoff, R. M. Young, and R. Ghodssi, J. Micromech.

Microeng. 13, 732 �2003�.110 J. Wang, J. Engel, and C. Liu, J. Micromech. Microeng. 13, 628 �2003�.111 S. Schlautmann, G. A. J. Besselink, G. R. Prabhu, and R. B. M. Schas-

foort, J. Micromech. Microeng. 13, 81 �2003�.112 S. Haasl, F. Niklaus, and G. Stemme, IEEE Proceedings of MEMS,

Kyoto, Japan, 2003 �unpublished�, pp. 271–274.113 F. Niklaus, J. Oberhammer, and G. Stemme, Proceedings of Workshop on

MEMS Sensor Packaging, Copenhagen, Denmark, 2003 �unpublished�.114 J. Oberhammer and G. Stemme, Proceedings of Transducers, Boston,

MA, 2003 �unpublished�, pp. 1832–1835.

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

115 V. Dragoi, T. Glinsner, G. Mittendorfer, B. Wieder, and P. Lindner, Proc.SPIE 5116, 160 �2003�.

116 J.-Q. Lu, A. Jindal, Y. Kwon, J. J. McMahon, M. Rasco, R. Augur, T. S.Cale, and R. J. Gutmann, IEEE Proceedings of IITC, Burlingame, KS,2003 �unpublished�, pp. 74–76.

117 A. Jindal et al., Mater. Res. Soc. Symp. Proc. 766, 21 �2003�.118 Y. Kwon, A. Jindal, J. J. McMahon, J.-Q. Lu, R. J. Gutmann, and T. S.

Cale, Mater. Res. Soc. Symp. Proc. 766, 27 �2003�.119 R. J. Gutmann, J.-Q. Lu, J. J. McMahon, P. D. Persans, T. S. Cale, E. T.

Eisenbraun, J. Castracane, and A. E. Kaloyeros, Proceedings of Nano-technology Conference, San Francisco, CA, 2003 �unpublished�, Vol. 1,pp. 530–533.

120R. J. Gutmann et al., Proceedings of AMC, Montreal, Canada, 2003 �un-published�, pp. 19–26.

121T.-J. Hwang, D. O. Popa, J.-Q. Lu, B.-H. Kang, and H. E. Stephanou,Proceedings of IPACK, Hawaii, 2003 �unpublished�.

122B. Bilenberg, T. Nielsen, D. Nilsson, B. Clausen, and A. Kristensen,Proceedings of Eurosensors, Guimaraes, Portugal, 2003 �unpublished�,pp. 486–489.

123M. Despont, U. Drechsler, R. Yu, H. B. Pogge, and P. Vettiger, Proceed-ings of Transducers, Boston, MA, 2003 �unpublished�, Vol. 21, pp. 1907–1910.

124M. Feil, C. Adler, G. Klink, M. König, C. Landesberger, S. Scherbaum,G. Schwinn, and H. Spöhrle, Microsyst. Technol. 9, 176 �2003�.

125H. S. Kim and K. Najafi, Proceedings of Transducers, Boston, MA, 2003�unpublished�, Vol. 1, pp. 790–793.

126C. Fu, Z. Rummler, and W. Schomburg, J. Micromech. Microeng. 13, 96�2003�.

127V. Loryuenyong, T. Sands, and N. W. Cheung, Mater. Res. Soc. Symp.Proc. 768, 123 �2003�.

128A. Bayrashev and B. Ziaie, Sens. Actuators, A 103, 16 �2003�.129Y.-S. Choi, J.-S. Park, H.-D. Park, Y.-H. Song, J.-S. Jung, and S.-G.

Kang, Sens. Actuators, A 108, 201 �2003�.130P. M. Mendes, A. Polyakov, M. Bartek, J. N. Burghartz, and J. H. Cor-

reia, Proceedings of European Microwave Conference, Munich, Ger-many, 2003 �unpublished�, Vol. 2, pp. 723–266.

131P. M. Mendes, M. Bartek, J. N. Burghartz, and J. H. Correia, IEEE Pro-ceedings of Antennas and Propagation Society International Symposium,Columbus, OH, 2003 �unpublished�, Vol. 2, pp. 667–670.

132P. Turmezei, A. Polyakov, J. R. Mollinger, M. Bartek, A. Bossche, and J.N. Burghartz, Proceedings of Transducers, Boston, MA, 2003 �unpub-lished�, Vol. 1, pp. 107–110.

133A. Polyakov, A. Bartek, and J. N. Burghartz, Proceedings of Polytronic,Montreaux, Switzerland, 2003 �unpublished�, pp. 119–122.

134Y. Matsumoto, Y. Awatani, and K. Kato, Trans. Inst. Electr. Eng. Jpn.,Sect. E 123, 255 �2003�.

135R. Dekker et al., IEEE Proceedings of International Electron DevicesMeeting, Washington, DC, 2003 �unpublished�, pp. 15.4.1–15.4.4.

136A. Katsnelson, V. E. Tokranov, M. Yakimov, M. Lamberti, and S. Okty-abrsky, Proc. SPIE 4997, 198 �2003�.

137A. Katsnelson, V. E. Tokranov, M. Yakimov, M. Lamberti, and S. Okty-abrsky, Mater. Res. Soc. Symp. Proc. 741, 123 �2003�.

138J. Oberhammer, B. Lindmark, and G. Stemme, IEEE Proceedings ofIMOC, Foz do Iguacu, Brazil, 2003 �unpublished�, pp. 537–540.

139R. Truckenmüller, P. Henzi, D. Herrmann, V. Saile, and W. K. Schom-burg, IEEE Proceedings of Design, Test, Integration and Packaging ofMEMS/MOEMS, Cannes, France, 2003 �unpublished�, pp. 265–267.

140Y. Lu, J. P. Yang, J. Chen, and S. X. Chen, IEEE Trans. Magn. 39, 2240�2003�.

141S.-C. Wang, C.-F. Yeh, C.-K. Huang, and Y.-T. Dai, Jpn. J. Appl. Phys.,Part 2 42, L1044 �2003�.

142A. Jourdain, H. Ziad, P. De Moor, and H. A. C. Tilmans, IEEE Proceed-ings of Design, Test, Integration and Packaging of MEMS/MOEMS,Cannes, France, 2003 �unpublished�, pp. 239–244.

143A. Jourdain, X. Rottenberg, G. Carchon, and H. A. C. Tilmans, Proceed-ings of Transducers, Boston, MA, 2003 �unpublished�, Vol. 2, pp. 1915–1918.

144G. Carchon, X. Rottenberg, G. Posada, A. Jourdain, H. A. C. Tilmans,and W. De Raedt, Proceedings of ESA Workshop on Millimetre WaveTechnology and Applications: Circuits, Systems, and Measurement Tech-niques, Espoo, Finland, 2003 �unpublished�, pp. 485–490.

145J.-Q. Lu et al., Proceedings of VMIC, Marina Del Rey, CA, 2003 �un-published�, pp. 227–236.

146

Y. Oya et al., IEEE Proceedings of Electron Devices Meeting, San Fran-

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-27 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

cisco, CA, 2004 �unpublished�, pp. 31–34.147F. Niklaus, J. Pejnefors, M. Dainese, M. Häggblad, P.-E. Hellström, U.

Wållgren, and G. Stemme, Proc. SPIE 5406, 521 �2004�.148H. Suzuki, Y. Kato-Yamada, H. Noji, and S. Takeuchi, IEEE Proceedings

of MEMS, Maastricht, The Netherlands, 2004 �unpublished�, pp. 272–275.

149C.-H. Lin, S.-K. Hsiung, and G.-B. Lee, IEEE Proceedings of MEMS,Maastricht, The Netherlands, 2004 �unpublished�, pp. 304–307.

150F. J. Blanco, M. Agirregabiria, J. Garcia, J. Berganzo, M. Tijero, M. T.Arroyo, J. M. Ruano, I. Aramburu, and K. Mayora, J. Micromech. Mi-croeng. 4, 1047 �2004�.

151F. J. Blanco et al., Proc. SPIE 5276, 131 �2004�.152J. Oberhammer, F. Niklaus, and G. Stemme, Sens. Actuators, A 110, 407

�2004�.153A. Katsnelson, V. Tokranov, M. Yakimov, and S. Oktyabrsky, Proceed-

ings of Materials, Integration and Packaging Issues for High-FrequencyDevices Symposium, Boston, MA, 2004 �unpublished�, pp. 217–222.

154P. Coquet, R. Sauleau, K. Shinohara, H. Lhermite, and T. Matsui, Micro-wave Opt. Technol. Lett. 40, 41 �2004�.

155U. Kloter, H. Schmid, H. Wolf, B. Michel, and D. Juncker, IEEE Pro-ceedings of MEMS, Maastricht, The Netherlands, 2004 �unpublished�,pp. 745–748.

156K. Cheung, S. Gawad, and P. Renaud, IEEE Proceedings of MEMS,Maastricht, The Netherlands, 2004 �unpublished�, pp. 343–346.

157G. Tresset and S. Takeuchi, IEEE Proceedings of MEMS, Maastricht, TheNetherlands, 2004 �unpublished�, pp. 25–28.

158J. M. Ruano et al., IEEE Proceedings of MEMS, Maastricht, The Neth-erlands, 2004 �unpublished�, pp. 121–124.

159J. Oberhammer and G. Stemme, IEEE Proceedings of MEMS, Maas-tricht, The Netherlands, 2004 �unpublished�, pp. 637–640.

160J. Oberhammer and G. Stemme, IEEE Proceedings of MEMS, Maas-tricht, The Netherlands, 2004 �unpublished�, pp. 713–716.

161R. Truckenmüller, P. Henzi, D. Herrmann, V. Saile, and W. K. Schom-burg, IEEE Proceedings of MEMS, Maastricht, The Netherlands, 2004�unpublished�, pp. 761–764.

162R. Truckenmüller, P. Henzi, D. Herrmann, V. Saile, W. K. Schomburg,Microsyst. Technol. 10, 372 �2004�.

163M. Despont, U. Drechsler, R. Yu, H. B. Pogge, and P. Vettiger, J. Micro-electromech. Syst. 13, 895 �2004�.

164S. Pozder et al., IEEE Proceedings of International Interconnect Technol-ogy Conference, Burlingame, KS, 2004 �unpublished�, pp. 102–104.

165J. Oberhammer and G. Stemme, J. Microelectromech. Syst. 13, 421�2004�.

166J. Oberhammer and G. Stemme, IEEE Trans. Electron Devices 51, 149�2004�.

167D. N. Pascual, Mater. Res. Soc. Symp. Proc. 782, 187 �2004�.168H. Noh, K.-S. Moon, A. Cannon, P. J. Hesketh, and C. P. Wong, IEEE

Proceedings of Electronic Components and Technology Conference, LasVegas, NV, 2004 �unpublished�, pp. 924–930.

169H. Noh, K.-S. Moon, A. Cannon, P. J. Hesketh, and C. P. Wong, J.Micromech. Microeng. 14, 652 �2004�.

170Z.-H. Liang, Y. T. Cheng, W. Hsu, and Y.-W. Lee, IEEE Proceedings ofElectronic Components and Technology Conference, Las Vegas, NV,2004 �unpublished�, Vol. 2, pp. 1486–1491.

171B. Bilenberg, T. Nielsen, B. Clausen, and A. Kristensen, J. Micromech.Microeng. 14, 814 �2004�.

172R. Nayve, M. Fujii, A. Fukugawa, T. Takeuchi, M. Murata, Y. Yamada,and M. Koyanagi, J. Microelectromech. Syst. 13, 814 �2004�.

173J. Carlier, S. Arscott, V. Thomy, J. C. Fourrier, F. Caron, J. C. Camart, C.Druon, and P. Tabourier, J. Micromech. Microeng. 14, 619 �2004�.

174J. J. McMahon, Y. Kwon, J.-Q. Lu, T. S. Cale, and R. J. Gutmann, Mater.Res. Soc. Symp. Proc. 795, 99 �2004�.

175J.-Q. Lu, G. Rajagopalan, M. Gupta, T. S. Cale, and R. J. Gutmann,Mater. Res. Soc. Symp. Proc. 816, 217 �2004�.

176R. J. Gutmann, J.-Q. Lu, S. Devarajan, A. Y. Zeng, and K. Rose, IEEEProceedings of Topical Meeting on Silicon Monolithic Integrated Circuitsin RF Systems, Atlanta, GA, 2004 �unpublished�, pp. 45–48.

177Y. Kwon, J. Yu, J. J. McMahon, J.-Q. Lu, T. S. Cale, and R. J. Gutmann,Mater. Res. Soc. Symp. Proc. 812, 321 �2004�.

178T. Hwang, D. Popa, S. Jeongsik, H. E. Stephanou, and E. M. Leonard,Proc. SPIE 5342, 182 �2004�.

179P. Premchander, R. Jayavel, D. Arivuoli, and K. Baskar, J. Cryst. Growth263, 454 �2004�.

180

C. T. Pan, J. Micromech. Microeng. 14, 522 �2004�.

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

181U. Christiaens, D. Van Thourhout, and R. Baets, IEEE Proceedings ofIPRM, Kagoshima, Japan, 2004 �unpublished�, pp. 425–429.

182I. Christiaens, D. Van Thourhout, and R. Baets, Electron. Lett. 40, 560�2004�.

183S.-C. Wang, C.-T. Hsu, C.-F. Yeh, and J.-C. Lou, Proc. SPIE 5276, 376�2004�.

184P. Svasek, E. Svasek, B. Lendl, and M. Vellekoop, Sens. Actuators, A115, 591 �2004�.

185H.-S. Noh, Y. Huang, and P. J. Hesketh, Sens. Actuators B 102, 78�2004�.

186S. Balslev, B. Bilenberg, O. Geschke, A. M. Jorgensen, A. Kristensen, J.P. Kutter, K. B. Mogensen, and D. Snakenborg, IEEE Proceedings ofMEMS, Maastricht, The Netherlands, 2004 �unpublished�, pp. 89–92.

187J.-Q. Lu, T. S. Cale, and R. J. Gutmann, Proceedings of Dielectrics forNanosystems: Materials, Science, Processing, Reliability, and Manufac-turing, 2004 �unpublished�, pp. 312–323.

188J.-Q. Lu, S. Devarajan, A. Y. Zeng, K. Rose, and R. J. Gutmann, Mater.Res. Soc. Symp. Proc. 833, G6.8.1 �2004�.

189J. Oberhammer and G. Stemme, J. Microelectromech. Syst. 14, 419�2005�.

190M. Agirregabiria, F. J. Blanco, J. Berganzo, M. T. Arroyo, A. Fullaondo,K. Mayora, and J. M. Ruano-Lopez, Lab Chip 5, 545 �2005�.

191R.-H. Horng, P. Han, H.-Y. Chen, K.-W. Lin, T.-M. Tsai, and J.-M. Zen,J. Micromech. Microeng. 15, 6 �2005�.

192Y. Kwon, J. Seok, J.-Q. Lu, T. S. Cale, and R. J. Gutmann, J. Electro-chem. Soc. 152, G286 �2005�.

193S. Satyanarayana, R. N. Karnik, and A. Majumdar, J. Microelectromech.Syst. 14, 392 �2005�.

194A. Polyakov, M. Bartek, and J. N. Burghartz, J. Electron. Packag. 127, 7�2005�.

195S.-C. Wang, C.-F. Yeh, C.-T. Hsu, and J.-C. Lou, J. Electrochem. Soc.152, G227 �2005�.

196I. Christiaens, G. Roelkens, K. De Mesel, D. Van Thourhout, and R.Baets, J. Lightwave Technol. 23, 517 �2005�.

197J.-Y. Park, K.-T. Kim, H.-J. Shin, S. Moon, and J. J. Pak, Microsyst.Technol. 11, 168 �2005�.

198F. Niklaus et al., Mater. Res. Soc. Symp. Proc. 863, B10.8.1 �2005�.199J. J. McMahon, F. Niklaus, R. J. Kumar, J. Yu, J.-Q. Lu, and R. J.

Gutmann, Mater. Res. Soc. Symp. Proc. 867, W4.4.1 �2005�.200R. J. Kumar, F. Niklaus, J. Yu, J. J. McMahon, J.-Q. Lu, P. D. Persans,

and R. J. Gutmann, Proceedings of EMC, Santa Barbara, CA, 2005 �un-published�, Vol. 863.

201C.-H. Lin, J.-M. Lu, and W. Fang, J. Micromech. Microeng. 15, 1433�2005�.

202J. J. McMahon, J.-Q. Lu, and R. J. Gutmann, IEEE Proceedings of Elec-tronic Components and Technology, Lake Buena Vista, FL, 2005 �unpub-lished�, pp. 331–336.

203R. J. Gutmann, J. J. McMahon, S. Rao, F. Niklaus, and J.-Q. Lu, Pro-ceedings of IWLPC, San Jose, CA, 2005 �unpublished�.

204H. S. Kim, K. Najafi, Proceedings of Transducers, Seoul, Korea, 2005�unpublished�, pp. 790–793.

205F. Niklaus, R. J. Kumar, J. J. McMahon, J. Yu, J.-Q. Lu, T. S. Cale, andR. J. Gutmann, J. Electrochem. Soc. �to be published�.

206S. Bhattacharya, A. Datta, J. M. Berg, and S. Gangopadhyay, J. Micro-electromech. Syst. 14, 590 �2005�.

207F. Niklaus, J. J. McMahon, J. Yu, S. H. Lee, J.-Q. Lu, T. S. Cale, and R.J. Gutmann, Proceedings of VMIC, Fremont, CA, 2005 �unpublished�,pp. 486–493.

208A. Jourdain, P. De Moor, K. Baert, I. De Wolf, and H. A. C. Tilmans, J.Micromech. Microeng. 15, S89 �2005�.

209W. H. Teh, G. Lihui, R. Kumar, and D.-L. Kwong, IEEE Electron DeviceLett. 26, 802 �2005�.

210K. Kim, J.-M. Kim, J.-M. Kim, C.-W. Baek, and Y.-K. Kim, Proceedingsof Transducers, Seoul, Korea, 2005 �unpublished�, pp. 1092–1095.

211 S. Tuomikoski and S. Franssila, Sens. Actuators, A 120, 408 �2005�.212J.-M. Kim, D. H. Oh, J. Yoon, S. Cho, N. Kim, J. Cho, Y. Kwon, C.

Cheon, and Y.-K. Kim, J. Micromech. Microeng. 15, N11 �2005�.213J. Chen, Y. Lu, and J. P. Yang, Microsyst. Technol. 11, 612 �2005�.214C. Friese and H. Zappe, Proceedings of Transducers, Seoul, Korea, 2005

�unpublished�, pp. 1342–1345.215J. Mizuno et al., Proceedings of Transducers, Seoul, Korea, 2005 �unpub-

lished�, pp. 1346–1349.216S. U. Son, J.-H. Seo, Y. H. Choi, S. S. Lee, and S.-J. Kang, Proceedings

of Transducers, Seoul, Korea, 2005 �unpublished�, pp. 1752–1755.

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp

031101-28 Niklaus et al. J. Appl. Phys. 99, 031101 �2006�

217N. S. Korivi and J.-W. Choi, Proceedings of Transducers, Seoul, Korea,2005 �unpublished�, pp. 287–290.

218R. Bodén, M. Lehto, U. Simu, G. Thornell, K. Hjort, and J. Å. Schweitz,Proceedings of Transducers, Seoul, Korea, 2005 �unpublished�, pp. 201–204.

219W. Y. Zhang, J. P. Labukas, S. Tatic-Lucic, and G. S. Ferguson, Proceed-ings of Transducers, Seoul, Korea, 2005 �unpublished�, pp. 924–927.

220J. W. Kwon, S. Kamal-Bahl, and E. S. Kim, Proceedings of Transducers,Seoul, Korea, 2005 �unpublished�, pp. 940–943.

221C.-H. Lin, L.-M. Fu, C.-H. Tsai, C.-H. Chao, and C.-W. Lan, Proceedingsof Transducers, Seoul, Korea, 2005 �unpublished�, pp. 944–947.

222H. Kim and K. Najafi, J. Microelectromech. Syst. 14, 1347 �2005�.223S. H. Lee et al., Mater. Res. Soc. Symp. Proc. �accepted�.224C. Reyerse, S. Kanev, and E. Meusel, Proceedings on Symposium on

Semiconductor Wafer Bonding: Physics and Applications, Reno, NV,1995 �unpublished�, pp. 174–183.

Downloaded 14 Feb 2006 to 128.113.60.88. Redistribution subject to

225A. R. Mirza, Proceedings of Thermal and Thermomechanical Phenomenain Electronic Systems, Las Vegas, NV, 2000 �unpublished�, pp. 113–119.

226A. R. Mirza, Proceedings of IEEE Electronic Components and Technol-ogy Conference, Las Vegas, NV, 2000 �unpublished�, pp. 676–680.

227The Dow Chemical Company, Processing Procedures for Cyclotene 4000Series Photo BCB Resins, 1999, Midland, USA.

228R. R. Tummala, E. J. Rymaszewski, and A. G. Klopfenstein, Microelec-tronics Packaging Handbook, Part I, 2nd ed. �Chapman and Hall, NewYork, 1997�.

229R. R. Tummala, E. J. Rymaszewski, and A. G. Klopfenstein, Microelec-tronics Packaging Handbook, Part II, 2nd ed. �Kluwer, Boston, MA,1997�.

230Oneida Recherche Services �ORS�, France, Internal Vapour Analysis�IVA� Services, http://www.orsfr.com

231International Technology Roadmap for Semiconductors �ITRS�, 2003 edi-tion, Semiconductor Industry Association, 2003, http://public.itrs.net/

AIP license or copyright, see http://jap.aip.org/jap/copyright.jsp