83250h

13
Application of Stochastic Modeling to Resist Optimization Problems John J. Biafore, Mark D. Smith KLA-Tencor, FINLE Division, 8843 North Capital of Texas Highway, Austin, TX 78759 BACKGROUND: Modifying specific resist properties or isolating a particular resist response can be difficult or impossible in experiments. At EUV, tool time is limited and expensive, complicating access to experimental data. Computer modeling can help to mitigate these difficulties, allowing researchers to reduce or better focus the nature of actual experiments. METHODS: We apply stochastic simulation to the study of chemically-amplified resists at EUV. The model is calibrated to experimental data; the agreement between data and simulation are compared using RLS triangles. Using the calibrated model as a representation of the initial condition, we attempt to improve virtual resist performance by decreasing acid diffusivity rate, increasing quencher loading and by replacing conventional quencher with photo-decomposable base (PDB). The effect of PDB upon the virtual resist is further investigated. RESULTS: Virtual resist performance improved by lowering acid diffusivity, by increasing quencher loading and by replacing conventional quencher with photo-decomposable base (PDB). The net improvements observed are a 17% increase in EL and a 13% reduction in LER compared to the initial condition. PDB may offer a path to reduce resist roughness up to 20%, by allowing higher loading density than conventional quenchers and relaxing the acidic quantum yield required to achieve acceptable roughness. Using the simulator to isolate a specific response, PDB acts to improve the chemical contrast and reduce the chemical noise in the blocked polymer concentration after PEB. Keywords: Stochastic modeling, EUV photoresist, RLS, photodecomposable base, PDB, line-edge roughness, line-width roughness, LER, LWR I. INTRODUCTION The semiconductor industry has maintained Moore’s law by using a either an increase in numerical aperture (NA) or a reduction in wavelength (λ). The next planned technology change is a reduction in wavelength from ArF (193 nm) to EUV (13.5 nm). The dramatic reduction in wavelength combined with a reduction in NA to ca. 0.25 has obvious advantages for resolution and depth of focus, but it also leads to optical and physical effects that were practically insignificant in ArF tools. For example, there are new manufacturing challenges for EUV, including throughput, CD uniformity (CDU), line-width and line-edge roughness (LWR, LER). These problems are all closely tied to the power that can be supplied by the EUV source, because lower power means either a lower scan rate (which is detrimental to throughput) or a lower exposure dose (which leads to poor CDU and LWR due to the effects of photon shot noise). Photoresist vendors are working directly on this problem, as they try to determine the right compromise between resolution, exposure latitude, roughness and sizing dose. With all of these new optical and physical effects, photolithography simulation is an invaluable tool for the researcher. Under normal circumstances, much of the learning needed to build a viable resist system can be obtained by a large, extensive set of Edisonian experiments. For EUV, it is not practical to rely upon experiments alone because there are so many new effects and experimental data are currently difficult and expensive to obtain. Simulation can help to mitigate these difficulties, allowing researchers to guide, reduce the number of or better focus actual experiments. In this work, we apply the stochastic resist model (SRM) in PROLITH version X4.1 to study the refinement of chemically-amplified resists at EUV. The model is calibrated to experimental data 1 ; the agreement between data and simulation are compared using RLS triangles. Using the calibrated model as a representation of the Advances in Resist Materials and Processing Technology XXIX, edited by Mark H. Somervell, Thomas I. Wallow, Proc. of SPIE Vol. 8325,83250H © 2012 SPIE · CCC code: 0277-786X/12/$18 · doi: 10.1117/12.916518 Proc. of SPIE Vol. 8325 83250H-1 Downloaded from SPIE Digital Library on 14 Mar 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

Upload: kla-tencor

Post on 03-Apr-2016

217 views

Category:

Documents


2 download

DESCRIPTION

83250h

TRANSCRIPT

Page 1: 83250h

Application of Stochastic Modeling to Resist Optimization Problems

John J. Biafore, Mark D. Smith

KLA-Tencor, FINLE Division, 8843 North Capital of Texas Highway, Austin, TX 78759 BACKGROUND: Modifying specific resist properties or isolating a particular resist response can be difficult or impossible in experiments. At EUV, tool time is limited and expensive, complicating access to experimental data. Computer modeling can help to mitigate these difficulties, allowing researchers to reduce or better focus the nature of actual experiments. METHODS: We apply stochastic simulation to the study of chemically-amplified resists at EUV. The model is calibrated to experimental data; the agreement between data and simulation are compared using RLS triangles. Using the calibrated model as a representation of the initial condition, we attempt to improve virtual resist performance by decreasing acid diffusivity rate, increasing quencher loading and by replacing conventional quencher with photo-decomposable base (PDB). The effect of PDB upon the virtual resist is further investigated. RESULTS: Virtual resist performance improved by lowering acid diffusivity, by increasing quencher loading and by replacing conventional quencher with photo-decomposable base (PDB). The net improvements observed are a 17% increase in EL and a 13% reduction in LER compared to the initial condition. PDB may offer a path to reduce resist roughness up to 20%, by allowing higher loading density than conventional quenchers and relaxing the acidic quantum yield required to achieve acceptable roughness. Using the simulator to isolate a specific response, PDB acts to improve the chemical contrast and reduce the chemical noise in the blocked polymer concentration after PEB. Keywords: Stochastic modeling, EUV photoresist, RLS, photodecomposable base, PDB, line-edge roughness, line-width roughness, LER, LWR I. INTRODUCTION The semiconductor industry has maintained Moore’s law by using a either an increase in numerical aperture (NA) or a reduction in wavelength (λ). The next planned technology change is a reduction in wavelength from ArF (193 nm) to EUV (13.5 nm). The dramatic reduction in wavelength combined with a reduction in NA to ca. 0.25 has obvious advantages for resolution and depth of focus, but it also leads to optical and physical effects that were practically insignificant in ArF tools. For example, there are new manufacturing challenges for EUV, including throughput, CD uniformity (CDU), line-width and line-edge roughness (LWR, LER). These problems are all closely tied to the power that can be supplied by the EUV source, because lower power means either a lower scan rate (which is detrimental to throughput) or a lower exposure dose (which leads to poor CDU and LWR due to the effects of photon shot noise). Photoresist vendors are working directly on this problem, as they try to determine the right compromise between resolution, exposure latitude, roughness and sizing dose. With all of these new optical and physical effects, photolithography simulation is an invaluable tool for the researcher. Under normal circumstances, much of the learning needed to build a viable resist system can be obtained by a large, extensive set of Edisonian experiments. For EUV, it is not practical to rely upon experiments alone because there are so many new effects and experimental data are currently difficult and expensive to obtain. Simulation can help to mitigate these difficulties, allowing researchers to guide, reduce the number of or better focus actual experiments. In this work, we apply the stochastic resist model (SRM) in PROLITH version X4.1 to study the refinement of chemically-amplified resists at EUV. The model is calibrated to experimental data 1; the agreement between data and simulation are compared using RLS triangles. Using the calibrated model as a representation of the

Advances in Resist Materials and Processing Technology XXIX, edited by Mark H. Somervell, Thomas I. Wallow, Proc. of SPIE Vol. 8325,83250H© 2012 SPIE · CCC code: 0277-786X/12/$18 · doi: 10.1117/12.916518

Proc. of SPIE Vol. 8325 83250H-1

Downloaded from SPIE Digital Library on 14 Mar 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

Page 2: 83250h

initial condition, we attempt to improve virtual resist performance by decreasing acid diffusivity rate, increasing quencher loading and by replacing conventional quencher with photo-decomposable base (PDB). The effect of PDB upon the virtual resist is further investigated. II. PARAMETERIZING REACTANT LOADINGS AND MOLAR ABSORPTION The PROLITH stochastic resist model allows the user to build a virtual resist in the computer using resist formulation data. PAG and quencher loadings in real resists are parameterized in the SRM as number densities and are easily calculated from formulary data. These parameters may be fixed to their experimental values, reducing the number of unknown simulation parameters. As an example, consider a resist with a known mM concentration of PAG. The number density of PAG is , / 1 30

(1)

where is Avogadro’s number. Alternatively, the PAG or other reactant may be formulated as a weight fraction of the dry resist. In this case, the number density of PAG is , / (2)

where is the density of dry resist in units of / and is the molecular weight of the PAG in units of / . The molar absorbance coefficient of the reactant can be measured experimentally using transmission spectroscopy methods , / /

(3)

where / is the ratio of the intensities of the incident and transmitted light and d is the optical path length. Alternatively, the number density of the PAG and the imaginary part of its refractive index k can be used to determine . In the case of EUV, where actinic light for experimental measurement of may not be available, the k of the PAG can be estimated from its atomic composition and the Berkeley CXRO website 16 4 11 14 10 (4)

where λ is the vacuum wavelength. Estimation of the molar absorption coefficient for a light-sensitive reactant allows stochastic simulation of the direct photolysis exposure mechanism 2, the primary exposure mechanism in KrF and ArF resists, though the strong absorbance of EUV by halogen-containing PAGs suggests acid generation by direct photolysis may also occur in EUV exposure. The relationship between the parameters ε and photolytic quantum efficiency with the calculated C parameter, the exposure rate constant is , / 10

(5)

where h is Planck’s constant and c is the vacuum velocity of light.

Proc. of SPIE Vol. 8325 83250H-2

Downloaded from SPIE Digital Library on 14 Mar 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

Page 3: 83250h

At EUV, acid generators are thought to be activated similarly to electron beam exposure: by collisions with a cascade of scattering low-energy secondary electrons, initiated by EUV photo-ionization, with maximum kinetic energy , (6)

where hv is the energy of the incident photon and IP is the first ionization potential of the host molecule. The physical model for electron scattering assumes several cascaded processes. The interaction of scattered electrons with the resist involves elastic and inelastic collisions. In an elastic collision, the resist is left in the original state; in an inelastic collision, the resist is ionized and a secondary electron ejected. Elastic and inelastic scattering events are treated independently and depend only on kinetic energy; calculations of the stopping power and the inelastic mean-free path are based on optical properties of the resist over a large wavelength range 3, 4, 5, 6, 7, 8. The specific mechanism(s) by which PAGs are activated by scattering electrons is a topic of research. Theoretical explanations include (at least) the mechanisms of electronic excitation 9 and electron dissociation 10. In the electronic excitation mechanism, scattering electrons induce a time-dependent electric field whose individual Fourier components represent virtual photons. A resonating system, such as a PAG, may interact with the passing charge, producing conversion. In the electron dissociation mechanism, scattering electrons which have decelerated to the thermal energy may covalently combine with PAG, producing conversion. Implicit to either theory is the possibility that acids may be released some distance from the photon absorption site. This effect, known as the secondary electron blur (SEB) effect has been studied by model-aided experiment 11 and has been estimated to be ca. 2.5 nm in a state-of-the-art EUV resist system. III. EXPERIMENTAL AND SIMULATED QUANTUM YIELD AS A FUNCTION OF PAG LOADING With the above in mind, we attempt to simulate the relationship between quantum yield and PAG loading. The acid quantum yield is defined as ## (8)

Experiments published by C. Higgins 1 include yield in EUV as a function of loading for three PAG systems, DTBPI-PFBS, TPS-PFBS, NDI-PFBS. The molar absorbance coefficients are estimated using the atomic composition of each PAG and the estimation of each PAG’s imaginary refractive index; these parameters are then fixed in the simulator. The unknown values of the simulation parameters controlling PAG exposure by photons and low-energy electrons are calibrated using iterative improvement of the solution. Figure 1 shows good agreement between simulated and measured quantum yield as a function of PAG loading for the three systems.

Proc. of SPIE Vol. 8325 83250H-3

Downloaded from SPIE Digital Library on 14 Mar 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

Page 4: 83250h

Figure 1.

Measured aExposure menabled and

and simulatemechanisms od well-describ

d quantum yf direct photo

be the experim

yield in EUVolysis and conmental result

V as a functnversion by sc

tion of loadincattering seco

ng for three ondary electro

PAGs. ons are

Proc. of SPIE Vol. 8325 83250H-4

Downloaded from SPIE Digital Library on 14 Mar 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

Page 5: 83250h

IV. EXPERLOADI

The dat

PFBS systecollected arfit exposureand developand experim

Figure 2.

RIMENTAL ANG

ta also includem, exposed are exposure lae parameters pment until smental lithogr

Measured a

AND SIMULA

de EUV lithoat 13.5 nm, 0.atitude, averadetermined a

suitable converaphic respon

nd simulated

ATED LITHO

ographic respo.30 NA, 0.55/

age LER, and above and iterergence is ac

nses.

lithographic r

OGRAPHIC

onses for 60 /0.35 annularEsize dose.

ratively adjushieved. Figu

responses as

RESPONSES

nm hp lines ur, 125 nm resiThe simulato

sting parameture 2 shows t

s a function of

S AS A FU

using a singlist on Si. Litor is calibrateters controllinthe agreemen

f DTBPI-PFBS

UNCTION OF

e PAG, the Dhographic resd by fixing th

ng reaction-dint between sim

S loading

F PAG

DTBPI-sponses he best-iffusion mulated

Proc. of SPIE Vol. 8325 83250H-5

Downloaded from SPIE Digital Library on 14 Mar 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

Page 6: 83250h

V. EXPERIMENTAL AND SIMULATED RLS TRIANGLES AS A FUNCTION OF PAG LOADING

The experimental and simulated results for the resist containing DTBPI-PFBS PAG can be easily plotted as RLS triangles for comparison. Commonly, R represents the ultimate resolving power of the resist. However, the exposure latitude of the resist in relation to the illuminator might be a more useful metric. Resist exposure latitude and ultimate resolution both depend strongly on reaction-diffusion-development, particularly diffusivity; lower diffusivity is associated with better exposure latitude and ultimate resolution. Resist exposure latitude is assembled from multiple data at relaxed CD, while judging ultimate resolution can be a highly subjective exercise. In any event, R is defined here as the ratio of the normalized image log-slope of the aerial image to the EL of the resist, ±6% CD for 60 nm hp lines, using the above illumination. Better values of this ratio approach 1, e.g. the EL of the resist is approaching the EL of the aerial image.

(9)

In the simulator, L is defined as the average LER and is determined by measuring the 3 uncertainty in the placement of the resist edge for both the left and right edges. The calculation extends along a 1 µm length with a spatial sampling frequency of 2 nm using the weighted metrology method in PROLITH. The LER of the left and right edges are then averaged. 12 (10)

S is defined as the sizing dose for 60 nm hp lines. , (11) Figure 3 shows the agreement between the simulated and experimental RLS triangles.

Proc. of SPIE Vol. 8325 83250H-6

Downloaded from SPIE Digital Library on 14 Mar 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

Page 7: 83250h

Figure 3. Measured and simulated RLS triangles of lithographic responses as a function of DTBPI-PFBS PAG loading. Simulated RLS triangles (solid lines) compare well with experimental triangles (dashed lines). In both simulation and experiment, higher PAG loadings tend to drastically reduce exposure latitude and sizing dose, while LER remains about constant. VI. USING SIMULATION TO GUIDE RESIST EXPERIMENT

The most powerful application of a calibrated physical model is not the ability to make further predictions about the calibration data, it is to predict what will happen at other conditions. Using the calibrated stochastic model as the initial condition, we attempt to use the simulator to guide resist performance improvement. Resist exposure latitude is observed to degrade drastically after PAG loading increases above 15% by weight, therefore we will use calibrated parameters from the 15% PAG formulation as the initial conditions. Table 1 summarizes the initial conditions and a few outputs.

02

46

810

01

23

45

0

5

10

15

20

R, NILS/EL

Data, 5.0% PAG

L, nm

S, m

J/cm

2

02

46

810

01

23

45

0

5

10

15

20

R, NILS/EL

Simulation, 5.0% PAG

L, nmS

, mJ/

cm2

02

46

810

01

23

45

0

5

10

15

20

R, NILS/EL

Data, 7.5% PAG

L, nm

S, m

J/cm

2

02

46

810

01

23

45

0

5

10

15

20

R, NILS/EL

Simulation, 7.5% PAG

L, nm

S, m

J/cm

2

02

46

810

01

23

45

0

5

10

15

20

R, NILS/EL

Data, 15.0% PAG

L, nm

S, m

J/cm

2

02

46

810

01

23

45

0

5

10

15

20

R, NILS/EL

Simulation, 15.0% PAG

L, nm

S, m

J/cm

2

02

46

810

01

23

45

0

5

10

15

20

R, NILS/EL

Data, 20.0% PAG

L, nm

S, m

J/cm

2

02

46

810

01

23

45

0

5

10

15

20

R, NILS/EL

Simulation, 20.0% PAG

L, nm

S, m

J/cm

2

02

46

810

01

23

45

0

5

10

15

20

R, NILS/EL

Data, 30.0% PAG

L, nm

S, m

J/cm

2

02

46

810

01

23

45

0

5

10

15

20

R, NILS/EL

Simulation, 30.0% PAG

L, nm

S, m

J/cm

2

Proc. of SPIE Vol. 8325 83250H-7

Downloaded from SPIE Digital Library on 14 Mar 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

Page 8: 83250h

Table 1: Initial simulation parameter values and outputs, 15% PAG formulation

nPAGs / nm3 0.145 nQuenchers / nm3 0.027 conventional Acid diffusivity, nm2/s 3.6 Acid diffusion length, nm 25.5 Simulated EL, %, 60nm hp ±6% CD 18% LER, nm, 60nm hp 4.6 Esize, mJ/cm2 6 Acid quantum yield, n/photon 3.8

It’s well known, or should be, that lowering diffusivity, particularly acid diffusivity, allows finer

resolution and larger exposure latitude. We suspect that there is an optimum acid diffusivity rate to simultaneously maximize performance on all vertices of the RLS triangle for a given feature, however, in lieu of finding that optimum here (and as a simpler exercise), we lower acid diffusivity rate to a value of 1.5 nm2/s and test the effect upon performance in the simulator. At 1.5 nm2/s, unbounded acid diffusion length is about 16 nm, probably still in excess of the state-of-the-art. The model does not describe the chemical structure of an acid that diffuses at a rate of 1.5 nm2/s, but it does describe the physical effects of such a structure upon the lithographic performance. The relationship between the physical properties of the PAG (e.g. absorption, conversion efficiency, acid diffusivity, reduction potential) and lithographic properties of the resist (ultimate resolution, exposure latitude, roughness, sizing dose, etc.) can be quickly investigated in the simulator and the learning used to guide real experiment.

Sizing dose is 6 mJ/cm2, arguably a very fast system even among EUV resists. Excessively reducing exposure dose exacerbates problems that arise due to photon shot noise and its consequences, particularly uncertainty in the number of generated acids 8, 12. Sizing dose can be controlled in real resists by quencher addition, with higher quencher loadings producing larger sizing dose, all things being equal. Therefore, we increase the loading of quencher from 0.027 / nm3 to 0.40 / nm3. Stochastic simulations using these new settings - lower acid diffusivity rate, higher quencher loading – are observed to produce better resist performance with respect to exposure latitude and LER and are summarized in table 2.

Table 2: Initial and adjusted simulation parameter values and outputs, 15% PAG formulation

Input parameter or response Initial values Adjusted values nPAGs / nm3 0.145 0.145 nQuenchers / nm3 0.027 conventional 0.04 conventional Acid diffusivity, nm2/s 3.6 1.5 Acid diffusion length, nm 25.5 16.4 Simulated EL, %, 60nm hp ±6% CD 18% 22% LER, nm, 60nm hp 4.6 4.3 Esize, mJ/cm2 6 10 Acid quantum yield, n/photon 3.8 3.4

VII. SIMULATING THE REPLACEMENT OF CONVENTIONAL QUENCHER WITH PDB

In our continuing attempt to improve virtual resist performance, we replace the conventional quencher (e.g. non-photoactive) in the stochastic model with photo-decomposable base (PDB). First reported on by Funato and Pawlowski 13 in 1996 for use in chemically-amplified resists in the form of TPS-OH as latent image stabilizers, PDBs act as acid quenchers in unexposed areas, yet decompose into neutral fragments in

Proc. of SPIE Vol. 8325 83250H-8

Downloaded from SPIE Digital Library on 14 Mar 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

Page 9: 83250h

exposed areas. In the continuum, the conversion of PDBs to neutral fragments can be modeled identically to PAG decomposition

(12)

(13)

where is the concentration of PDB, is the intensity of light and is the exposure rate constant of photo-decomposition. The rate of base decomposition, by the direct photolytic mechanism can be expressed 10

(14)

where is the quantum efficiency of the decomposition process and is the PDB molar absorbance coefficient. When irradiated in EUV, PDB decomposition is assumed to behave similarly to PAG conversion. The simulated results of PDB replacement are summarized in Table 3.

Table 3: Initial, adjusted simulation parameter values and outputs, 15% PAG formulation plus PDB

Input parameter or response Initial values Adjusted values Adj. vals w/ PDB nPAGs / nm3 0.145 0.145 0.145 nQuenchers / nm3 0.027 conventional 0.04 conventional 0.045 PDB Acid diffusivity, nm2/s 3.6 1.5 1.5 Acid diffusion length, nm 25.5 16.4 16.4 Simulated EL, %, 60nm hp ±6% CD 18% 22% 22% LER, nm, 60nm hp 4.6 4.3 4 Esize, mJ/cm2 6 10 10 Acid quantum yield, n/photon 3.8 3.4 2.9 PDB quantum yield, , n/photon 0 0 0.9

We note that decreasing acid diffusivity, increasing quencher loading and replacing conventional

quencher with PDB is predicted to produce a 22% net gain in exposure latitude, likely due to reduced acid diffusivity, and a 13% net reduction in roughness. The virtual resist formulation appears to be able to tolerate a higher loading of PDB, compared to a conventional quencher, with no additional exposure dose required to achieve sizing. This is unsurprising, since exposure, in the case of PDB, neutralizes quencher in the bright area, suggesting that PDBs are one method for reducing sizing dose (the pitfalls of low exposure dose notwithstanding). More interesting, LER is observed to decrease even though acid quantum yield is also observed to decrease. We note that relative acid shot noise is theorized to be a strong contributor to LER and is inversely proportional to acid quantum yield

(15)

where is the yield. Clearly, lower quantum yield results in a greater relative uncertainty in the number of acids, but in the case of PDB replacement, this doesn’t result in higher LER. The results further suggest that PAGs with lower quantum yield may still be serviceable in resists if they are formulated with PDB. In effect, simulation suggests that use of PDBs may offer a route to LER reduction and may relax the acid

Proc. of SPIE Vol. 8325 83250H-9

Downloaded from SPIE Digital Library on 14 Mar 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

Page 10: 83250h

quantum yield required to achieve acceptable LER, without a penalty in sizing dose, though the underlying mechanism is unclear. In the next section, we will use simulation to attempt to formulate a hypothesis to explain this observation. VIII. NOISE, GRADIENT AND THE SIMULATED EFFECT OF PDBS ON THE PEB LATENT IMAGE

Isolating specific resist responses can be difficult or impossible in actual experiments, but is straightforward in a computer model. We exploit this idea to hypothesize how PDBs may function in real resists. We first reformulate our virtual resists, in order to recast our lithography process to be more representative of the state-of-the-art in EUV and compare simulations of virtual resists containing PDB and conventional quencher. Table 4 lists some of the simulation parameters and outputs of the experiment.

Table 4: Simulation parameter values and outputs for PDB investigation

Input parameter or response Values w/ conv. quencher Values w/ PDB λ, nm, monochromatic 13.5 13.5 NA 0.25 0.25 Partial coherence 0.5 0.5 Resist α, 1/µm 6.33 6.33 nPAGs / nm3 0.35 0.35 nQuenchers / nm3 0.094 conventional 0.112 PDB Acid diffusivity, nm2/s 1.0 1.0 Acid diffusion length, nm 11 11 Acid quantum yield, n/photon 5.3 4.8 PDB quantum yield, , n/photon 0 1.5 Esize, mJ/cm2, 27 nm hp lines 12.8 12.8 LER, nm, 1 µm line length, step 2 nm 5.1 4.5

The results are similar to observations above: the addition of PDB reduces LER, in this case by ca. 12%, even though acid quantum yield decreases. PDB can be formulated in the virtual resist at a higher loading than conventional quencher with no apparent impact to sizing dose. Attempting to understand this result, we recall that a scaling heuristic for LER can be written 14, 15

(16)

where is a random variable representing the uncertainty in a process of interest and representing the contrast of a process of interest. The idea is similar to a signal-to-noise ratio: higher contrast images are less sensitive to noise. The expression can be modified depending on the process of interest; in the case of the state of the blocked polymer concentration after PEB, we can write

(17) where represents the standard deviation of the concentration of blocked polymer (the chemical noise) and M represents the gradient of the concentration of blocked polymer (the chemical contrast).

Proc. of SPIE Vol. 8325 83250H-10

Downloaded from SPIE Digital Library on 14 Mar 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

Page 11: 83250h

Collapsing to the one dimensional case and measuring at the line edge yields / | (18)

It is evident that maximizing the chemical gradient and minimizing the chemical noise should produce lower roughness, though the methods by which we can affect these two properties in a real resist, and if they can be manipulated independently, are less clear. In any event, we use stochastic simulation to isolate and measure the response of the virtual resists after exposure and reaction-diffusion (PEB). Figure 4 shows the state of M (the normalized blocked polymer concentration) after simulated exposure and reaction-diffusion for the two virtual resist formulations (one containing PDB and one containing conventional quencher).

Figure 4. Stochastic simulation of blocked polymer concentration M after PEB for 27 nm hp lines using parameters listed in Table 4. Simulations are conducted in 3D and averaged to 2D in the direction of resist thickness. The lines are viewed top-down for the two virtual resist formulations. White indicates 100% concentration, black indicates 0% concentration. The top plot shows state of M for the resist containing conventional quencher, the bottom shows the state of M for the resist containing PDB.

x, nm

y, n

m

M after PEB, Conventional Q

-500 -400 -300 -200 -100 0 100 200 300 400 500

-50

0

50

x, nm

y, n

m

M after PEB, PDB

-500 -400 -300 -200 -100 0 100 200 300 400 500

-50

0

50

Proc. of SPIE Vol. 8325 83250H-11

Downloaded from SPIE Digital Library on 14 Mar 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

Page 12: 83250h

Figure 5. Stochastic simulation of blocked polymer concentration M vs. x after PEB for 27 nm hp lines using data from figure 4 collapsed to 1D. Plotted from upper left, are the expectation value of M as a function of x, the standard deviation of M vs. x, the gradient of M vs. x, and the ratio of the standard deviation of M to the gradient of M. The use of PDB (hollow circles) is observed to improve chemical gradient / at the line edge and slightly reduce chemical noise over the displacement. The roughness heuristic / is lower for the PDB-containing sample at the line edge, suggesting better LER compared with the conventional quencher system, supported by LER of the developed relief images, 4.5 nm LER for PDB vs. 5.1 nm LER for conventional quencher.

It is difficult to detect differences in the 2D images of the blocked polymer concentration, though the

sample containing conventional quencher looks to have slightly lower contrast. We average and collect statistics down the lengths of these lines and collapse the simulation to a one dimensional slice for closer inspection. Figure 5 shows these results. In the case of the blocked polymer concentration with PDB activated (plotted as hollow circles), a greater extent of deblocking is observed in the middle of the space, where PDB has been depleted by exposure. A possible explanation is that, during the PEB reaction-diffusion process, PDB in the bright area (the space) has been depleted, allowing a faster rate of acid-catalyzed deblocking. In the dark area (the line), acid-catalyzed deblocking is inhibited, since PDB is still active as a quencher and reduces acid concentration by neutralization, the net effect being improvement of the chemical contrast / . A reduction in chemical noise is also observed, though more randomized trials are required to test if this observation – chemical noise reduction - is statistically significant. However, it has been shown that the addition of conventional quencher increases both chemical gradient and chemical noise 15. The results presented here suggest that the theoretical effect of PDB may be to reduce chemical noise and increase chemical contrast after PEB.

-50 0 500

0.2

0.4

0.6

0.8

1

x, nm

<M>

<M> after PEB vs. displacement

M PDBM Conv. Q

-50 0 500

0.02

0.04

0.06

0.08

0.1

x, nm

σM

σM after PEB vs. displacement

σM PDB

σM ConvQ

-50 0 500

0.05

0.1

0.15

0.2

x, nm

∇M

, 1/n

m

∇M after PEB vs. displacement

∇M PDB

∇M ConvQ

-50 0 500

0.5

1

1.5

2

x, nm

σM/ ∇

M, n

m

σM/∇M after PEB vs. displacement

σM/∇M PDB

σM/∇M ConvQ

LINE

LINE

LINE

LINE

Proc. of SPIE Vol. 8325 83250H-12

Downloaded from SPIE Digital Library on 14 Mar 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms

Page 13: 83250h

(19) (20) We note that values of the roughness heuristic / are lower for the PDB-containing sample at the line edge compared with conventional quencher and predict better LER for the PDB-containing system compared with the conventional quencher system. The prediction is indeed supported by the LER measurements of the simulated resist relief image after development, measured independently. IX. CONCLUSION As an example, we show one method to apply computer modeling to streamline the study and optimization of EUV resists, using publicly available data and a familiar figure of merit, the RLS triangle. After using calibration to determine an initial model that agrees well with experiment, we attempt to improve virtual performance by reducing acid diffusivity and by replacing conventional quencher with photodecomposable base, PDB. The net improvement is a 17% increase in EL and a 13% reduction in LER. We attempt to discern the effect of PDB in real resists using simulation. Observations suggest that PDB may offer a method to reduce roughness up to 20%, be loaded at higher density than conventional quencher with no penalty to sizing dose and relax the acid quantum yield required to achieve acceptable LER with conventional quenchers. Further study hints that PDB may act to simultaneously improve the chemical gradient and reduce the chemical noise. ____________________________________________________________ 1 C. D. Higgins, C. R. Szmanda, A. Antohe, G. Denbeaux, J. Georger, R. Brainhard, “RLS Tradeoff and Quantum Yield of High Photo Acid Generator Resists for Extreme Ultraviolet Lithography”, Japanese J. of Appl. Phys., Vol. 50, (2011) 2 N. Turro, “Modern Molecular Photochemistry”, University Science Books, (1991) 3 M. Dapor, “Electron-beam interactions with solids”, Springer, (2003) 4 J.C. Ashley et al, “Inelastic interactions of electrons with polystyrene: calculation of mean-free paths, stopping powers and CSDA ranges”, IEEE Transactions on Nuclear Science, Vol. NS-25, No. 6, Dec. 1978 5 C.J. Tung et al, “Range of low energy electrons in solids”, IEEE Transactions on Nuclear Science, Vol. NS-26, No. 6, Dec. 1979 6 T. Inagaki et al, “Optical properties of polystyrene from near-infrared to the x-ray region and convergence of optical sum rules”, Phys. Rev., B 15, Num. 6, March 1977 7 D. Joy, “Monte Carlo Modeling for Electron Microscopy and Microanalysis”, Oxford, (1995) 8 J. Biafore, M. D. Smith et al, “Resist pattern prediction at EUV”, Proc. SPIE, Vol. 7636, p. 76360R-1 (2010). 9 G. Han, F. Cerrina, “Energy transfer between electrons and photoresist: Its relation to resolution”, J. Vac. Sci. Technology. B18(6), 2000 3297 10 T. Kozawa and S. Tagawa, “Radiation Chemistry in Chemically Amplified Resists”, Japanese Journal of Applied Physics, Vol. 49, p 030001 (2010) 11 R. Gronheid, M. Leeson, T. Younkin, C Fonseca, J. Biafore, M. D. Smith, “Extreme-ultraviolet secondary electron blur at the 22-nm half pitch node”, Journal of Micro/Nano Lith. MEMS MOEMS, Vol. 10(3), (2011) 12 C. Mack, J. Thackeray, J. Biafore, M. Smith, “Stochastic Exposure Kinetics of EUV Photoresists: A Simulation Study”, Extreme Ultraviolet (EUV) Lithography II, Proc., SPIE Vol. 7969 (2011). 13 S. Funato, G. Pawlowski et al, “Application of photo-decomposable base concept to two-component deep-UV chemically amplified resists” Proc. SPIE 2724, 186 (1996) 14 J. D. Byers, Discussion, (2005) 15 J. Biafore, M. D. Smith et al, “Mechanistic Simulation of Line Edge Roughness”, Advances in Resist Technology and Processing XXII, Proc., SPIE Vol. 6519, p. 65190Y (2007). 16 CXRO website http://henke.lbl.gov/optical_constants/getdb2.html

Proc. of SPIE Vol. 8325 83250H-13

Downloaded from SPIE Digital Library on 14 Mar 2012 to 192.146.1.12. Terms of Use: http://spiedl.org/terms