4 ieee transactions on circuits and systems—i: …

14
4 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 57, NO. 1, JANUARY 2010 Design Automation and Test Solutions for Digital Microfluidic Biochips Krishnendu Chakrabarty, Fellow, IEEE (Invited Tutorial) Abstract—Microfluidics-based biochips are revolutionizing high-throughput sequencing, parallel immunoassays, blood chem- istry for clinical diagnostics, and drug discovery. These devices enable the precise control of nanoliter volumes of biochemical samples and reagents. They combine electronics with biology, and they integrate various bioassay operations, such as sample preparation, analysis, separation, and detection. Compared to conventional laboratory procedures, which are cumbersome and expensive, miniaturized biochips offer the advantages of higher sensitivity, lower cost due to smaller sample and reagent volumes, system integration, and less likelihood of human error. This tutorial paper provides an overview of droplet-based “digital” microfluidic biochips. It describes emerging computer-aided design (CAD) tools for the automated synthesis and optimiza- tion of biochips from bioassay protocols. Recent advances in fluidic-operation scheduling, module placement, droplet routing, pin-constrained chip design, and testing are presented. These CAD techniques allow biochip users to concentrate on the de- velopment of nanoscale bioassays, leaving chip optimization and implementation details to design-automation tools. Index Terms—Chip layout, computer-aided design (CAD), droplet routing, lab-on-chip, synthesis, testing and diagnosis. I. INTRODUCTION A DVANCES in digital microfluidics have led to the promise of miniaturized biochips for applications such as immunoassays for point-of-care medical diagnostics, DNA sequencing, and the detection of airborne particulate matter [1]–[8]. These devices enable the precise control of nanoliter droplets of biochemical samples and reagents, and integrated circuit (IC) technology can be used to transport and process “biochemical payload” in the form of tiny droplets. Biochips facilitate the convergence of electronics with the life sciences, and they integrate on-chip various bioassay operations, such as sample preparation, analysis, separation, and detection [1], [4]. Compared to conventional laboratory procedures, which are cumbersome and expensive, miniaturized biochips offer the ad- vantages of higher sensitivity, lower cost due to smaller sample Manuscript received September 25, 2009; revised November 21, 2009. First published December 31, 2009; current version published January 13, 2010. This work was supported in part by the National Science Foundation under Grant IIS- 0312352, Grant CCF-0541055, and Grant CCF-0914895, and by the National Institute of General Medical Sciences of the National Institute of Health under Grant R44GM072155. this paper was recommended by Associate Editor W. A. Serdijn. The author is with the Department of Electrical and Computer Engineering, Duke University, Durham, NC 27708, USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TCSI.2009.2038976 and reagent volumes, higher levels of system integration, and less likelihood of human error. As a result, non-traditional biomedical applications and markets are opening up funda- mentally new uses for ICs. For example, the worldwide market for in vitro diagnostics in 2007 was estimated at $38 billion [70], and 1.5 billion diagnostic tests/year worldwide has been predicted for malaria alone [71]. However, continued growth in this emerging field depends on advances in chip/system integration. In particular, design methods are needed to ensure that biochips are as versatile as the macro-labs that they are intended to replace. The few com- mercial biochips available today (e.g., from Agilent, Fluidigm, Caliper, I-Stat, BioSite, etc.) are specific to an application and they offer no flexibility to the user. Intel recently announced the Health Guide PHS6000 product for home patients, but the un- derlying technology does not exploit the benefits of reconfig- urable microfluidics. This tutorial paper is focused on droplet-based “digital” microfluidic biochips. The digital microfluidics platform offers the flexibility of dynamic reconfigurability and software-based control of multifunctional biochips. Next the paper describes emerging computer-aided design (CAD) tools for the auto- mated synthesis and optimization of biochips from bioassay protocols. Recent advances on fluidic-operation scheduling, module placement, droplet routing, testing, and dynamic re- configuration are also presented. These CAD techniques allow biochip users to concentrate on the development of nanoscale bioassays, leaving chip optimization and implementation de- tails to design-automation tools. It is expected that an automated design flow will transform biochip research and use, in the same way as design automation revolutionized IC design in the 1980s and 1990s. This approach is, therefore, especially aligned with the vision of functional diversification and “More than Moore”, as articulated in the International Technology Roadmap for Semiconductors (ITRS) 2007, which highlights “Medical” as being a “System Driver” for the future [9]. Biochip users will adapt more easily to emerging technology if appropriate design methods/tools and in-system automation methods are available. A limitation of current CAD techniques is that they do not adequately consider unique constraints that arise due to the fluidic aspects of the underlying technology, the likelihood of cross-contamination between different bio-molecules, and the limited availability of stock solutions for use in assay protocols in biochemistry. The rest of this paper is organized as follows. Section II describes biochip technology platforms, including digital mi- crofluidics. Section III presents synthesis techniques, including 1549-8328/$26.00 © 2010 IEEE Authorized licensed use limited to: DUKE UNIVERSITY. Downloaded on January 24, 2010 at 10:38 from IEEE Xplore. Restrictions apply.

Upload: others

Post on 08-Dec-2021

6 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: 4 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: …

4 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 57, NO. 1, JANUARY 2010

Design Automation and Test Solutions for DigitalMicrofluidic Biochips

Krishnendu Chakrabarty, Fellow, IEEE

(Invited Tutorial)

Abstract—Microfluidics-based biochips are revolutionizinghigh-throughput sequencing, parallel immunoassays, blood chem-istry for clinical diagnostics, and drug discovery. These devicesenable the precise control of nanoliter volumes of biochemicalsamples and reagents. They combine electronics with biology,and they integrate various bioassay operations, such as samplepreparation, analysis, separation, and detection. Compared toconventional laboratory procedures, which are cumbersome andexpensive, miniaturized biochips offer the advantages of highersensitivity, lower cost due to smaller sample and reagent volumes,system integration, and less likelihood of human error. Thistutorial paper provides an overview of droplet-based “digital”microfluidic biochips. It describes emerging computer-aideddesign (CAD) tools for the automated synthesis and optimiza-tion of biochips from bioassay protocols. Recent advances influidic-operation scheduling, module placement, droplet routing,pin-constrained chip design, and testing are presented. TheseCAD techniques allow biochip users to concentrate on the de-velopment of nanoscale bioassays, leaving chip optimization andimplementation details to design-automation tools.

Index Terms—Chip layout, computer-aided design (CAD),droplet routing, lab-on-chip, synthesis, testing and diagnosis.

I. INTRODUCTION

A DVANCES in digital microfluidics have led to thepromise of miniaturized biochips for applications such

as immunoassays for point-of-care medical diagnostics, DNAsequencing, and the detection of airborne particulate matter[1]–[8]. These devices enable the precise control of nanoliterdroplets of biochemical samples and reagents, and integratedcircuit (IC) technology can be used to transport and process“biochemical payload” in the form of tiny droplets. Biochipsfacilitate the convergence of electronics with the life sciences,and they integrate on-chip various bioassay operations, such assample preparation, analysis, separation, and detection [1], [4].Compared to conventional laboratory procedures, which arecumbersome and expensive, miniaturized biochips offer the ad-vantages of higher sensitivity, lower cost due to smaller sample

Manuscript received September 25, 2009; revised November 21, 2009. Firstpublished December 31, 2009; current version published January 13, 2010. Thiswork was supported in part by the National Science Foundation under Grant IIS-0312352, Grant CCF-0541055, and Grant CCF-0914895, and by the NationalInstitute of General Medical Sciences of the National Institute of Health underGrant R44GM072155. this paper was recommended by Associate Editor W. A.Serdijn.

The author is with the Department of Electrical and Computer Engineering,Duke University, Durham, NC 27708, USA (e-mail: [email protected]).

Digital Object Identifier 10.1109/TCSI.2009.2038976

and reagent volumes, higher levels of system integration, andless likelihood of human error. As a result, non-traditionalbiomedical applications and markets are opening up funda-mentally new uses for ICs. For example, the worldwide marketfor in vitro diagnostics in 2007 was estimated at $38 billion[70], and 1.5 billion diagnostic tests/year worldwide has beenpredicted for malaria alone [71].

However, continued growth in this emerging field dependson advances in chip/system integration. In particular, designmethods are needed to ensure that biochips are as versatile asthe macro-labs that they are intended to replace. The few com-mercial biochips available today (e.g., from Agilent, Fluidigm,Caliper, I-Stat, BioSite, etc.) are specific to an application andthey offer no flexibility to the user. Intel recently announced theHealth Guide PHS6000 product for home patients, but the un-derlying technology does not exploit the benefits of reconfig-urable microfluidics.

This tutorial paper is focused on droplet-based “digital”microfluidic biochips. The digital microfluidics platform offersthe flexibility of dynamic reconfigurability and software-basedcontrol of multifunctional biochips. Next the paper describesemerging computer-aided design (CAD) tools for the auto-mated synthesis and optimization of biochips from bioassayprotocols. Recent advances on fluidic-operation scheduling,module placement, droplet routing, testing, and dynamic re-configuration are also presented. These CAD techniques allowbiochip users to concentrate on the development of nanoscalebioassays, leaving chip optimization and implementation de-tails to design-automation tools.

It is expected that an automated design flow will transformbiochip research and use, in the same way as design automationrevolutionized IC design in the 1980s and 1990s. This approachis, therefore, especially aligned with the vision of functionaldiversification and “More than Moore”, as articulated in theInternational Technology Roadmap for Semiconductors (ITRS)2007, which highlights “Medical” as being a “System Driver”for the future [9]. Biochip users will adapt more easily toemerging technology if appropriate design methods/tools andin-system automation methods are available. A limitation ofcurrent CAD techniques is that they do not adequately considerunique constraints that arise due to the fluidic aspects of theunderlying technology, the likelihood of cross-contaminationbetween different bio-molecules, and the limited availability ofstock solutions for use in assay protocols in biochemistry.

The rest of this paper is organized as follows. Section IIdescribes biochip technology platforms, including digital mi-crofluidics. Section III presents synthesis techniques, including

1549-8328/$26.00 © 2010 IEEE

Authorized licensed use limited to: DUKE UNIVERSITY. Downloaded on January 24, 2010 at 10:38 from IEEE Xplore. Restrictions apply.

Page 2: 4 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: …

CHAKRABARTY: DESIGN AUTOMATION AND TEST SOLUTIONS FOR DIGITAL MICROFLUIDIC BIOCHIPS 5

solutions published in the literature for operation scheduling,module placement, and droplet routing. Section IV describespin-constrained chip methods. Section V presents advancesin testing, diagnosis, and dynamic reconfiguration. Finally,Section VI concludes the paper.

II. TECHNOLOGY PLATFORMS

Early biochips were based on the concept of a DNA mi-croarray, which is a piece of glass, plastic or silicon substrate onwhich pieces of DNA, i.e., probes, have been affixed. There are anumber of commercial microarrays available in the marketplacetoday, e.g., GeneChip DNAarray from Affymetrix, NanoChipmicroarray from Nanogen, and DNA microarray from Agilent.A drawback of these arrays is that they are “passive chips”; theyare neither reconfigurable nor can they be used for sample prepa-ration. Product cost is also a problem; for example, while the mi-croarray chips from Affymetrix cost in the range of $500–$2000each, the accompanying instrument and licensing/royalty feescan be as high as $175 000.

The basic idea of a microfluidic biochip is to integrate all nec-essary functions for biochemical analysis using microfluidicstechnology. These micro-total-analysis-systems are more ver-satile than microarrays. Integrated functions include assay op-erations, detection, and sample preparation.

A. Continuous-Flow Microfluidics

Traditional (continuous-flow) microfluidic technologies arebased on the continuous flow of liquid through micro-fabricatedchannels [8], [10]–[16]. Continuous-flow systems are inherentlydifficult to integrate because the parameters that govern flowfield (e.g. pressure, fluid resistance, electric field strength) varyalong the flow-path, making the flow at any location dependentupon the properties of the entire system. Moreover, unavoid-able shear flow and diffusion in microchannels make it difficultto eliminate intersample contamination and dead volumes. Fur-thermore, since structure and functionality are so tightly cou-pled, each system is only appropriate for a narrow class of ap-plications.

B. Digital Microfluidics

A digital microfluidic biochip utilizes electrowetting on di-electric (EWOD) to manipulate and move microliter or nano-liter droplets containing biological samples on a two-dimen-sional electrode array [2]–[4], [17], [23]–[26]. A unit cell in thearray includes a pair of electrodes that acts as two parallel plates.The bottom plate contains a patterned array of individually con-trolled electrodes, and the top plate is coated with a continuousground electrode. A droplet rests on a hydrophobic surface overan electrode, as shown in Fig. 1. It is moved by applying acontrol voltage to an electrode adjacent to the droplet and, atthe same time, deactivating the electrode just under the droplet.Using interfacial tension gradients, droplets can be moved toany location on a two-dimensional array. A film of silicone oilis used as a filler medium to prevent cross contamination andevaporation [7], [27]. In Fig. 1(a), the electrode pitch is 1.5 mmand the gap height is 600 m. Recent work has demonstratedchips with an electrode pitch of 60 m and 19.2 m height. To

Fig. 1. Fabricated digital microfluidic arrays. (a) Glass substrate [25]. (b) PCBsubstrate [57].

dispense a 105 pl droplet, an actuation voltage of 80 V is re-quired [74].

An alternative method for digital microfluidics, namely di-electrophoresis (DEP), relies on AC actuation [28]–[31]. How-ever, excessive Joule heating is often seen as a problem for DEP.In contrast to DEP actuation, Joule heating is virtually elimi-nated in EWOD because the dielectric layer covering the elec-trodes blocks DC electric current.

The division of a volume of fluid into discrete, independentlycontrollable “packets” or droplets, provides several advan-tages over continuous-flow. The reduction of microfluidicsto a set of basic repeated operations (i.e., “move one unit offluid one distance unit”) allows a hierarchical and cell-baseddesign approach to be utilized. By varying the patterns ofcontrol-voltage activation (a clock signal with logic-high andlogic-low values), many fluid-handling operations such asdroplet merging, splitting, mixing, and dispensing can be easilyexecuted. The digital microfluidic platform offers dynamicreconfigurability, since fluidic operations can be performedanywhere on the array. Droplet routes and operation schedulingresults are programmed into a microcontroller that drives elec-trodes in the array. As a result, there is no need for dedicatedon-chip reaction chambers. Reservoirs are included on thearray boundary, from which droplets can be easily dispensed[4]. The disposable nature of these chips precludes multipleuses over long periods of time; nevertheless, reconfigurabilityallows the same chip design and fabrication method to be usedfor multiple applications. As in the case of today’s integratedcircuits, such multifunctional chips facilitate mass productionand lower product cost.

To address the need for low-cost, printed circuit board (PCB)technology has been employed for inexpensive fabrication [32].Using a copper layer for the electrodes, solder mask as the insu-lator, and a Teflon AF coating for hydrophobicity, the microflu-idic array can be fabricated using an existing PCB process. Atypical coplanar digital microfluidic chip has an electrode pitchof 1.5 mm, with a gap of 90 [73]. Actuation voltages ofaround 220 V are applied for fluidic operation. Power suppliesare, therefore, external to the microfluidic chip.

Demonstrated applications of digital microfluidics includethe on-chip detection of explosives such as commercial-grade2,4,6-trinitrotoluene (TNT) and pure 2,4-dinitrotoluene [6],automated on-chip measurement of airborne particulate matter[21], [22], and colorimetric assays [7]. Measured performancemetrics for such colorimetric assays have been reported in priorwork, e.g., [2], [7]. Digital microfluidic biochips are beingdesigned for on-chip gene sequencing through synthesis [4],

Authorized licensed use limited to: DUKE UNIVERSITY. Downloaded on January 24, 2010 at 10:38 from IEEE Xplore. Restrictions apply.

Page 3: 4 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: …

6 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 57, NO. 1, JANUARY 2010

and integrated hematology, pathology, molecular diagnostics,cytology, microbiology, and serology on the same platform[18]. A prototype has been developed for pyrosequencing[4], which targets the simultaneous execution of 106 fluidicoperations and the processing of billions of droplets. Otherlab-on-chip/biochip systems are being designed for proteincrystallization, which requires the concurrent execution ofhundreds of operations [33], [34]. A commercially availabledroplet-based (using dielectrophoresis) lab-on-chip embedsmore than 600,000 20 m by 20 m electrodes with integratedoptical detectors [35]. Experimental work using digital mi-crofluidics has been focused on in vitro and ex vivo techniques,as opposed to in vivo methods.

A limitation of digital microfluidics is the nonspecific adsorp-tion of reagents and samples to the electrode surface. Therefore,design techniques must be developed to avoid cross-contamina-tion. Another limitation today is the difficulty in ensuring accu-racy and reproducibility for the droplet split operation. Finally,the world-to-chip interface is a challenge since it is difficult todeliver reagents and samples to such biochips. Nevertheless,these issues are being studied in ongoing research, e.g., [72],[74], and there is considerable enthusiasm for this emergingtechnology. Many droplet operations, e.g., droplet dispensingand mixing, have been demonstrated to be repeatable with highaccuracy [27].

In a recent review paper on the use of microfluidics for pro-tein crystallization [36], the following question was posed: canwe purchase identical crystallization devices, produced underadequate quality control? The authors go on to say, “Drawingupon integrated circuits as an analogy, microfluidics devicesmay be reducible to a standard set of discrete operations whichcan then be custom assembled to form more complex operationsas needed. With this approach, the success of manufacturing in-vestment does not have to rest upon a single application.”

The discrete droplet-based biochip described in this paperis perfectly suited as a platform technology, since it avoidsthe common pitfall of custom devices offered by other contin-uous-flow microfluidic technologies.

III. SYNTHESIS METHODS

In this section, we examine a progression of CAD problemsrelated to biochip synthesis.

A. Scheduling and Module Placement

Recent years have seen growing interest in the automateddesign and synthesis of microfluidic biochips [39], [44],[47]–[51], [53]–[56], [59]–[61], [63]–[67], [69]. Optimizationgoals here include the minimization of assay completion time,minimization of chip area, and higher defect tolerance. The min-imization of the assay completion time, i.e., the maximizationof throughput, is essential for environmental monitoring ap-plications where sensors can provide early warning. Real-timeresponse is also necessary for surgery and neonatal clinicaldiagnostics. Finally, biological samples are sensitive to theenvironment and to temperature variations, and it is difficult tomaintain an optimal clinical or laboratory environment on chip.To ensure the integrity of assay results, it is therefore desirable

to minimize the time that samples spend on-chip before assayresults are obtained.

Increased throughput also improves operational reliability.Long assay durations imply that high actuation voltages needto be maintained on some electrodes, which accelerate insulatordegradation and dielectric breakdown, reducing the number ofassays that can be performed on a chip during its lifetime.

One of the first published methods for biochip synthesisdecoupled high-level synthesis from physical design [44],[54]. Architectural-level synthesis for microfluidic biochipscan be viewed as the problem of scheduling assay functionsand binding them to a given number of resources so as tomaximize parallelism, thereby decreasing response time. Abehavioral model for a set of bioassays is first obtained fromtheir laboratory protocols. Architectural-level synthesis is thenused to generate a macroscopic structure of the biochip; this isanalogous to a structural register-transfer level (RTL) model inelectronic CAD [40]. On the other hand, geometry-level syn-thesis (physical design) addresses the placement of resourcesand the routing of droplets to satisfy objectives such as area orthroughput. It creates the final layout of the biochip, consistingof the placement of microfluidic modules such as mixers andstorage units, the routes that droplets take between differentmodules, and other geometrical details [53].

As in the case of high-level synthesis for integrated circuits,resource binding in the biochip synthesis flow refers to themapping from bioassay operations to available functionalresources. Note that there may be several types of resourcesfor any given bioassay operation. For example, a 2 2 arraymixer, a 2 3 array mixer and a 2 4 array mixer can beused for a droplet mixing operation, but with different mixingtimes. In such cases, a resource selection procedure must beused. On the other hand, resource binding may associate onefunctional resource with several assay operations; this neces-sitates resource sharing. Once resource binding is carried out,the time duration for each bioassay operation can be easilydetermined. Scheduling determines the start times and stoptimes of all assay operations, subject to the precedence andresource-sharing constraints.

A key problem in the geometry-level synthesis of biochips isthe placement of microfluidic modules such as different typesof mixers and storage units. Since digital microfluidics-basedbiochips enable dynamic reconfiguration of the microfluidicarray during run-time, they allow the placement of differentmodules on the same location during different time intervals. Asimulated annealing-based heuristic approach has been devel-oped to solve the NP-complete problem in a computationallyefficient manner [53]. Solutions for the placement problem canprovide the designer with guidelines on the size of the arrayto be manufactured. If module placement is carried out for afabricated array, area minimization frees up more unit cells forsample collection and preparation.

Architectural synthesis is based on rough estimates for place-ment costs such as the area of the microfluidic modules. Theseestimates provide lower bounds on the exact biochip area, sincethe overheads due to spare cells and cells used for droplet trans-portation are not known a priori. However, it cannot be ac-curately predicted if the biochip design meets system specifi-cations, e.g., maximum allowable array area and upper limits

Authorized licensed use limited to: DUKE UNIVERSITY. Downloaded on January 24, 2010 at 10:38 from IEEE Xplore. Restrictions apply.

Page 4: 4 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: …

CHAKRABARTY: DESIGN AUTOMATION AND TEST SOLUTIONS FOR DIGITAL MICROFLUIDIC BIOCHIPS 7

Fig. 2. An example illustrating system-level synthesis [47].

on assay completion times, until both high-level synthesis andphysical design are carried out. [47] proposed a unified system-level synthesis method for microfluidic biochips based on par-allel recombinative simulated annealing (PRSA), which offersa link between these two steps. This method allows users to de-scribe bioassays at a high level of abstraction, and it automati-cally maps behavioral descriptions to the underlying microflu-idic array.

The design flow is illustrated in Fig. 2. First, the differentbioassay operations (e.g. mixing and dilution), and their mutualdependences are represented using a sequencing graph. Next,a combination of simulated annealing and genetic algorithmsare used for unified resource binding, operation scheduling, andmodule placement. A chromosome is used to represent eachcandidate solution, i.e., a design point. The word chromosomeis derived from genetics, and it encodes the characteristics ofan individual in the population. In each chromosome, opera-tions are randomly bound to resources. Based on the bindingresults, list scheduling is used to determine the start times of op-erations, i.e., each operation starts with a random latency afterits scheduled time. Finally, a module placement is derived basedon the resource binding and the schedule of fluidic operations.A weighted sum of area- and time-cost is used to evaluate thequality of the design. The design is improved through a seriesof genetic evolutions based on PRSA. It generates an optimizedschedule of bioassay operations, the binding of assay operationsto resources, and a layout of the microfluidic biochip.

Efficient reconfiguration techniques have been developed tobypass faulty unit cells in the microfluidic array [55]. A mi-crofluidic module containing a faulty unit cell can easily be re-located to another part of the microfluidic array by changing thecontrol voltages applied to the corresponding electrodes [49].Defect tolerance can also be achieved by including redundantelements in the system; these elements can be used to replacefaulty elements through reconfiguration techniques [48]. An-other method is based on graceful degradation, in which all el-ements in the system are treated in a uniform manner, and noelement is designated as a spare [50]. In the presence of defects,a subsystem with no faulty element is first determined from thefaulty system. This subsystem provides the desired function-ality, but with a gracefully degraded level of performance (e.g.,

longer execution times). Due to the dynamic reconfigurability ofdigital microfluidics-based biochips, microfluidic components(e.g., mixers) can be viewed as reconfigurable virtual devices.For example, a 2 4array mixer (implemented using a rectan-gular array of control electrodes—two in the X-direction andfour in Y-direction) can easily be reconfigured to a 2 3 arraymixer or a 2 2 array mixer.

Fig. 3(a) shows the module placement results and the mi-crofluidic array design for a representative protein assay [47].The XY-plane refers to the placement of modules on the chipreal estate. The Z-axis refers to time. As shown in Fig. 3(b), wecan further integrate optical detectors as well as on-chip reser-voirs/dispensing ports into the microfluidic array to form a com-plete digital microfluidic biochip for the protein assay. Fig. 3(c)shows the corresponding results when some of the unit cellsin the array are faulty, and reconfiguration is used in a unifiedmanner with synthesis. The solution obtained for the fault-freearray yields a biochip design with a 9 9 microfluidic array andthe completion time for the protein assay is 363 s. The designfor the faulty array allows the protein assay to operate with anincrease of only 6% in the completion time, i.e., the completiontime is now 385 seconds.

Note that there are clear similarities between the programma-bility of dynamically reconfigurable field-programmablegate-arrays (DR-FPGAs) and digital microfluidics. However,there are also some key differences. The programmability ofDR-FPGAs is limited by the well-defined roles of interconnectand logic blocks. Interconnect cannot be used for storinginformation, and logic blocks cannot be used for routing. Incontrast, digital microfluidics-based biochips offer significantlymore programmability. The cells in the microfluidic arraycan be used for storage and functional operations, as well asfor transporting fluid droplets. Moreover, “virtual devices” indigital microfluidics can be easily moved without incurringoverhead. Non-reconfigurable devices such as reservoirs anddetectors also have to be considered.

The top-down synthesis flow described above unifies ar-chitecture level design with physical-level module placement.However, it suffers from two drawbacks. For operation sched-uling, it is assumed that the time cost for droplet routing isnegligible, which implies that droplet routing has no influenceon the operation completion time. While generating physicallayouts, the synthesis tool in [47] provides only the layouts ofthe modules and it leaves droplet routing pathways unspecified.The assumption of negligible droplet transportation times isvalid for small microfluidic arrays. However, for large arraysand for biochemical protocols that require several concurrentfluidic operations on-chip, the droplet transportation time issignificant and routing complexity is non-trivial. This problemis addressed in the next subsection.

B. Droplet Routing

A key problem in biochip physical design is droplet routingbetween modules, and between modules and I/O ports (i.e.,on-chip reservoirs). The dynamic reconfigurability inherent indigital microfluidics allows different droplet routes to sharecells on the microfluidic array during different time intervals.In this sense, the routes in microfluidic biochips can be viewedas virtual routes, which make droplet routing different from

Authorized licensed use limited to: DUKE UNIVERSITY. Downloaded on January 24, 2010 at 10:38 from IEEE Xplore. Restrictions apply.

Page 5: 4 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: …

8 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 57, NO. 1, JANUARY 2010

Fig. 3. (a) A 3-D model illustrating the synthesis results. (b) A digital microflu-idic biochip for a protein assay. (c) A defective array and module placement forthe protein assay on this array.

the classical wire VLSI routing problem. Systematic routingmethod for digital microfluidic biochips have therefore beendeveloped to minimize the number of cells used for dropletrouting, while satisfying constraints imposed by performancegoals and fluidic properties.

One of the first methods for droplet routing in biochips waspublished in [51]. The main objective in routing is to finddroplet routes with minimum lengths, where route length ismeasured by the number of cells in the path from the startingpoint to the destination. For a microfluidic array of fixed size,minimum-length droplet routes lead to the minimization of thetotal number of cells used in droplet routing, thus freeing upmore spare cells for fault tolerance. As in the case of electroniccircuits, the fluidic ports on the boundary of microfluidic mod-

ules are referred to as pins. Similarly, we refer to the dropletroutes between pins of different modules or on-chip reservoirsas nets. Thus, a fluidic route on which a single droplet is trans-ported between two terminals can easily be modeled as a 2-pinnet. We also need to move two droplets from different terminalsto one common microfluidic module (e.g., mixer) for mixing.To allow droplet mixing simultaneously during their transport,we need to model such fluidic routes using 3-pin nets.

During droplet routing, a minimum spacing between dropletsmust be maintained to prevent accidental mixing, except for thecase when droplet merging is desired (e.g., in 3-pin nets). Flu-idic constraint rules in [51] need to be satisfied in order to avoidundesirable mixing. We view the microfluidic modules placedon the array as obstacles in droplet routing. In order to avoidconflicts between droplet routes and assay operations, a seg-regation region is added to wrap around the functional regionof microfluidic modules. Another constraint in droplet routingis given by an upper limit on droplet transportation time. Thedelay for each droplet route should not exceed some maximum,e.g., 10% of a time-slot used in scheduling, in order that thedroplet-routing time can be ignored for scheduling assay oper-ations [51].

Since a digital microfluidic array can be reconfigured dy-namically at run-time, a series of 2-D placement configurationsof modules in different time spans are obtained in the moduleplacement phase [48]. Therefore, the droplet routing is de-composed into a series of sub-problems. We obtain a completedroplet-routing solution by solving these sub-problems sequen-tially.

Based on this problem formulation, a two-stage routingmethod has been proposed in [51]. In the first stage, alter-native routes for each net are generated. In the second stage,a single route from the alternatives for each net is selectedindependent of the routing order of nets. This method alsoexploits the features of dynamic reconfigurability and indepen-dent controllability of electrodes to modify droplet pathways tooverride potential violation of fluidic constraints.

Droplet routing should be considered in the synthesis flowfor digital microfluidics, in order to generate a routable synthe-sized design for the availability of routing paths. [59] proposeda method to incorporate droplet-routability in the PRSA-basedsynthesis flow. This method estimates the droplet-routabilityusing two metrics. It adopts the average module distance (overall interdependent modules) as the first design metric to guar-antee the routability of modules in the synthesized biochip. Italso adopts the maximum module distance as the second de-sign metric to approximate the maximum length of droplet ma-nipulation. Since synthesis results with high routability valuesare more likely to lead to simple and efficient droplet path-ways, this method incorporates the above two metrics into thefitness function by a factor that can be fine-tuned according todifferent design specifications to control the PRSA-based pro-cedure. For each chromosome considered in the PRSA-basedsynthesis flow, this method calculates both the average and max-imum module distance. Candidate designs with low routabilityare discarded during evolution. Thus, the synthesis procedureguarantees that the routing complexity is reduced for the syn-thesized biochip, while meeting constraints on array size andbioassay processing time.

Authorized licensed use limited to: DUKE UNIVERSITY. Downloaded on January 24, 2010 at 10:38 from IEEE Xplore. Restrictions apply.

Page 6: 4 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: …

CHAKRABARTY: DESIGN AUTOMATION AND TEST SOLUTIONS FOR DIGITAL MICROFLUIDIC BIOCHIPS 9

Fig. 4. Feasibility frontier surface and feasible design region for defect-tolerantand defect-oblivious routing-aware synthesis methods.

We ran the defect-tolerant routing-aware and defect-obliviousrouting-aware algorithms under a set of combinations of weightsin the fitness function for the protein assay example. We car-ried out random defect injection into each design and obtain itsfailure rate. We mapped each design G to a three-dimensionalpoint , where , , are completion time,chip area, and failure rate of the design, respectively. A point

is referred to as a feasibility boundary point ifthere are no other points such that ,

, and . A feasibility frontier surface isobtained by connecting all the feasibility boundary points, asshown in Fig. 4. The feasible design region corresponds to thespace above the feasible surface. Any design specification canbe met whose corresponding is point located in this region;otherwise, no feasible design exists for this specification. Asshown in Fig. 4, defect-tolerant routing-aware synthesis leadsto a lower-feasibility frontier surface and a larger feasible de-sign space as compared to the defect-oblivious method.

Cross-contamination is likely to occur when multiple dropletroutes intersect or overlap with each other. At the intersectionsite of two droplet routes, a droplet that arrives at a later clockcycle can be contaminated by the residue left behind by anotherdroplet that passed through at an earlier clock cycle. Disjointdroplet routes are utilized to avoid the cross-contamination. In aset of disjoint routes, a droplet route does not share any cell in itspath with each of the other droplet routes in that set. Such routeseliminate the possibility of a droplet being transported via a cellwhen another droplet has already passed through it in an earliertime interval. The problem of finding feasible disjoint routes for2-pin or 3-pin nets in the 2-D microfluidic array can be directlymapped to the problem of finding disjoint paths (vertex-disjointor edge-disjoint) for pairs of vertices in a graph, which has beenshown to be NP-complete [42].

Reference [69] proposed a droplet-routing method thatavoids cross-contamination in the optimization of droplet flowpaths. The proposed approach targets disjoint droplet routesand minimizes the number of cells used for droplet routing.A net-routing ordering method is used to obtain an optimizedorder for the routing of all the nets in a sub-problem. Eachnet in the net-routing order is routed sequentially. The cellsoccupied by the routed paths are marked as obstacles for the

Fig. 5. Disjoint routing and cross-contamination-oblivious routing for a sub-problem. (a) Three 2-pin nets and two 3-pin nets. (b) Net 2 violates the timingdelay constraint. (c) feasible routes for all the nets using the disjoint-routingmethod. (d) routing results obtained using the cross-contamination-obliviousrouting method.

unrouted nets. Therefore, the latter route is vertex-disjoint withrespect to all the previous routes. Since it is often difficult tofind vertex-disjoint paths to solve the droplet-routing problem,it is more practical to relax the overlap restriction and searchfor edge-disjoint routes in such cases.

Fig. 5 illustrates the application of the proposed routingmethod to a sub-problem. As shown in Fig. 5(a), there arethree 2-pin nets and two 3-pin nets. The net-routing order isNet 3, Net 1, Net 4, Net 2, and Net 5. While generating theroute for Net 2, since the paths for previous routed Net 3, Net1 and Net 4 have been marked as obstacles, the shortest pathsbetween and (i.e., Net 2) violate the timing delayconstraint, as shown in Fig. 5(b). Thus, we put Net 2 to theconflict list, then generate the route for Net 5. After the routefor Net 5 is generated, we generate the route for Net 2 withoutconsidering previously generated paths as obstacles. A routethat has no common pair of adjacent cells with the previouslyrouted paths is selected. The obtained route for Net 2 satisfiesthe timing constraint, as shown in Fig. 5(c). The route forNet 2 induces two intersections (cross-contamination sites)with the routes for Net 4 and Net 5, respectively. Therefore,the desirable edge-disjoint droplet-route set with total 63 cellsis finally obtained. We compare the routing results obtainedusing the proposed method for this sub-problem with a baselinemethod that utilizes the modified Lee algorithm in [51] withoutconsidering cross-contamination between different routes. Thebaseline method uses four route intersections (cross-contam-ination sites), two more than that obtained by the proposedmethod, as shown in Fig. 5(d).

Authorized licensed use limited to: DUKE UNIVERSITY. Downloaded on January 24, 2010 at 10:38 from IEEE Xplore. Restrictions apply.

Page 7: 4 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: …

10 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 57, NO. 1, JANUARY 2010

Since cross-contamination can also happen across two sub-problems, after the droplet-routing process in one sub-problem,a wash operation needs to be introduced. In a wash operation,a wash droplet is routed to traverse selected cells and removeresidue from them. However, performing wash operations afterevery sub-problem significantly increases the total time neededfor droplet routing for all the sub-problems. Therefore, [69] pro-posed an optimization model to minimize the number of washoperations that must be used between successive routing steps.

IV. PIN-CONSTRAINED CHIP DESIGN

Electrode addressing is an important problem in biochipdesign. It refers to the manner in which electrodes are con-nected to and controlled by input pins. Early design-automationtechniques relied on the availability of a direct-addressingscheme. For large arrays, direct-addressing schemes lead to alarge number of control pins, and the associated interconnectrouting problem significantly adds to the product cost. Thus,the design of pin-constrained digital microfluidic arrays is ofgreat practical importance for the emerging marketplace. Inthis section, we describe a number of pin-constrained biochipdesign methods.

A. Droplet-Trace-Based Array Partitioning

An array-partitioning-based pin-constrained design methodof digital microfluidic biochips proposed in [56]. This methoduses array partitioning and careful pin assignment to reducethe number of control pins. The key idea is to “virtually”partition the array into regions. The partitioning criterion hereis to ensure at most one droplet is included in each partition.The droplet trace, defined as the set of cells traversed by asingle droplet, serves as the basis for generating the arraypartitions. The droplet trace can be easily extracted from thedroplet routing information and the placement of the modulesto which it is routed. If droplets traces intersect on the array,the partitions derived by this method overlap in some regions.Sets of pins from an “overlapping” partition cannot be usedin the overlapped region since the reuse of the pins may leadto droplet interference. The solution to this problem is tomake the overlapping region a new partition, referred to as theoverlapping partition, and use direct addressing (one-to-onemapping) for it.

A Connect-5 algorithm is used to address the problem of howto map control pins to the electrodes in a partition, which can beeasily implemented using a 3-layer-PCB. The Connect-5 algo-rithm succeeds in avoiding droplet interference while movinga single droplet inside the partition. It can be integrated intothe droplet-trace-based array partitioning method to generatedroplet-interference-free layouts with a minimum number ofpins. However, this method requires detailed information aboutthe scheduling of assay operations, microfluidic module place-ment, and droplet routing pathways. Thus, the array design insuch cases is specific to a target biofluidic application.

B. Cross-Referencing-Based Droplet Manipulation

An alternative method based on a cross-reference drivingscheme is presented in [60]. This method allows control ofan grid array with only control pins. The

electrode rows are patterned on both the top and bottom plates,and placed orthogonally. In order to drive a droplet along theX-direction, electrode rows on the bottom plate serve as drivingelectrodes, while electrode rows on the top serve as referenceground electrodes. The roles are reversed for movement alongthe Y-direction. This cross-reference method facilitates thereduction of control pins. However, due to electrode interfer-ence, this design cannot handle the simultaneous movementof more than two droplets. For the concurrent manipulationof multiple droplets on a cross-referencing-based biochip,multiple row and column pins must be selected to activate thedestination cells, i.e., cells to which the droplets are supposedto move. However, the selected row and column pins may alsoresult in the activation of cells other than the intended dropletdestinations.

A solution based on destination-cell categorization is pro-posed to tackle the above problem. The key idea is to groupthe droplet movements according to their destination cells. Agroup consists of droplets whose destination cells share thesame column or row. In this way, the manipulation of multipledroplets is ordered in time; droplets in the same group canbe moved simultaneously without electrode interference, butthe movements for the different groups must be sequential.The problem of finding the minimum number of groups canbe directly mapped to the problem of determining a minimalclique partition from graph theory [37]. A linear-time heuristicalgorithm based on row-scanning and column-scanning hasbeen used to derive the clique partitions.

C. Broadcast-Addressing Method

One drawback of the cross-reference driving scheme is thatthis design requires a special electrode structure (i.e., both topand bottom plates contain electrode rows), which results in in-creased manufacturing cost. Thereby, a broadcast-addressingbased design technique for pin-constrained and multi-functionalbiochips has been developed in [61].

To execute a specific bioassay, routing and scheduling infor-mation must be stored in the form of electrode activation se-quences, where each bit representing the status of the electrodeat a specific time-step. The status can be either “1” (activate),“0” (deactivate) or “F” (floating). The “floating” status is repre-sented using the symbol “x” and refer to it as “don’t-care”. Eachelectrode activation sequence contains several don’t-care terms,which can be replaced by “1” or “0”. If two sequences can bemade identical by careful replacing these don’t-care terms with“0” or “1”, they are referred to as compatible sequences. Com-patible sequences can be generated from a single signal source.

The number of control pins can be reduced by connectingtogether electrodes with mutually-compatible activation se-quences, and addressing them using a single control pin.Therefore, the resulting electrode-access method is referred toas a broadcast addressing. The first step here is to partitionthe electrodes into groups. For all the electrodes in any group,the corresponding activation sequences must be pairwise-com-patible. The problem of finding an optimal partition that leadsto the minimum number of groups can be easily mapped tothe problem of determining a minimal clique partition fromgraph theory [37]. The minimum number of groups yields theminimum number of control pins.

Authorized licensed use limited to: DUKE UNIVERSITY. Downloaded on January 24, 2010 at 10:38 from IEEE Xplore. Restrictions apply.

Page 8: 4 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: …

CHAKRABARTY: DESIGN AUTOMATION AND TEST SOLUTIONS FOR DIGITAL MICROFLUIDIC BIOCHIPS 11

TABLE IEXAMPLES OF FAULT MODELS FOR DIGITAL MICROFLUIDIC BIOCHIP [57]

V. TESTING AND DIAGNOSIS

In this section, we describe recent advances in the testing ofdigital microfluidic biochips and fault localization techniques.

A. Fault Modeling

As in microelectronic circuits, a defective microfluidicbiochip is said to have a failure if its operation does not matchits specified behavior [41]. In order to facilitate the detectionof defects, fault models that efficiently represent the effectof physical defects at some level of abstraction are required.These models can be used to capture the effect of physicaldefects that produce incorrect behaviors in the electrical orfluidic domain. As described in [43], faults in digital microflu-idic systems can be classified as being either catastrophic orparametric. Catastrophic faults lead to a complete malfunctionof the system, while parametric faults cause degradation in thesystem performance. A parametric fault is detectable only ifthis deviation exceeds the tolerance in system performance.

Catastrophic may be caused by a number of physical defects,for example:

• Dielectric breakdown: The breakdown of the dielectric athigh voltage levels creates a short between the droplet andthe electrode. When this happens, the droplet undergoeselectrolysis, thereby preventing further transportation.

• Short between the adjacent electrodes: If a short occursbetween two adjacent electrodes, the two electrodes effec-tively form one longer electrode. When a droplet resideson this electrode, it is no longer large enough to overlapthe gap between adjacent electrodes. As a result, the actu-ation of the droplet can no longer be achieved.

• Degradation of the insulator: This degradation effect is un-predictable and may become apparent gradually during theoperation of the microfluidic system. A consequence is thatdroplets often fragment and their motion is prevented be-cause of the unwanted variation of surface tension forcesalong their flow path.

• Open in the metal connection between the electrode and thecontrol source: This defect results in a failure in activatingthe electrode for transport.

Table I lists some common failure sources, defects and thecorresponding fault models for catastrophic faults in digital mi-crofluidic lab-on-chip. Examples of some common parametricfaults include the following:

• Geometrical parameter deviation: The deviation in insu-lator thickness, electrode length and height between par-allel plates may exceed their tolerance value.

• Change in viscosity of droplet and filler medium. These canoccur during operation due to an unexpected biochemicalreaction, or changes in operational environment, e.g., tem-perature variation.

B. Structural Test Techniques

A unified test methodology for digital microfluidic biochipshas recently been presented, whereby faults can be detected bycontrolling and tracking droplet motion electrically [45]. Teststimuli droplets containing a conductive fluid (e.g., KCL solu-tion) are dispensed from the droplet source. These droplets areguided through the unit cells following the test plan towards thedroplet sink, which is connected to an integrated capacitive de-tection circuit. Details of the capacitive readout circuit are pre-sented in [58]. Most catastrophic faults result in a complete ces-sation of droplet transportation. Therefore, we can determinethe fault-free or faulty status of the system by simply observingthe arrival of test stimuli droplets at selected ports. An efficienttest plan ensures that testing does not conflict with the normalbioassay, and it guides test stimuli droplets to cover all the unitcells available for testing. The microfluidic array can be mod-eled as an undirected graph, and the pathway for the test dropletcan be determined by solving the Hamiltonian path problem

Authorized licensed use limited to: DUKE UNIVERSITY. Downloaded on January 24, 2010 at 10:38 from IEEE Xplore. Restrictions apply.

Page 9: 4 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: …

12 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 57, NO. 1, JANUARY 2010

[52]. With negligible hardware overhead, this method also of-fers an opportunity to implement self-test for microfluidic sys-tems and therefore eliminate the need for costly, bulky, and ex-pensive external test equipment. Furthermore, after detection,droplet flow paths for bioassays can be reconfigured dynami-cally such that faulty unit cells are bypassed without interruptingthe normal operation.

Even though most catastrophic faults lead to a complete ces-sation of droplet transportation, there exist differences betweentheir corresponding erroneous behaviors. For instance, to test forthe electrode-open fault, it is sufficient to move a test dropletfrom any adjacent cell to the faulty cell. The droplet will al-ways be stuck during its motion due to the failure in charging thecontrol electrode. On the other hand, if we move a test dropletacross the faulty cells affected by an electrode-short fault, thetest droplet may or may not be stuck depending on its flow di-rection. Therefore, to detect such faults, it is not enough to solveonly the Hamiltonian path problem. In [46], a solution based onEuler paths in graphs is described for detecting electrode shorts.

Despite its effectiveness for detecting electrode shorts, testingbased on an Euler path suffers from long test application time.This approach uses only one droplet to traverse the microfluidicarray, irrespective of the array size. Fault diagnosis is carried outby using multiple test application steps and adaptive Euler paths.Such a diagnosis method is inefficient since defect-free cells aretested multiple times. Moreover, the test method leads to a testplan that is specific to a target biochip. If the array dimensionsare changed, the test plan must be completely altered. In addi-tion, to facilitate chip testing in the field, test plans need to beprogrammed into a microcontroller. However, the hardware im-plementations of test plans from [45] are expensive, especiallyfor low cost, disposable biochips. More recently, a cost-effectivetesting methodology referred to as “parallel scan-like test” hasbeen proposed [58]. The method is named thus because it ma-nipulates multiple test droplets in parallel to traverse the targetmicrofluidic array, just as test stimuli can be applied in parallelto the different scan chains in an integrated circuit.

The parallel scan-like test method has been applied to a fab-ricated biochip. The chip-under-test is a PCB microfluidic plat-form for DNA sequencing, as shown in Fig. 6. The platformconsists of a 7 7 array, 8 reservoirs and routing electrodes thatconnect reservoirs to the array. A total of 9 cells are reserved forgrounding, and they are not available for droplet transportation.As a baseline, Euler-path-based testing was applied to this chip[58]. The test procedure takes 57 s, assuming a (typical) 1-Hzelectrode-actuation frequency. Next, parallel scan-like test wasapplied to this chip. The test application procedure takes 46 sec-onds, again for a 1-Hz actuation frequency.

Next we evaluate the time needed for fault diagnosis for thetwo methods. In [58], a fabricated chip was used, which wasknown a priori to contain one defect. For the Euler-path-basedmethod, binary search was carried out to locate the defectivecell. A total of seven iterations were needed and the total diag-nosis time was 173 s. On the other hand, parallel scan-like testcan simply determine the defect site from test-outcome read-outs. No additional diagnosis steps are needed and the diagnosistime is the same as the testing time, i.e., 44 s, which correspondto a 75% reduction compared to [45].

Fig. 6. Fabricated lab-on-chip for DNA sequencing used to demonstrate par-allel scan-like testing.

A drawback of the above “structural” test methods is that theyfocus only on physical defects, and they overlook module func-tionality. Therefore, these methods can only guarantee that abiochip is defect-free. However, a defect-free microfluidic arraycan also malfunction in many ways. For example, a defect-freereservoir may result in large volume variations when dropletsare dispensed from it. A splitter composed of three defect-freeelectrodes may split a big droplet into two droplets with sig-nificantly unbalanced volumes. These phenomena, referred toas malfunctions, are not the result of electrode defects. Instead,they are activated only for certain patterns of droplet movementor fluidic operations. Such malfunctions can have serious con-sequences on the integrity of bioassay results.

C. Functional Testing Techniques

Functional testing involves test procedures to check whethergroups of cells can be used to perform certain operations, e.g.,droplet mixing and splitting. For the test of a specific opera-tion, the corresponding patterns of droplet movement are carriedout on the target cluster of cells. If a target cell cluster fails thetest, e.g., the mixing test, we label it as a malfunctioning cluster.As in the case of structural testing, fault models must be devel-oped for functional testing. Malfunctions in fluidic operationsare identified and included in the list of faults; see Table II.

Functional test methods to detect the defects and malfunc-tions have recently been developed. In particular, dispensingtest, mixing test, splitting test, and capacitive sensing test havebeen described in [57] to address the corresponding malfunc-tions.

Functional test methods were applied to a PCB microfluidicplatform for the Polymerase Chain Reaction (PCR), as shownin Fig. 7. The platform consists of two columns and two rows ofelectrodes, three reservoirs, and routing electrodes that connectthe reservoirs to the array. A dispensing malfunction is shownin Fig. 8.

An illustration of the mixing and splitting test is shown inFig. 9. The bottom row was first targeted and five test dropletswere dispensed to the odd electrodes, as shown in Fig. 9(a).Next, splitting test for the even electrodes was carried out.Droplets were split and merged on the even electrodes. InFig. 9(b), we see a series of droplets of the same volume restingon the even electrodes, which means that all the odd electrodes

Authorized licensed use limited to: DUKE UNIVERSITY. Downloaded on January 24, 2010 at 10:38 from IEEE Xplore. Restrictions apply.

Page 10: 4 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: …

CHAKRABARTY: DESIGN AUTOMATION AND TEST SOLUTIONS FOR DIGITAL MICROFLUIDIC BIOCHIPS 13

TABLE IIFUNCTIONAL FAULT MODELS [57]

Fig. 7. Fabricated lab-on-chip used for PCR.

Fig. 8. Illustration of (a) normal dispensing and (b) dispensing failure, for afabricated lab-on-chip.

passed the splitting test, and merging at the even electrodesworked well. However, when the splitting test was carried outon the even electrodes, a large variation in droplet volume wasobserved on the 3rd and 5th electrodes; see Fig. 9(c). Thisvariation implied a malfunction, leading to unbalanced splittingon the 4th electrode. The malfunction was detected when thedroplets were routed to the capacitive sensing circuit. The 4thelectrode on the bottom row was marked as an unqualifiedsplitting site.

D. Built-In Self-Test (BIST) Techniques

Previous test methods for digital microfluidic platforms usecapacitive sensing circuits to read and analyze test outcomes.After reading the test-outcome droplets in a consecutive manner,the capacitive sensing circuit generates a pulse-sequence corre-sponding to the detection of these droplets. This approach re-quires an additional step to analyze the pulse sequence to deter-mine whether the microfluidic array under-test is defective. The

Fig. 9. Mxing and splitting test for a fabricated PCR chip.

reading of test outcomes and the analysis of pulse sequences in-crease test time; the latter procedure is especially prone to errorsarising from inaccuracies in sensor calibration. The complexityof the capacitive-sensing circuit and the need for pulse-sequenceanalysis make previously proposed testing methods less prac-tical, especially for field operation.

A built-in self-test (BIST) method for digital microfluidiclab-on-chip is proposed in [68]. This method utilizes mi-crofluidic logic gates to implement the “compactor” in a BISTarchitecture. Using the principle of electrowetting-ondielec-tric, microfluidic AND, OR and NOT gates are implementedthrough basic droplet-handling operations such as transporta-tion, merging, and splitting.

The definitions of logic values ‘0’ or ‘1’ are as follows: thepresence of a droplet of 1 volume at an input or output port in-dicates a logic value of ‘1’. The absence of a droplet at an inputor output port indicates the logic value ‘0’. The same interpre-tations at inputs and outputs enable the output of one gate to be

Authorized licensed use limited to: DUKE UNIVERSITY. Downloaded on January 24, 2010 at 10:38 from IEEE Xplore. Restrictions apply.

Page 11: 4 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: …

14 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 57, NO. 1, JANUARY 2010

Fig. 10. On-chip cycle-by-cycle operation for the microfluidic OR gate withinput 11.

fed as an input to another gate, thus logic gates can be easilycascaded.

Fig. 10 shows the operation of the OR gate for two inputs. For this chip, the electrode pitch was 1.5 mm

and the gap was 0.475 mm. The voltage used for splitting was250 V. The voltage used for transportation was 80 V. The clockfrequency was 1 Hz.

When , two 1 droplets stay on the electrodes repre-senting two inputs, while one 1 droplet stays on the electroderepresenting the reference port. When , two 1 dropletsfrom the input ports merge together to form a 2 droplet. From

to 4, the 2 droplet splits into two 1 droplets. Notethat the splitting step in the experiment occupies five electrodesand lasts for three clock cycles. When , one 1 dropletmixes with the 1 droplet from the reference port to form a2 droplet, while the other 1 droplet moves downward to thewaste reservoir. From to , the merged 2 droplet movesto the crossing electrode. From to , the 2 dropletsplits into two 1 droplets. At , there is one 1 dropleton the electrode representing the output, which indicates that theoutput value of microfluidic OR gate with inputsis 1.

Fig. 11. Schematic of a microfluidic compactor for parallel scan-like testing:��������� ������ �'.

The microfluidic compactor can compress the test-outcomedroplets of both structural test and functional test into onedroplet in a very short amount of time, and the droplet can bedetected using a simple photodiode detector, thereby avoidingthe need for a capacitive-sensing circuit and complicatedpulse-sequence analysis. An efficient diagnosis method basedon a “microfluidic encoder” is also proposed to locate a singledefective electrode in a microfluidic array. Fig. 11 illustrates theschematic of the microfluidic compactor for parallel scan-liketest of odd rows/columns in a 16 16 microfluidic array.The electrodes represent the last row/column where the pseu-dosinks are located. The compactor consists of three layersof microfluidic 2-input AND gates. The output of the ANDgate in the third layer is connected to the photo-diode detectorlocated in the sink reservoir of the microfluidic array. Thearrows show the direction of droplet routing through the ANDgates. The number at each arrow indicates the logic value of theinput or output, according to the definitions for logic values inmicrofluidic systems. In Fig. 11, after the parallel scan-like testfor odd rows/columns, there is no droplet on the fifth electrode,indicating that the corresponding row/column has a defect.Therefore, the left input of the second AND gate in the firstlayer is ‘0’, while other input values are ‘1’. The output of theAND gate with a ‘0’ input value is ‘0’. No droplet appears onthe output port (electrode) of the microfluidic compactor, there-fore the output value of the compactor is ‘0’. Instead of routingall the droplets in odd pseudo-sinks one-by-one to the capaci-tive-sensing circuit and analyzing the resulting pulse-sequence,we use the photo-diode detector to check for the presence/ab-sence of the 1 droplet on the output of the compactor. Sinceno droplet is detected by the photo-diode detector, we concludethat there is a defect in the odd rows/columns under test.

E. Design for Testability

Previous pin-constrained design methods achieve a signifi-cant reduction in the number of input pins needed for control-ling the electrodes. However, as a trade-off, droplet manipula-tion steps must satisfy additional constraints. These constraintscan result in test procedures being either completely ineffectiveor effective only for a small part of the chip. As a result, chiptestability is significantly reduced. Note that the reduction intestability is due to the conflicts between the fluidic operationsteps required by functional test and the constraints on dropletmanipulations introduced by the mapping of pins to electrodes.Fig. 12 shows a pin-constrained chip design for a representative

Authorized licensed use limited to: DUKE UNIVERSITY. Downloaded on January 24, 2010 at 10:38 from IEEE Xplore. Restrictions apply.

Page 12: 4 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: …

CHAKRABARTY: DESIGN AUTOMATION AND TEST SOLUTIONS FOR DIGITAL MICROFLUIDIC BIOCHIPS 15

Fig. 12. An example of an untestable functional unit on a pin-constrained chipfor multiplexed assay.

protein-dilution assay. The functional test procedure requires asplitting operation to be executed on the highlighted functionalunit. To do this, we first activate Pin 13 to hold a test droplet at

. Next, we deactivate Pin 13 and activate Pin 12 and Pin 14to split the test droplet into two small droplets seated on and

. However, is also charged by activating Pin 12. As a re-sult, the split droplet that is supposed to be seated on will bemoved unintentionally to the boundary of and . Note thatdifferent mappings for a pin-constrained chip lead to differentuntestable functional units, thereby different levels of chip testa-bility.

The authors in [62] proposed a design-for-testability (DFT)solution to facilitate the testing in pin-constrained design. A test-aware pin-constrained design method that incorporates test pro-cedures into the fluidic manipulation steps in the target bioassayprotocol is proposed. First, the fluidic operations required bythe test procedure are derived from the scheduling and routingsteps related to the test droplets. Next, we merge these fluidicoperations with the droplet manipulation steps needed for thetarget bioassay. The merging can be carried out by attachingthe electrode-activation sequences for the test procedure to theelectrode-activation sequences for the target bioassays. For eachelectrode in the array, its activation sequence during the test pro-cedure is added to that for the target bioassay to form a longer se-quence. These merged longer electrode-activation sequences areprovided as input to the broadcast-addressing method, therebythe resulted chip design will support not only the target bioassaybut also the test operations. Fig. 13 shows a linear array con-sisting of four electrodes. A simple “routing assay” is mappedto the array, where a droplet is to be routed from E4 to E1, oneelectrode per step. We first list the activation sequence for eachelectrode (Table T1) in Fig. 13. Next we add a splitting test onE3. The electrode-activation sequences for the splitting test areshown in Table T2 of Fig. 13. These activation sequences arethen combined with the activation sequences in T1. The resulted

Fig. 13. Illustration of the influence by adding test operations to the bioassay.

longer activation sequences are listed in table T3. The broad-cast-addressing method is then applied to generate the eventualpin assignment according to sequences in T3.

By applying pin-constrained design to the testability-awarebioassay protocol, the proposed method ensures that theresulting chip layout supports the effective execution oftest-related droplet operations for the entire chip. Therefore,the proposed DFT method allows design of pin-constrainedbiochips with a high level of testability with negligible overheadin terms of the number of control pins.

VI. CONCLUSION

We have presented a survey of research on design automationand test techniques for digital microfluidic biochips. We firstprovided an overview of the digital microfluidic platform, andthen highlighted advances in synthesis and droplet routingtechniques. Practical design techniques for achieving highthroughout with a small number of control pins have beenpresented. Testing and design-for-testability techniques havealso been presented. Common defects have been identified andrelated to logical fault models. Based on these fault models,test techniques for emerging lab-on-chip devices and digitalmicrofluidic modules have been presented. The use of these testtechniques for fabricated devices has been highlighted. Thesedesign techniques are expected to pave the way for the deploy-ment and use of biochips in the emerging marketplace. As thenext step for research in this field, there is a need to integrate

Authorized licensed use limited to: DUKE UNIVERSITY. Downloaded on January 24, 2010 at 10:38 from IEEE Xplore. Restrictions apply.

Page 13: 4 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: …

16 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 57, NO. 1, JANUARY 2010

more on-chip sensors such that adaptive decision making canbe carried out on-chip for biomolecular recognition.

ACKNOWLEDGMENT

The author thanks Y. Zhao and Z. Zhang of Duke Univer-sity, Durham, NC, for help in the preparation of this manuscript.The author also thanks a number of colleagues at Duke Univer-sity and at Advanced Liquid Logic, Inc., who have contributedto this work. They include Dr. F. Su, Dr. T. Xu, Y. Zhao, W.Hwang, Dr. P. Paik, Dr. V. Pamula, and Prof. R. B. Fair.

REFERENCES

[1] T. H. Schulte, R. L. Bardell, and B. H. Weigl, “Microfluidic technolo-gies in clinical diagnostics,” Clinica Chim. Acta, vol. 321, pp. 1–10,2002.

[2] V. Srinvasan, V. K. Pamula, M. G. Pollack, and R. B. Fair, “Clinicaldiagnostics on human whole blood, plasma, serum, urine, saliva, sweat,and tears on a digital microfluidic platform,” in Proc. MicroTAS, 2003,pp. 1287–1290.

[3] A. Guiseppi-Elie, S. Brahim, G. Slaughter, and K. R. Ward, “Designof a subcutaneous implantable biochip for monitoring of glucose andlactate,” IEEE Sensors J., vol. 5, pp. 345–355, 2005.

[4] R. B. Fair, A. Khlystov, T. D. Tailor, V. Ivanov, R. D. Evans, P. B.Griffin, V. Srinivasan, V. K. Pamula, M. G. Pollack, and J. Zhou,“Chemical and biological applications of digital-microfluidic devices,”IEEE Design & Test of Comput., vol. 24, pp. 10–24, 2007.

[5] E. A. Ottesen, J. W. Hong, S. R. Quake, and J. R. Leadbetter, “Mi-crofluidic digital PCR enables multigene analysis of individual envi-ronmental bacteria,” Science, vol. 314, pp. 1464–1467, 2006.

[6] Y. Zhao and S. K. Cho, “Microparticle sampling by electrowetting ac-tuated droplet sweeping,” Lab on a Chip, vol. 6, pp. 137–144, 2006.

[7] V. Srinivasan, V. K. Pamula, and R. B. Fair, “An integrated digital mi-crofluidic lab-on-a-chip for clinical diagnostics on human physiolog-ical fluids,” Lab on a Chip, vol. 4, pp. 310–315, 2004.

[8] E. Verpoorte and N. F. D. Rooij, “Microfluidics meets MEMS,” Pro-ceedings of IEEE, vol. 91, pp. 930–953, 2003.

[9] Semiconductor Industry Association, International TechnologyRoadmap for Semiconductors (ITRS) 2007 [Online]. Available:http://www.itrs.net/Links/2007ITRS/Home2007.htm

[10] R. Qiao and N. R. Aluru, “A compact model for electroosmotic flows inmicrofluidic devices,” J. Micromechanics and Microengineering, vol.12, pp. 625–635, 2002.

[11] Y. Wang, Q. Lin, and T. Mukherjee, “A model for laminar diffusion-based complex electrokinetic passive micromixers,” Lab on a Chip, vol.5, pp. 877–887, 2005.

[12] A. S. Bedekar, Y. Wang, S. Krishnamoorthy, S. S. Siddhaye, andS. Sundaram, “System-level simulation of flow-induced dispersionin lab-on-a-chip systems,” IEEE Trans. Comput.-Aided Des. Integr.Circuits Syst., vol. 25, pp. 294–304, 2006.

[13] Y. Wang, Q. Lin, and T. Mukherjee, “Composable behavioral modelsand schematic-based simulation of electrokinetic lab-on-a-chip sys-tems,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 25,pp. 258–273, 2006.

[14] X. Chen, D. F. Cui, C. Liu, H. Li, and J. Chen, “Continuous flow mi-crofluidic device for cell separation, cell lysis and DNA purification,”Anal. Chim. Acta, vol. 584, pp. 237–243, 2007.

[15] CoventorWareTM [Online]. Available: http://www.coventor.com/coventorware.html

[16] T. Korsmeyer, J. Zeng, and K. Greiner, “Design tools for BioMEMS,”in Proc.IEEE/ACM Design Autom. Conf., 2004, pp. 622–627.

[17] M. G. Pollack, R. B. Fair, and A. D. Shenderov, “Electrowetting-basedactuation of liquid droplets for microfluidic applications,” Appl. Phys.Lett., vol. 77, pp. 1725–1726, 2000.

[18] R. B. Fair, V. Srinivasan, H. Ren, P. Paik, V. K. Pamula, and M. G. Pol-lack, “Electrowetting-based on-chip sample processing for integratedmicrofluidics,” in Proc. IEEE Int. Electron Devices Meeting (IEDM),2003, pp. 32.5.1–32.5.4.

[19] S. K. Cho, H. J. Moon, and C. J. Kim, “Creating, transporting, cut-ting, and merging liquid droplets by electrowetting-based actuation fordigital microfluidic circuits,” J. Microelectromech. Syst., vol. 12, pp.70–80, Feb. 2003.

[20] A. R. Wheeler, H. Moon, C. A. Bird, R. R. O. Loo, C.-J. Kim, J. A. Loo,and R. L. Garrell, “Digital microfluidics with in-line sample purifica-tion for proteomics analyses with MALDI-MS,” Anal. Chem., vol. 77,pp. 534–540, 2005.

[21] J. Gong and C. J. Kim, “Two-dimensional digital microfluidic systemby multi-layer printed circuit board,” in Proc, IEEE MEMS, 2005, pp.726–729.

[22] D. Chatterjee, B. Hetayothin, A. R. Wheeler, D. J. King, and R. L.Garrell, “Droplet-based microfluidics with nonaqueous solvents andsolutions,” Lab on a Chip, vol. 6, pp. 199–206, 2006.

[23] J. Berthier, Microdrops and Digital Microfluidics: Processing, Devel-opment, and Applications (Micro & Nano Technologies). : WilliamAndrew, 2007.

[24] H. Ren, R. B. Fair, and M. G. Pollack, “Automated on-chip dropletdispensing with volume control by electro-wetting actuation and ca-pacitance metering,” Sensors and Actuators B-Chemical, vol. 98, pp.319–327, 2004.

[25] V. Srinivasan, V. K. Pamula, and R. B. Fair, “Droplet-based microflu-idic lab-on-a-chip for glucose detection,” Anal. Chim. Acta, vol. 507,pp. 145–150, 2004.

[26] Advanced Liquid Logic [Online]. Available: http://www.liquid-logic.com

[27] P. Y. Paik, “Rapid Droplet Mixers for Digital Microfluidic Systems,”M. S. Thesis, Duke Univ., Durham, NC, 2003.

[28] T. B. Jones, M. Gunji, M. Washizu, and M. J. Feldman, “Dielec-trophoretic liquid actuation and nanodroplet formation,” J. Appl.Phys., vol. 89, pp. 1441–1448, 2001.

[29] J. Vykoukal, J. Schwartz, F. Becker, and P. Gascoyne, “A pro-grammable dielectric fluid processor for droplet-based chemistry,” inProc. Micro Total Analysis Syst., 2001, pp. 72–74.

[30] T. B. Jones, K. L. Wang, and D. J. Yao, “Frequency-dependentelectromechanics of aqueous liquids: Electrowetting and dielec-trophoresis,” Langmuir, vol. 20, pp. 2813–2818, 2004.

[31] J. Gong and C. J. Kim, “Two-dimensional digital microfluidic systemby multi-layer printed circuit board,” in Proc. IEEE MEMS, 2005, pp.726–729.

[32] [Online]. Available: http://www.ultimatepcb.com/index.php[33] T. Xu, P. Thwar, V. Srinivasan, V. K. Pamula, and K. Chakrabarty,

“Digital microfluidic biochip for protein crystallization,” in IEEE-NIHLife Science Syst. and Appl. Workshop, Bethesda, MD, 2007.

[34] T. Xu, K. Chakrabarty, and V. K. Pamula, “Design and optimizationof a digital microfluidic biochip for protein crystallization,” in Proc.IEEE/ACM Int. Conf. on Computer-Aided Design, 2008, pp. 297–301.

[35] Silicon Biosystems [Online]. Available: www.siliconbiosystems.com[36] M. Van Der Woerd, D. Ferree, and M. Pusey, “The promise of macro-

molecular crystallization in microfluidic chips,” J. Struct. Biol., vol.142, pp. 180–187, 2003.

[37] R. Diestel, Graph Theory. Berlin, Germany: Springer, 2005.[38] X. Chen, D. F. Cui, C. Liu, H. Li, and J. Chen, “Continuous flow mi-

crofluidic device for cell separation, cell lysis and DNA purification,”Anal. Chim. Acta, vol. 584, pp. 237–243, 2007.

[39] E. Maftei, P. Pop, J. Madsen, and T. Stidsen, “Placement-aware archi-tectural synthesis of digital microfluidic biochips using ILP,” in Proc.Int. Conf. on Very Large Scale Integr. of System on Chip, 2008, pp.425–430.

[40] G. De Micheli, Synthesis and Optimization of Digital Circuits. NewYork: McGraw-Hill, 1994.

[41] H. G. Kerkhoff, “Testing of microelectronic-biofluidic systems,” IEEEDesign & Test of Computers, vol. 24, pp. 72–82, 2007.

[42] M. E. Kramer and J. van Leeuwen, “The complexity of wire routingand finding the minimum area layouts for arbitrary VLSI circuits,” inAdv. Comput. Res. 2: VLSI Theory. London, U.K.: JAI Press, 1984.

[43] F. Su, S. Ozev, and K. Chakrabarty, “Testing of droplet-based mi-croelectrofluidic systems,” in Proc. IEEE Int. Test Conf., 2003, pp.1192–1200.

[44] F. Su and K. Chakrabarty, “Architectural-level synthesis of digital mi-crofluidics-based biochips,” in Proc. IEEE Int. Conf. on CAD, 2004,pp. 223–228.

[45] F. Su, S. Ozev, and K. Chakrabarty, “Ensuring the operational healthof droplet-based microelectrofluidic biosensor systems,” IEEE SensorsJ., vol. 5, pp. 763–773, 2005.

[46] F. Su, W. Hwang, A. Mukherjee, and K. Chakrabarty, “Testing anddiagnosis of realistic defects in digital microfluidic biochips,” J. Elec-tronic Testing: Theory and Appl., vol. 23, pp. 219–233, 2007.

[47] F. Su and K. Chakrabarty, “Unified high-level synthesis andmodule placement for defect-tolerant microfluidic biochips,” inProc. IEEE/ACM Design Autom. Conf., 2005, pp. 825–830.

Authorized licensed use limited to: DUKE UNIVERSITY. Downloaded on January 24, 2010 at 10:38 from IEEE Xplore. Restrictions apply.

Page 14: 4 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: …

CHAKRABARTY: DESIGN AUTOMATION AND TEST SOLUTIONS FOR DIGITAL MICROFLUIDIC BIOCHIPS 17

[48] F. Su and K. Chakrabarty, “Design of fault-tolerant and dynamically-reconfigurable microfluidic biochips,” in Proc. DATE Conf., 2005, pp.1202–1207.

[49] F. Su and K. Chakrabarty, “Reconfiguration techniques for digital mi-crofluidic biochips,” in Proc. IEEE Design, Test, Integr. and Packagingof MEMS/MOEMS Symposium, 2005, pp. 143–148.

[50] F. Su and K. Chakrabarty, “Defect tolerance for gracefully-degradablemicrofluidics-based biochips,” in Proc. IEEE VLSI Test Symp., 2005,pp. 321–326.

[51] F. Su, W. Hwang, and K. Chakrabarty, “Droplet routing in the synthesisof digital microfluidic biochips,” in Proc. Design, Autom. and Test inEur. (DATE) Conf., 2006, pp. 323–328.

[52] F. Su, S. Ozev, and K. Chakrabarty, “Test planning and test resourceoptimization for droplet-based microfluidic systems,” J. ElectronicTesting: Theory and Applications, vol. 22, pp. 199–210, 2006.

[53] F. Su and K. Chakrabarty, “Module placement for fault-tolerantmicrofluidics-based biochips,” ACM Trans. Design Autom. Electron.Syst., vol. 11, pp. 682–710, 2006.

[54] F. Su and K. Chakrabarty, “High-level synthesis of digital microfluidicbiochips,” ACM J. Emerging Technol. in Comput. Syst., vol. 3, 2008,Article 16.

[55] F. Su, “Synthesis, Testing, and Reconfiguration Techniques for DigitalMicrofluidic Biochips,” Ph.D. dissertation, Duke Univ., Durham, NC,USA, 2006.

[56] T. Xu and K. Chakrabarty, “Droplet-trace-based array partitioning anda pin assignment algorithm for the automated design of digital mi-crofluidic biochips,” in Proc. IEEE/ACM Int. Conf. on Hardware/Soft-ware Codesign and System Synthesis, 2006, pp. 112–117.

[57] T. Xu and K. Chakrabarty, “Functional testing of digital microfluidicbiochips,” in Proc. IEEE Int. Test Conf., 2007.

[58] T. Xu and K. Chakrabarty, “Parallel scan-like test and multiple-defectdiagnosis for digital microfluidic biochips,” IEEE Trans. Biomed. Cir-cuits Syst., vol. 1, no. 2, pp. 148–158, Jun.e 2007.

[59] T. Xu and K. Chakrabarty, “Integrated droplet routing in the synthesisof microfluidic biochips,” in Proc. IEEE/ACM Design AutomationConf., 2007, pp. 948–953.

[60] T. Xu and K. Chakrabarty, “A cross-referencing-based droplet ma-nipulation method for high-throughput and pin-constrained digital mi-crofluidic arrays,” in Proc. Design, Autom. and Test in Eur. (DATE)Conf., 2007, pp. 552–557.

[61] T. Xu and K. Chakrabarty, “Broadcast electrode-addressing forpin-constrained multi-functional digital microfluidic biochips,” inProc. IEEE/ACM Design Autom. Conf., 2008, pp. 173–178.

[62] T. Xu and K. Chakrabarty, “Design-for-testability for digital microflu-idic biochips,” in Proc. IEEE VLSI Test Symp., 2009, pp. 309–314.

[63] P.-H. Yuh et al., “Placement of defect-tolerant digital microfluidicbiochips using the T-tree formulation,” ACM J. Emerging Tech.Computing Syts., vol. 3, pp. 13.1–13.32, 2007.

[64] P.-H. Yuh et al., “BioRoute: A network flow based routing algorithmfor digital microfluidic biochips,” in Proc. ICCAD, 2007, pp. 752–757.

[65] P.-H. Yuh et al., “A progressive-ILP based routing algorithm for cross-referencing biochips,” in Proc. DAC, 2008, pp. 284–289.

[66] M. Cho and D. Z. Pan, “A high-performance droplet router for digitalmicrofluidic biochips,” in Proc. Int. Symp. on Physical Design (ISPD),2008.

[67] S.-K. Fan, C. Hashi, and C.-J. Kim, “Manipulation of multiple dropletson��� grid by cross-reference EWOD driving scheme and pressure-contact packaging,” in Proc. MEMS, 2003, pp. 694–697.

[68] Y. Zhao, T. Xu, and K. Chakrabarty, “Built-in self-test and fault diag-nosis for lab-on-chip using digital microfluidic logic gates,” in Proc.IEEE Int. Test Conf., 2008.

[69] Y. Zhao and K. Chakrabarty, “Cross-contamination avoidance fordroplet routing in digital microfluidic biochips,” in Proc. IEEE/ACMDesign, Autom. and Test in Eur. Conf., 2009, pp. 1290–1295.

[70] Global In Vitro Diagnosis Market Analysis PRLog Free Press Release[Online]. Available: http://www.prlog.org/10080477-global-in-vitro-diagnostic-market-analysis.html

[71] World Malaria Day 2009: Key Figures [Online]. Available: http://www.rollbackmalaria.org/worldmalariaday/keyfigures

[72] H. Yang, V. N. Luk, M. Abelgawad, I. Barbulovic-Nad, and A. R.Wheeler, “A world-to-chip interface for digital microfluidics,” Anal.Chem., vol. 81, pp. 1061–1067, 2009.

[73] L. Luan, R. D. Evans, N. M. Jokerst, and R. B. Fair, “Integrated opticalsensor in a digital microfluidic platform,” IEEE Sensors J., vol. 8, pp.628–635, 2008.

[74] J. H. Song, R. Evans, Y.-Y. Lin, B.-N. Hsu, and R. B. Fair, “Ascaling model for electrowetting-on-dielectric microfluidic actuators,”Microfluidics and Nanofluidics, vol. 7, pp. 75–89, 2009.

Krishnendu Chakrabarty (S’91–M’92–SM’00–F’08) received the B. Tech. degree from the IndianInstitute of Technology, Kharagpur, India, in 1990,and the M.S.E. and Ph.D. degrees from the Univer-sity of Michigan, Ann Arbor, in 1992 and 1995, re-spectively.

He is currently Professor of Electrical and Com-puter Engineering at Duke University, Durham, NC.He is also a member of the Chair Professor Group(honorary position) in Software Theory at the Schoolof Software, Tsinghua University, Beijing, China. His

current research projects include: testing and design-for-testability of integratedcircuits; digital microfluidics and biochips, circuits and systems based on DNAself-assembly, and wireless sensor networks. He has authored nine books onthese topics (including two in press), published over 320 papers in journals andrefereed conference proceedings, and given over 130 invited, keynote, and ple-nary talks.

Prof. Chakrabarty is a recipient of the National Science Foundation EarlyFaculty (CAREER) award, the Office of Naval Research Young Investigatoraward, the Humboldt Research Fellowship from the Alexander von HumboldtFoundation, Germany, and several best papers awards at IEEE conferences. Heis a Golden Core Member of the IEEE Computer Society, and a DistinguishedEngineer of ACM. He is a 2009 Invitational Fellow of the Japan Society forthe Promotion of Science (JSPS). He is recipient of the 2008 Duke UniversityGraduate School Dean’s Award for excellence in mentoring. He served asa Distinguished Visitor of the IEEE Computer Society during 2005–2007,and as a Distinguished Lecturer of the IEEE Circuits and Systems Societyduring 2006–2007. Currently he serves as an ACM Distinguished Speakerand a Distinguished Visitor of the IEEE Computer for 2010–2012. He isan Associate Editor of IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN

OF INTEGRATED CIRCUITS AND SYSTEMS, IEEE TRANSACTIONS ON VERY

LARGE SCALE INTEGRATED (VLSI) SYSTEMS, and the IEEE TRANSACTIONS

ON BIOMEDICAL CIRCUITS AND SYSTEMS. He also serves as an Editor of theJournal of Electronic Testing: Theory and Applications (JETTA). He is theEditor-in-Chief for IEEE Design & Test of Computers, and the Editor-in-Chieffor ACM Journal on Emerging Technologies in Computing Systems.

Authorized licensed use limited to: DUKE UNIVERSITY. Downloaded on January 24, 2010 at 10:38 from IEEE Xplore. Restrictions apply.