2015 vlsiresearch all stars and hall of fame all_stars-hall_of_fame... · 2016. 12. 12. · pat e....

71
Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs. VLSIresearch … intelligence to make better decisions faster 2016 All Stars of the Semiconductor Industry J.K. Park, Besi Tom Caulfield, GLOBALFOUNDRIES Mark Bohr, Intel Asher Levy, Orbotech Jack Sun and Cliff Hou, TSMC Heinz Kundert, VAT Vacuum 2016 Inductees to the Hall of Fame Andy Bryant, Intel Gary Patton, GLOBALFOUNDRIES Also expanded to cover all of Semiconductors CHIP MAKING INDUSTRY HALL OF FAME The Chip Insider ® 2016 All Stars and Hall of Fame 12 December 2016 1

Upload: others

Post on 04-Feb-2021

0 views

Category:

Documents


0 download

TRANSCRIPT

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    • 2016 All Stars of the Semiconductor Industry– J.K. Park, Besi

    – Tom Caulfield, GLOBALFOUNDRIES

    – Mark Bohr, Intel

    – Asher Levy, Orbotech

    – Jack Sun and Cliff Hou, TSMC

    – Heinz Kundert, VAT Vacuum

    • 2016 Inductees to the Hall of Fame

    – Andy Bryant, Intel

    – Gary Patton, GLOBALFOUNDRIES

    – Also expanded to cover all of Semiconductors

    • CHIP MAKING INDUSTRY HALL OF FAME

    The Chip Insider®

    2016 All Stars and Hall of Fame

    12 December 2016 1

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    ALL STARS

    OF THE CHIP MAKING INDUSTRY

    • These are the executives that made the most of this year

    • For some, it has taken several years of methodical

    execution to an overarching strategy to bring this year

    together

    • For others it was about being fleet of feet

    • They will tell you they are parts of bigger teams who

    really made it possible

    – It’s true, but these people took the point, making them the

    most visible for either adulation or the well-placed sniper’s

    bullet

    • They have led the industry and their companies forward,

    for which we can all be thankful

    12 December 2016 2

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    2016 All Stars

    J.K. Park: Has been critical to Besi’s success by running the company’s Asia Pacific Sales;

    instrumental in establishing great customer

    relationships that have resulted in record sales

    for Besi

    • J.K. has a unique understanding of technology

    direction, technical specs, and implementation

    timing

    • Significant contributions in building the ONE Besi

    organization

    – Integrating all Besi cultures to work together as

    tightly unified global equipment supplier

    • Never satisfied, drives himself and his organization

    in continuous improvement

    12 December 2016 3

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Tom Caulfield: Successfully brought GLOBALFOUNDRIES’ Malta Fab up to its core

    capability to reliably deliver leading-edge chip

    manufacturing

    • Walked-the-talk delivering what’s been promised and

    managing customer expectations

    • Elevated a first-time-right attitude as key performance

    metric for all designs, resulting in

    – Excellent track record of yielding first-time-right silicon

    – Key design wins resulting in increased14nm production

    • Tom’s manufacturing leadership enabled

    GLOBALFOUNDRIES to tape out over 20 14nm designs

    into production by end of 2016

    2016 All Stars

    12 December 2016 4

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Mark Bohr: Continued to drive Moore’s law, leading Intel’s 10nm development efforts into

    successful high-volume production ramp

    • Mark has been intimately involved in each

    manufacturing node since 80s

    • Continues to push limits of scaling by driving

    realization of innovation at Intel

    – Under his leadership, Intel has brought

    groundbreaking technologies to production with an

    unmatched consistency of cadence

    • Mark’s work has enabled Intel to maintain

    semiconductor technology leadership in all key

    metrics including

    – Device performance

    – Active power reduction

    – Performance-per-Watt

    2016 All Stars

    12 December 2016 5

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Asher Levy: Successful business leadership at Orbotech, resulting in a world-class equipment

    and technology supplier

    • Acquisition and successful integration of SPTS

    into Orbotech added strong business into portfolio

    – SPTS is today a leader in advanced packaging

    equipment market with substantial wins over last

    two years

    • Orbotech’s business has been booming recently

    due to well-positioned products

    ‒ Display and PCB business are thriving due to

    expanding markets

    ‒ Semiconductor equipment is expanding packaging

    applications

    • Resulting record year in 2016

    2016 All Stars

    12 December 2016 6

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Jack Sun and Cliff Hou: Accelerated TSMC’s R&D and challenged Intel in industry technology

    leadership, ultimately benefitting customers and

    consumers with wider access to cutting-edge IC

    performance and technology

    • Dr. Sun has been vital in implementing Chairman

    Chang’s vision of accelerating node TSMC’s

    transitions since 65nm introduction

    ̶ Successful 10nm ramp and race to 7nm

    • Dr. Hou has delivered the technology implementation

    along with design enablement

    – TSMC’s Open Innovation Platform has enabled

    customers’ designs to be ported seamlessly into

    TSMC’s manufacturing

    2016 All Stars

    12 December 2016 7

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    2016 All Stars

    Heinz Kundert: Successfully executed VAT Vacuum’s transformation from a private family-

    managed business into a global public critical

    sub-system supplier

    • Built up a new and experienced team to execute

    this transformation in very short time

    • Vision to position VAT to exploit current surge in equipment demand

    – VAT is achieving record sales and profitability in

    2016

    – Share price is up 75% since April 2016 IPO

    – Has ability to operate all major regions and forge

    relationships across equipment supply chain

    • Heinz is positioning VAT for future growth with

    strategic investments in semiconductor equipment

    and other industries

    12 December 2016 8

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    • These are the executives who have made systematic

    contributions to our industry

    • They were key to the advancement of

    – Customers

    – Technology

    – Moore’s Law

    – Business Fundamentals

    • Without them, our industry would be much smaller

    – And would have had a much smaller impact on everyone’s lives

    around the globe

    12 December 2016 9

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    2016 Inductee to the Hall of Fame

    Andy Bryant (2016): Operational, business and financial leadership for decades; in his multiple

    roles he has been a part of all of Intel’s strategic

    moves

    • Andy is true scholar of Moore's Law

    – Knows how to apply Moore’s law as a semiconductor

    industry business model and not just a marketing ploy

    • How it can be turned into a multi-billion dollar business

    that has sustained for decades

    – His experience through decades of investing in

    technology and research have provided unique insight

    into technology business management

    • Andy’s lifetime leadership has kept Intel in the

    forefront of business and financial management

    – Intel is one of the most profitable manufacturing-based

    companies

    12 December 2016 10

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    2016 Inductee to the Hall of Fame

    Gary Patton (2016): Decades of technology vision and leadership for IBM and now

    GLOBALFOUNDRIES

    • Gary has provided technology leadership in

    developing and commercializing critical technologies

    – Cu damascene process, strained silicon, FinFET

    – Moving scaling from lithography and into materials and

    design based innovation

    • Instrumental in tailoring IBM’s semiconductor

    pipeline into GF’s foundry environment

    – Enabling the transfer of IBM’s research under

    GLOBALFOUNDRIES’ umbrella

    – Establishing solid roadmap for 7nm and beyond

    – Driving GLOBALFOUNDRIES’ differentiation using

    22nm FDX™ FD-SOI platform

    12 December 2016 11

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    VLSIresearch’s newSEMICONDUCTOR INDUSTRY HALL OF FAME

    No longer just about Chip Making, we are now

    including people who changed the business:

    Gordon A. Campbell: Father of the Fabless model

    Morris Chang: Father of the Foundry model

    Andrew Grove: A career of remarkable bandwidth

    Pat E. Haggerty: Broke semiconductors out

    Gordon Moore: Created the business model

    Robert Noyce: The true father of Silicon Valley

    Charlie Sporck: Perfected manufacturing discipline

    12 December 2016 12

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Gordon A. Campbell is the father of the fabless semiconductor industry

    • Co-founded Chips and Technologies in 1985

    – First successful fabless company

    • Created the fabless business model

    – It would be cloned to create an entire industry

    – Made the foundry model possible

    • Proved the fabless model worked in large markets

    – Ultimately became larger than what a single fab could

    fill

    – It blew the PC up into an open horizontal standard

    • to drive volume via a SoC chip set model

    – Resisted turning to an IDM model

    VLSIresearch’sSEMICONDUCTOR INDUSTRY HALL OF FAME

    12 December 2016 13

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Morris Chang is the father of the semiconductor foundry industry

    • TSMC was the first dedicated chip foundry

    • Prior to his founding of TSMC, there was only a

    foundry service model

    – Service providers were suppliers and competitors

    – Foundry services were only a side business to fill

    capacity

    • TSMC was architected to be trusted

    – Would never compete with its customers

    • A true visionary, he saw how EDA’s cleaving of

    design and process made it possible to split the

    industry into fabless and foundry

    – Ending IDM foundry service conflicts of interest

    VLSIresearch’sSEMICONDUCTOR INDUSTRY HALL OF FAME

    12 December 2016 14

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Andrew Grove had a career of remarkable bandwidth and contributions

    • His engineering texts on process technology were the

    mainstay of process engineers throughout the early

    days of the industry

    • Later his management texts became just as important

    • He ended second sourcing via his virtual second

    source strategy

    • He was the first to directly market chips to consumers

    • His extendibility model for microprocessors meant the

    PC market would gain scale, making computers

    affordable for the masses

    VLSIresearch’sSEMICONDUCTOR INDUSTRY HALL OF FAME

    The Chip History Center

    12 December 2016 15

    http://www.chiphistory.org/legends.html

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Pat E. Haggerty broke semiconductors out of old-guard electronics companies

    • Military needed reliable transistors

    – Old-guard electronics companies were not delivering

    – They simply moved too slow

    • “One day this Texan walks into” Howard Moss’s office

    at the U.S. Army Signal Corps Lab, Ft. Monmouth,

    N.J.

    – Pulls a beaker, a jug of water, a Bunsen burner, and a

    transistor radio out

    – Brings the water to a boil, turns on the radio, and drops it into

    the water

    – As the radio played in boiling water, Haggerty said. “Mr. Moss,

    I want to talk to you about reliability.”

    – Howard Moss wrote him a check that day for a contract

    – With it, the modern semiconductor industry was born

    • An industry that would epitome of fast product

    development cycle times

    VLSIresearch’sSEMICONDUCTOR INDUSTRY HALL OF FAME

    Source: conversations with Howard Moss

    12 December 2016 16

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Gordon Moore created the business model for the entire industry with his 1965 paper describing

    what would later become known as Moore’s law

    • Showed how innovation was a fourth factor of production

    – First relevant work in what become known as innovation

    economics

    • His strategy for Intel created the first vertical product

    semiconductor company

    – By focusing Intel as a start-up in memories, he showed that

    a chip company could be successful with a single product

    focus

    – His strategy also created the first IC/MOS specialist

    • Drove further focus with a non-vertically integrated

    model

    – Intel was the first with an explicit strategy of not making its

    own masks, materials, and equipment

    VLSIresearch’sSEMICONDUCTOR INDUSTRY HALL OF FAME

    12 December 2016 17

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Robert Noyce is the true father of the IC and Silicon Valley, the original model for start-ups and

    serial entrepreneurs, as well as the first statesman

    for the semiconductor industry

    • All ICs would be integrated with the planar process

    – The key differentiating factor in his patent

    • Led the ‘traitorous 8’ from Shockley to start Fairchild

    • Then led the spin off from Fairchild that would put the

    ‘Silicon’ in Silicon Valley to start Intel

    – This was the start of the Venture Capital model for

    funding start-ups

    • His vision was that the pie was bigger than any one

    company

    • As first CEO of SEMATECH, his efforts were key to

    saving America’s semiconductor industry

    VLSIresearch’sSEMICONDUCTOR INDUSTRY HALL OF FAME

    The Chip History Center

    Intel's Business Plan

    Noyce's IC

    12 December 2016 18

    http://www.chiphistory.org/legends.htmlhttp://www.chiphistory.org/exhibits/ex_images_of_history/ex_IoHb_1968_intelbp/ex_IoHb_1968_intelbp.htmhttp://www.chiphistory.org/equipment_landmarks/1960/lm_ic_invent_1958/lm_ic_invent_1958.htm

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Charlie Sporck perfected manufacturing management and drove the first off-shoring, putting

    assembly plants in Asia

    • He was the first real manufacturing person at Fairchild

    – Led the industry from the lab to the fab

    – Was pushed out by management consultants

    – Fairchild never regained its edge

    • Saved National Semiconductor in a key turn-around

    – Developed manufacturing engineering long before it was a

    profession -- used to drive down prices, via lower costs

    – Became one of the Big 3: AMD, Intel, & National

    • One of the first to raise the flag against the threat of

    Japan

    – Key founder of the SIA, SRC, and SEMATECH

    • Kept unions out of semiconductors

    – “I make less than the Union bosses do.”

    – Treat your people well and they won’t need Unions

    VLSIresearch’sSEMICONDUCTOR INDUSTRY HALL OF FAME

    The Chip History Center

    12 December 2016 19

    http://www.chiphistory.org/legends.html

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    Alex d'Arbeloff (1993)

    James Bagley (1994)

    Richard Blickman (2011)

    Robert Boehlke (2005)

    Mark Bohr (2006)

    Mike Bradley (2013)

    Martin van den Brink (2006)

    Mike Cadigan (2008)

    Sunlin Chou (2006)

    Gary Dickerson (2006)

    Roger Emerick (1993)

    Paolo Gargini (2009)

    Aart de Geus (2012)

    Robert Graham (1993)

    Gary Heerssen (2012)

    Terry Higashi (2005)

    Bill Holt (2015)

    Robert Holzel (1993)

    Bob Huston (2006)

    Winfried Kaiser (2008)

    Tokuo Kubo (1993)

    C. Scott Kulicke (1994)

    Patrick Lam (2006)

    Jean LeMoin (1994)

    Ken Levy (1995)

    Walt Mathews (1995)

    Toshio Maruyama (2009)

    Haruo Matsuno (2014)

    Dan Maydan (1996)

    Eric Meurice (2008)

    James Morgan (1993)

    Steve Newberry (2011)

    Karl Nicklaus (1994)

    Mike Polcari (2009)

    Arthur del Prado (1993)

    Egon Putzi (2006)

    Barry Rapozo (2006)

    Ken Schroeder (1996)

    Mike Splinter (2007)

    Larry Sumney (2009)

    Jon Tompkins (1996)

    Randhir Thakur (2012)

    Ray Thompson (2006)

    Papken Der Torossian (1994)

    Tetsuo (Tom) Tsuneishi (2015)

    Kouichi Uehara (2007)

    Kazuo Ushida (2007)

    Shoichiro Yoshida (1993)

    Arthur Zafiropoulo (1994)

    12 December 2016 20

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    Alex d'Arbeloff (1993) can probably lay claim to being the leader of a semiconductor equipment

    company longer than anyone in history

    • Earned this distinction with honor--Teradyne has

    been consistently well-managed and profitable under

    his tenure

    • Kept Teradyne a consistent leader in ATE,

    throughout more than twenty years of business and

    product cycles

    • Brought a strong sense of ethics to the equipment

    industry that all companies would do well to emulate

    Alex d’Arbeloff died on

    July 8, 1998

    12 December 2016 21

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    James Bagley (1994) built an infrastructure that created industry's first billion-dollar company

    • Saw infrastructure as key to the planned

    architectural growth of an organization – Competent individuals are hired in advance of need, ensuring

    that a company never outgrows its ability (a typical problem

    for early equipment companies)

    • An early visionary to recognize that the days of

    captive equipment organizations were numbered

    – Always had crystal clear vision and decisively moved

    organizations to take advantage of it

    • Successfully jumped from the world’s captive

    equipment company (TI)

    – To build the world’s largest merchant equipment company

    (Applied Materials)

    – Then jumped again to save Lam Research

    – Few can say they engineered global success three times

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 22

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Richard Blickman (2011) has built BE Semiconductor into a world-class assembly

    equipment company through a string of crafty

    acquisitions, persistent operational standardization

    & excellence, as well as alignment of products to

    end-application markets

    • Also moved company out of Europe to Asia,

    completely reengineering the company’s cost

    structure

    • Successful acquisitions are rare; successful

    corporate moves are even rarer

    – Yet, these tactics that so many others have failed at, resulted

    in the company doubling its size over the last ten years, as it

    also became a leader in profitability

    • This is a feat that only a few tier-one equipment

    suppliers have achieved

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 23

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    Robert Boehlke (2005) transformed KLA from technology leader that analysts described as

    “flakey” to a profitable “well oiled machine”

    • Before he took charge as CFO, KLA was always

    missing quarters and never earned consistently

    • Few CFOs ever have a transformative effect, which

    makes Bob so special

    • What makes him stand above the crowd is his unique

    understanding of human nature; his complete

    mastery of strategy and tactics; and his ability to

    always see the plainly obvious things everyone else

    overlooks

    12 December 2016 24

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Mark Bohr (2006) has driven the industry, by scaling down circuits at the hard pace dictated by

    Moore’s Law

    • Most of the industry’s leaders had given up, calling

    for a slowing of the pace

    • But by caning Intel into a leadership position in the

    nineties, he forced the rest of the industry to follow

    • He did so because his efforts did not end up as pretty

    papers in technical conferences

    – they wound up as real . . .

    – manufacturable . . .

    – product that forced others to respond

    competitively

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 25

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Mike Bradley (2013) Establishing the leading operational model for semiconductor equipment

    suppliers through one of the most challenging

    equipment segments

    • Mike’s career spans all aspects of semiconductor

    equipment company management at Teradyne

    • His vision for the operational model enabled

    Teradyne to become one of only two surviving

    mainstream ATE suppliers

    • Razor-sharp product focus to deliver best product

    solutions from core competencies

    • This means stripping off all activities that do not fit core

    competencies

    • Brilliant and one of the most successful acquisition

    track records to build the leading test equipment

    supplier

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 26

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    Martin van den Brink (2006) is a master of hi-tech marketing and development, competing on

    raw intellect and out-thinking the competition at

    every step

    • A modern day MacArthur, he brilliantly finds ways to leap

    over the competition’s strongholds, turning strengths into

    weaknesses--he made fighting the Japanese look easy

    • His real break came in the late eighties, when customers

    clamored to skip i-line and jump to DUV

    • Martin and the team at ASML didn’t take the bait and

    developed i-line instead

    – They risked all betting that customers were wrong

    – The bet paid off and they took the lead while everyone else

    back-tracked

    • He insisted customers put skin in the game with 157nm

    and pay for development tools

    • Doing the same with immersion took them from behind to

    the lead as well

    12 December 2016 27

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    Mike Cadigan (2008) not only turned IBM around, he also built what is arguably the most

    influential company in the industry

    • No other company touches so many corners of the

    industry, managing to ensure a competitive

    landscape, while lowering development costs at the

    same time

    • He brought IBM a leadership role that is greater than

    what it held in the last century, because for the first

    time IBM is a true partner to the development of the

    industry

    • No one shakes their head about IBM’s future

    anymore and that’s largely due to Mike

    12 December 2016 28

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Sunlin Chou (2006) took research and turned it into a manufacturing center that systematically

    turns ideas into product

    • He created the R&D pipeline concept and

    • Built it into an innovation machine that …

    • Marched Intel ahead at a pace that would take

    them from being behind, process-wise, to being a

    full generation ahead

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 29

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    Gary Dickerson (2006) is the man who built KLA-Tencor’s mind-share in the nineties

    • He then left to go to Varian Semiconductor, which

    was locked in small company purgatory – They had great product and technology, but couldn’t go

    anywhere because customers were too afraid to take the risk

    – Worse, many customers had been burned by Varian in the

    eighties

    • Gary’s taking them into a strong leadership position

    is proof of prowess . . . proof that he wasn’t just lucky

    at K-T

    • Gary was the soft and gentle side of K-T

    – Look into his eyes and you’ll see a man who’s easy to trust

    – But he never took advantage of it

    • He always makes sure his organization can do what

    he promises or he doesn’t promise it

    • He is a Zen master of the customer relationship

    12 December 2016 30

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    Roger Emerick (1993) is unique in his ability to build a company that is a joy for its customers and a joy

    for its employees

    • The value of this strategy is that it has made LRC a

    consistent leader

    – Its employees are quick to respond to customer

    problems without arrogance, and its customers are

    more forgiving when it stumbles

    • On occasions when Lam does stumble, as all

    companies do, it never falls far

    12 December 2016 31

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Paolo Gargini (2009) is one of the best consensus builders in the chip making R&D

    ecosystem

    • Mr. Gargini has been part of each controversial R&D

    program, critically ensuring that all elements of the

    program were covered

    • With little credit, he travels relentlessly each year,

    cajoling company and industry researchers alike to

    somehow find a way to build the strong alliances

    needed to keep Moore’s law alive

    • He is amazingly able to navigate the thin grey line

    between the interests of Intel and the industry in a

    way that builds trust

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 32

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Aart de Geus (2012): Founding and building the modern EDA company, re-shaping EDA industry

    into a customer-focused and trusted design partner

    • Co-founded and runs Synopsys, which has become

    the world’s largest supplier

    • His vision has been instrumental in EDA evolving

    from crude cowboy software code

    • Into today’s hierarchical software that keeps track of

    the billions of things that have to happen to turn an

    idea into a design and ultimately into a computer

    chip

    • Aart has done this with razor-sharp internal and

    external product focus

    • He has not been shy on acquisitions by building

    Synopsys into today’s EDA leader with largest

    product portfolio in the industry

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 33

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    Robert Graham's (1993) marketing prowess was legendary

    • He consistently brought more successful systems to

    market, while suffering fewer introduction failures than

    anyone in the history of the equipment market

    • His secrets are simple: Know your customer's business

    better than they do, sell only what the customer needs

    and benefits from, do not cater to their wants, and know

    when not to listen to them

    • His advantage was that he did these better than anyone

    • As a result, he became one of those rare individuals who

    gets deep respect from even his fiercest competitors

    • He was also the first to recognize the potential of Japan

    as a future market – This enabled Applied Materials to become one of the most

    successful American companies in Japan as measured by share of

    sales

    Bob Graham died on

    September 3, 1998

    12 December 2016 34

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Gary Heerssen (2012): Manufacturing hero with a focus on people and capital

    • Gary was driven by the principle of knowing how to spend

    money to make money – often leaving cost in the back seat,

    but never profits

    – As diffusion section manager at Texas Instruments MOS 11 in

    Houston, he insisted on engineering content for all shifts

    – Resulted in soaring yields at MOS 11, more than paying for the

    added expense

    • In 1991, Gary implemented a long-term vision at AMD called

    “Journey to Excellence.” The four cornerstones of Journey to

    Excellence are:

    1) Systems for better control 2) People educated and empowered 3)

    Detect problems before they are a problem 4) Organizational

    structure that is modular rather than hierarchical

    • This was at core of how AMD competed globally against far

    larger competitors with far greater resources

    • Gary Heerssen’s legacy leaves many lessons to be learned at

    a time when America is again experiencing major challenges

    to its leadership

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    Gary Heerssendied on

    December 20, 2004

    12 December 2016 35

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    Terry Higashi (2005) navigated TEL through the toughest times the Equipment Industry has

    ever seen, all the while building them into a

    technology leader

    • TEL was once known as the best representative in

    Japan and the best builder of American designed

    equipment

    • Mr. Higashi steered TEL through rough waters to be

    a global leader

    – Globalizing TEL’s customer approach

    – Truly partnering with customers around the world

    – With a focus on fully integrated co-development teams

    • He is a man of great integrity and one of the few that

    customers truly respect

    12 December 2016 36

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Bill Holt (2015) The leadership to keep Intel in the forefront of Semiconductor Technology

    • Bill has been the driving force behind a number of

    breakthrough technologies to introduce new device

    generations for Intel. Among them:

    ‒ 90nm Strained Silicon in 2003

    ‒ 45nm high-k metal gate in 2007

    ‒ 22nm tri-gate transistor in 2011

    • His leadership has kept Intel consistently a full

    three years ahead in technology introductions

    compared peer logic device suppliers

    ‒ These technologies have enabled Intel to become and

    maintain the leading technology supplier status in high

    performance computing and PCs

    • Bill was selected as a Robert N. Noyce Award

    recipient for his accomplishments in the industry

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 37

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Robert Holzel (1993) brought the concepts of systems integrator manufacturing strategies to the

    industry, and showed that vertically integrated

    manufacturing was not needed

    • He was the first to use subcontracting of subsystems

    as a way to significantly reduce overhead, an

    approach that single-handedly put Extrion back in

    the black after 1985's recession

    • Today, his subcontracting strategies are widely

    copied and are in use at virtually all profitable

    equipment companies

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 38

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    Bob Huston (2006) was both a modern Nick DeWolf and a west coast version; he was legendary inside the test

    industry

    • Bob had an ability to get inside the machine, to think like the machine,

    to be one with the soul of the machine

    – He invented Shmoo plotting, a huge testing advance for memories

    – But his legend really comes from his ability to solve customer application

    problems: ones that without a solution, the product would have never

    made it to market

    • He would guide the tester through the chip, searching, and finding

    faults no one else could

    – The biggest block-buster chip of the last three decades has to be Intel’s

    386 microprocessor. Intel could not figure out how to reliably test it and

    Bob did

    • Intel was grateful enough to shower Trillium with all its 386 tester

    business

    • Without Bob, our industry would be much smaller

    • Bob Huston is proof of the immense power of the individual

    contribution

    Bob Huston died in 2006

    12 December 2016 39

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    Winfried Kaiser (2008) is the perfect example of a technical marketing master • B-school marketing doesn’t work in hi-tech because you must

    integrate development with it

    – A master understands how to take the technology and core strengths of

    his company and forge them into solutions for customers

    – The master must be able to build a bridge between technology and core

    strengths of his company, as well as those of his customers

    • Winfried’s defining moment came when chip makers were trying to

    push ahead to 248nm DUV tools

    – He made a very gutsy call: ignore them and focus on an i-line solution

    – It wasn’t really that gutsy, for Winfred knew that the technology and

    infrastructure for DUV was not going to come together on time--all he

    had to do was wait for the industry to step back to i-line

    • He gained the upper hand for Zeiss and ASML because competitors

    were just listening to customers and doing what they were told

    • The true grit and brilliance came from holding to what he knew and

    believed and ignoring the customer on things they were not qualified

    to talk about

    • That’s an extremely thin tightrope to walk and he does it all the time,

    saving untold amounts in development costs

    12 December 2016 40

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    Tokuo Kubo (1993) was the first to recognize the value of alliances and to capitalize on this

    • This enabled him to successfully build the world’s

    largest equipment company without a strong

    technology base

    • Mr. Kubo made TEL one of the best marketing

    companies in the industry

    12 December 2016 41

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    C. Scott Kulicke (1994) was the first to prove that a Japanese competitor could indeed be

    beaten with superior products

    • He is a master of using product innovation as a

    means to gain a competitive advantage– Using a technology strategy, he was the first executive from

    any industry to systematically beat a Japan giant

    • He did this in the early eighties, when Japan was at its strongest

    – A cynic at heart, he was always prepared

    • Then he was the first to successfully move an entire

    equipment company to Asia

    – Many others had been broken just moving companies inside

    their own countries

    – In doing so, Scott ensured the long term success of K&S

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 42

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Patrick Lam (2006) was the first to build an equipment company in Asia, outside of Japan

    • He showed how this region could lead, with ASM

    Pacific taking the top spot in the assembly

    equipment market

    • His strategy was unique to chip making equipment:

    – Be as vertically integrated as possible

    – Rely on local low-cost Asian manufacturing to gain a

    cost advantage

    – Avoid the leading edge and sell good-enough at very

    good prices

    • ASM Pacific went on to develop an impressive array

    of technical capability

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 43

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    Jean LeMoin (1994) pioneered the concept that a PR agency is a mechanism for managing a

    company's image across a broad front

    • She focused on creating an image that is cohesive

    with the media, customers, and the financial

    community

    • Consequently, the presentation of the company is

    deep and rings true

    Jean LeMoin died on

    May 3, 2010

    12 December 2016 44

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Ken Levy (1995) applied his vision of using pattern recognition as a means to dramatically

    improve yield, ensuring the continued success of

    Moore’s Law

    • He built a strong company around this vision, with

    the principles of having the best products available

    throughout the world

    • He proved to be a tough, hands-on manager that

    could keep his company consistently on track

    • He was bold enough to kill marginal products just

    before introduction

    – And focus his teams on hitting the home run

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 45

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Walt Mathews (1995), more than any other individual, was responsible for giving the

    semiconductor equipment industry its own

    presence separate from the semiconductor

    industry

    • People now know it is semiconductor equipment that

    makes the chips inside their computers, and that

    • Semiconductor equipment is at the beginning of the

    technology food chain

    • He left a successful career as a journalist to found

    the first PR agency dedicated to promoting the chip

    equipment industry

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 46

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    Toshio Maruyama (2009) transformed Advantest from the local, domestically focused ATE

    and instrumentation supplier, Takeda Riken, into a

    global ATE equipment supplier

    • Maruyama worked through his career at Advantest

    and left his marks at all levels

    – He was the inventor of IDDQ testing

    • During his time as CEO, Advantest was the most

    profitable ATE supplier

    • His soft-spoken manners won trust from the

    customer, which consistently enabled the company to

    deliver outstanding products and solutions

    12 December 2016 47

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Dan Maydan (1996) is the only technologist to have implemented multiple visions into

    successful semiconductor equipment types

    • He often sees beyond any marketing survey or

    customer to find products they absolutely need,

    sometimes selling the unsellable while doing it

    • He has created more wealth and jobs than

    anyone in the history of this industry, while

    pursuing his vision

    • Quiet, unassuming and relentless, there is only

    one word that describes this man: genius

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 48

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Haruo Matsuno (2014) for successfully integrating Verigy into Advantest and creating the

    leading ATE company with three cultures that could

    not have been further apart

    • Created value without a slash-and-burn strategy

    • Systemically took the best talent from both companies

    and matched their expertise to responsibilities

    – Today we see Germans leading Japan-based teams,

    Japanese leading US-based teams among many mixes

    that have been created

    • Matsuno-san drove huge cultural change through

    Advantest’s and Verigy’s organizations

    • Cultural combination along with normal company

    integration challenges have not been easy but today’s

    Advantest can serve a far wider range of customers

    than in the past and the industry is better for that

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 49

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    Eric Meurice (2008) We are always amazed at how a great CEO can make all the difference in the

    world

    • When he joined ASML, it had been infected with the big-us

    virus that all market leaders eventually contract

    – The symptoms are distant cold arrogance and extreme risk

    aversion accompanied with NIH syndrome (Not Invented Here)

    – Eric’s managed to pretty much eradicate this throughout the

    company, saving an extremely important industry resource that is

    also extremely important to the future of the world

    • Without advances in lithography, Moore’s Law stops and so

    does the incredible anti-inflationary power that it brings

    • Eric could do this anywhere, because he’s really good at

    listening and learning before acting

    – When he acts, he sells you before he tells you

    – You want to come along, he’s not pushing

    – Doesn’t bring out-of-date decision making baggage from the

    previous companies and industries he’s worked at

    12 December 2016 50

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    James Morgan (1993) is in a class by himself, likely ranking as the best business executive of the

    eighties, comparing his accomplishments to executives

    in other industries• He came to Applied Materials as a turnaround artist, taking them from

    near failure to being one of the most successful companies in the history

    of the equipment industry

    • He developed a method of financing that enabled equipment companies

    to grow profitably while introducing new products

    – Invented the “Cash is King” model in era where debt reigned

    • Mr. Morgan has also developed innovative ways to attract the best

    people in the industry, gotten them to work together (in spite of clashing

    egos), and provided the incentives that led to the introduction of

    innovative products

    • He literally wrote the book on how to compete with Japan

    – Applied Materials was largely successful because of its thrust into

    Japan in the eighties

    – It learned to compete in this market better than many Japanese

    companies, and pushed many of them aside to gain its dominance

    12 December 2016 51

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    Steve Newberry (2011) for leadership in operations, marketing, and technology enabled Lam

    Research to become the undisputed leader in etch

    • Started at Lam with a razor- sharp focus on operational

    excellence, something sorely missing at the time

    • Applied operational skills to redefine account

    management, developing original tools and capabilities

    at Lam that we’ve not seen elsewhere

    – Evaluate each account as a portfolio of opportunities that can be

    invested in at the right time with the right product

    – Ran sales and marketing like the flight deck of an aircraft carrier

    – Took a memory market strategy focus, as flash memory

    emerged

    – Came with perfect timing, as the foundries began to fall off the

    horizon as major semiconductor equipment sales targets

    • Made Lam Research one of the largest and most

    profitable semiconductor equipment companies

    12 December 2016 52

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    Karl Nicklaus (1994) created one of the most longest-lived equipment companies in history

    • He founded ESEC in 1968 and brought it through

    many turns of the market

    • He was the first to implement software as a way to

    reduce mechanical components, thereby increasing

    equipment reliability and lowering cost of ownership

    12 December 2016 53

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Mike Polcari (2009) transformed SEMATECH from a consortium known for its focus on American

    interests to the global interest of ‘realizing the

    roadmap.’

    • Under his leadership, SEMATECH became credible

    as a place where any global semiconductor

    company could work to keep Moore’s Law on track

    • The result has saved our industry billions in what

    would have been wasted and duplicative research

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 54

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Arthur del Prado (1993) can lay claim to being the first to recognize the benefits of foreign

    investments and decentralized management

    • This innovation made him the first European

    business leader to build a significant-sized

    equipment company from a European base

    • He built development bases in America, Japan,

    Hong Kong, and Singapore

    – His vision made ASM Pacific possible

    • Played a critical role in the founding of ASML

    • An audacious visionary

    – Art had that rare ability to see a future that spanned

    technology, markets, people, and business models

    • The father of Europe’s semiconductor equipment

    industry

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    Arthur del Prado died on

    September 9, 2016

    12 December 2016 55

    https://www.vlsiresearch.com/public/cms_pdf_upload/VR_Art_del_Prado_Tribute_161115.htm

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Egon Putzi (2006) has beaten all the odds to make SEZ a consistently profitable wet processing

    company.

    • First of all, SEZ is in the middle of technology

    nowhere: Austria

    • Second, he has uniquely differentiated something

    that many thought were un-differentiable: wet

    processing…

    • And that was in a time when most equipment

    companies were giving up on differentiation

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 56

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Barry Rapozo (2006) won his Bob Graham Award for excellence in sales and marketing for bringing

    professional sales methods to our industry

    • He is the master of the relationship sale

    • His method is to establish a life-long relationship with the

    customer, which he carefully glues together with trust– His is not a love-em and leave-em style

    – Do what you say; say what you do; and when you can’t, go to the

    customer hat in hand with honesty and integrity to figure out how

    you can fix it together

    • But the thing that is most endearing to me about Barry is

    his ability to pass it on

    – I’ve learned so much from this man

    – Look at some of his other students for proof: Harvey Frye and Gary

    Dickerson

    – Plus, he taught us all to pass it on

    • Few people will have had such lasting effect on this

    industry as Barry

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 57

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Ken Schroeder (1996) focused KLA’s product line on yield management, not only ensuring KLA’s

    fortunes, but also making them instrumental in

    keeping the semiconductor industry on the learning

    curve

    • Mr. Schroder can also be credited with turning KLA

    into a profitable company by deftly cutting the

    deadwood that plagued it in the early nineties.

    • Ken’s brought discipline at a time when the entire

    American equipment industry needed it most.

    – Ken brought process to KLA’s manufacturing

    – Which resulted in quality, reliability, and repeatability

    – He drove performance and execution throughout the

    organization

    – Ken Levy said, “he could make it happen.”

    – Is the source of the maxim: Discipline in development

    leads to long-term sustainable competitive advantage.

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    Ken Schroeder died on

    October 26, 2016

    12 December 2016 58

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Mike Splinter (2007) had some very big shoes to step into when he came to Applied Materials--it was the

    largest equipment company in the world, having grown

    from nothing as a scrappy start-up into an unwieldy

    giant

    • Mike is no stranger to challenge, having run Intel’s

    manufacturing under Craig Barrett as they took the challenge of

    turning manufacturing around and besting Japan in the late

    eighties

    • He got Applied turned around in 2006. A block-and-tackle style

    executive, Mike first focused on eliminating the fiefdoms, tearing

    down some castle walls, and streamlining operations at Applied

    • He redirected sales and marketing away from Taiwan and the

    foundries and made it more evenly balanced, resulting in an

    impressive turn in market share.

    • In 2007 he set in place the most significant new strategy since

    Applied broke out of deposition and entered the etch business in

    1981: he broke solar out into its own separate business structure

    and put chip equipment under one person

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 59

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Larry Sumney (2009) has led the SRC since its earliest days, transforming the way that our

    industry relates to the University system, and

    establishing Universities as true centers of

    excellence for semiconductor research

    • The SRC continues to contribute essential guidance

    and coordination to these research efforts, while

    helping numerous students navigate their way to

    careers in our industry

    • When he started, no university taught

    semiconductors as a discipline and only few even

    offered a course in it

    • Today it is a mainstay of the most prestigious

    engineering universities in the world

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 60

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Jon Tompkins (1996) deftly managed the acquisition of Prometrix, in the middle of a boom,

    without losing key people

    • Acquisitions are rarely beneficial and usually risky

    • Mr. Tompkins beat the odds, displaying true

    management skill, and turning Tencor into a power

    player in the process

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 61

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Randhir Thakur (2012) re-defined product development at Applied Materials

    • Since his appointment to lead Applied’s Silicon Systems

    Group, new product introductions have accelerated to

    levels that rival the late 80’s and 90’s

    – When the company built its dominance as a wafer fab

    equipment supplier

    • He did it by going back to basics, throwing out the

    spreadsheets in favor of a sharp focus on unrealized

    customer need

    • Instead of the classic marketing approach of looking for

    big markets to penetrate, Mr. Thakur redirected Applied to

    chasing the big semiconductor problems to solve

    • As a result, Applied Materials’ product line got a refresh

    with lots of new apps, and continuing its solid lead in all its

    key equipment markets

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 62

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Ray Thompson (2006) showed the world that you don’t have to be in the valley to be competitive

    • You can be anywhere, as long as you understand

    the strengths and weaknesses of your local

    environment and adapt to them

    • Flying into the wind with private aircraft, he gained

    massive lift from being in Montana

    • Journalists may hate it, but no one can deny that it

    works

    • Another thing was having a stable workforce that

    wasn’t polishing resumes, while thinking about the

    next job and the pay increase it would bring

    • Relying on this allowed Ray to build an extremely

    responsive company--almost too extreme in fact

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 63

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Papken Der Torossian (1994) saved the step-and-scan lithography technology for America

    • He was best known for finding win-win solutions

    for issues of state as well as business

    • He was the first statesman of the equipment

    industry

    • His extremely pro-American approach set a

    standard for the recovery of the equipment

    industry in the United States

    – At a time when it was generally thought both the EU

    and the US would lose its leadership position

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 64

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Tetsuo (Tom) Tsuneishi (2015) The vision behind Tokyo Electron’s successful history

    • Tom has been the complement to Terry Higashi in

    making TEL’s leadership so solid

    ̶ Provided the executional vision to Terry’s “trusted

    relationship style”

    ̶ Second to none in being the team player needed to

    make Tokyo Electron the powerhouse that it is today

    • Drove the vision down into the organization

    • Instrumental in delivering Tokyo Electron’s value

    promise to customers

    ̶ Tokyo Electron is an industry benchmark for

    delivering quality and performance

    ̶ Tirelessly worked through his career on the delivery

    part of what builds great customer relationships

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 65

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Kouichi Uehara (2007) saved what was one of Japan’s treasures: Shinkawa

    • Shinkawa was once the world’s largest wire bonder

    manufacturer, based on Mikiya Yamazaki’s development of

    the first microprocessor controlled wire bonder in the 1970’s

    • Shinkawa (which means new stream) led the way in

    establishing Japan’s reputation for quality and reliability in

    this industry

    – Sadly, they lost the way in the eighties and followed Japan’s

    down in the nineties

    • But there were always lots of great people there, they just

    needed a great leader to turn them around

    • He’s been with the company since 1965 and became

    president just after the Y2K boom became everyone’s

    nightmare

    • He has definitely proven wrong the old saw about needing

    outside management to get different results

    – It just takes good people and they can be insiders

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 66

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Kazuo Ushida (2007) has proven himself a great leader in a part of the world where being a

    leader is the most difficult: Japan

    • The best in Japan somehow manage to lead without

    leading

    – They sell their people; they never tell them

    • Action emerges like water swelling up from the source of a

    spring creek, pure and loaded with energy, but painfully

    slow for the modern world of hi-tech

    • Ushida overcame that, as I’ve seen Nikon’s decision cycle

    times fall dramatically

    – In so doing, he has been able to drive their immersion effort to

    full fruition, while bringing out an impressive array of new

    products to market, which included backing up and bringing

    clean-sheet-of-paper new i-Line tool to market

    • The lens offerings have been fantastic and stages certainly

    creative

    • As a result, Nikon has come to lead the market in its own

    special way

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 67

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Shoichiro Yoshida (1993) took Nikon from being an OEM supplier to being one the world’s

    most respected semiconductor equipment

    companies

    • He successfully leveraged Nikon's reputation for

    quality and reliability in the camera business into a

    similar reputation for its steppers

    • He ushered in a new era in which quality and

    reliability would become primary decision factors in

    equipment selection

    • They were the first to deliver leading-edge

    lithography tools that could be installed and turned-

    on without any hick-ups

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 68

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Arthur Zafiropoulo (1994) was the first and only American executive to turn around a

    lithography company

    • His strength is in his ability to properly balance

    technology with marketing and sales

    – Successfully marketed Mix-and-Match lithography,

    which today is a mainstay for controlling capital

    depreciation cost

    – Was critical to moving litho into assembly, thereby

    paving the way for 2.5D and 3D packaging

    • He knows how to identify and evoke the strength of a

    company

    • The first to successfully develop an R&D pacing

    process for 10+ year payback efforts

    VLSIresearch’sCHIP MAKING INDUSTRY HALL OF FAME

    12 December 2016 69

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Thank You

    follow Dan Hutcheson’s photos on twitter @wildphotons

    see them all at flickr.com/photos/wildphotons/

    If you have further questions, e-mail us at:

    clientservices @ vlsiresearch.com

    12 December 2016 70

    http://www.flickr.com/photos/wildphotons/

  • Copyright © 2016 VLSI Research Inc. All rights reserved. Distribution rights contained in T&Cs.VLSIresearch… intelligence to make better decisions faster

    Terms and Conditions, Notices, and Disclaimers, etc.

    By accepting this report, opening it, or using it you are agreeing to these terms. This report contains valuable proprietary information developed or acquired by VLSI Research at great

    expense. You have a limited license to hold these materials but do not become the owner of any material. The materials provided are protected by copyright, trade secret, and trademark

    law. This presentation has been approved by VLSI Research for public release with attribution. The information in the materials may be used by you on a limited basis in your own

    documents, provided that those documents are not-for-sale, VLSI Research is clearly referred to as the source of such information, and you obtain written approval prior to use.

    This report is provided on an "AS IS," "WHERE IS", "WHERE AVAILABLE", “WITH ALL FAULTS” basis. VLSI Research does not warrant these materials or the information provided

    therein, either expressly or impliedly, for any particular purpose and VLSI Research specifically disclaims any express or implied warranties, including but not limited to, any express or

    implied warranties of TITLE, ACCURACY, NON-INFRINGEMENT, MERCHANTABILITY or FITNESS FOR ANY PARTICULAR PURPOSE OR USE.

    The sources of the information in this report include numerous individual reports, memos and bulletins from various segments of the industry, annual reports, financial reports, interviews,

    questionnaires, surveys, technical symposia, trade journals, technical journals and individual assessments by knowledgeable company or industry representatives as well as our own

    analysis and judgment. Some companies are more cooperative about providing information than others and some companies decline to provide or validate the accuracy of any

    information. Although the information provided is obtained or compiled from sources VLSI Research believes to be reliable given the oftentimes difficult circumstances under which it is

    collected, VLSI Research cannot and does not warrant or guarantee the accuracy, validity, truthfulness, timeliness, or completeness of any information or data made available to you for

    any particular purpose. In no event will VLSI Research be liable to you or any third party, whether in contract, tort or under any other legal theory, for any direct, indirect, special,

    consequential or incidental damages, or any other damages of any kind even if VLSI Research has been advised of the possibility thereof.

    We receive letters and e-mails on current topics covered in our services and/or reports that are of interest to our subscribers, as well as comments on our reports. We value that

    subscriber input and like to use it. By submitting such material to us, unless you tell us specifically not to publish it, or except to the extent that you give us an embargo date before which

    you instruct us not to publish it, you authorize us to publish and republish it in any form or medium, to edit it for style and length, and to comment upon or criticize it and to publish others’

    comments or criticisms concerning it, as the case may be.

    This report may contain information concerning stocks that is obtained from the opinions of industry analysts. Quoted past results are not necessarily indicative of future performance.

    None of the information should be seen as a recommendation to buy or sell any securities. We are not stock analysts or investment advisors. You should contact a registered investment

    advisor as to the nature, potential, value or suitability of any particular investment action. No information provided is investment advice and any such information is just an opinion and is

    not tailored to the investment needs of any specific person. Certain statements in this report, other than statements of historical fact, and other written or oral statements made by VLSI

    Research may be forward-looking. In some cases, you can identify forward-looking statements by terminology such as "may", "will", "should", "expects", "intends", "plans", "anticipates",

    "believes", "thinks", "estimates", "seeks", "predicts", "potential", and similar expressions. Although VLSI believes that these statements are based on reasonable assumptions, they are

    subject to numerous factors, risks and uncertainties that could cause actual results and outcomes to be materially different from those stated or projected. Those factors, among others,

    could cause actual results and outcomes to differ materially from the results and outcomes stated or projected in, or implied by, the forward-looking statements. You should understand

    that forward-looking statements are not guarantees of results or outcomes. New risks and uncertainties arise from time to time, and VLSI Research can not predict those events or how

    they may affect you, the reader. VLSI Research Inc does not have any intention or obligation to update forward-looking statements after the date of this report.

    No part of this report may be used in any legal proceedings nor may any of these materials or the information contained therein be disclosed to any third party, including investors or

    affiliated firms belonging to investors, outside directors or to your affiliated companies, or reproduced or transmitted to any third party, in any form or by any means – mechanical,

    electronic, photocopying, duplication, microfilming, videotape, verbally or otherwise – without the prior written permission of VLSI Research.

    The Chip Insider®, The Industry Pulse Pro®, and the CSS 10 BEST logo are registered trademarks of VLSI Research Inc. All other trademarks, service marks, and logos are the property

    of their respective owners.

    12 December 2016 71