영문 cv · web view( 1999.2-2005.7: senior engineer, memory division, samsung electronics, korea...

21
CURRICULUM VITAE Jae-Yoon Sim, Ph.D. Contact Information: Dept. Electrical Engineering, LG Bldg. Room 201 Pohang Univertity of Science and Technology (POSTECH), San 31, Hyoja-dong, Nam-gu, Pohang, Kyungbuk, 790-784, Korea Tel : +82-54-279-2378 Fax : +82-54-279-2903 E-mail : [email protected] Experience: A. Education Ph. D. in Electrical Engineering, POSTECH, 1999 M.S. in Electrical Engineering, POSTECH, 1995 B. S. in Electrical Engineering, POSTECH, 1993 B. Employment History 2016.3–present : Professor, Dept. of Electrical Engineering, POSTECH, Korea 2011.3–2016.2 : Associate Professor, Dept. of Electrical Engineering, POSTECH, Korea 2011.8–2012.7 : Visiting Scholar, University of Michigan, Ann Arbor, USA 2005.7–2011.2 : Assistant Professor, Dept. of Electrical Engineering, POSTECH, Korea 2003.7-2005.5: Post-Doc., University of Southern California, USA 1999.2-2005.7: Senior Engineer, Memory Division, Samsung Electronics, Korea C. Honors and Awards: Director of Joint Research Lab nominated by Korea Institute of Science and Technology, 2017 - Silver Prize, Samsung Humantech Thesis Competition, 2017 Prime Minister Award, Semiconductor Circuit Design Competition, 2015 ISSCC 60 th Anniversary Special Author-Recognition Award as a contributing author with 10 or more papers for the last decade (2003 to 2013), ISSCC, 2013 Silver Prize, Samsung Humantech Thesis Competition, 2013 Bronze Prize, Samsung Humantech Thesis Competition, 2012 Professor International Research Nominee, SBS Foundation, 2011 Gold Prize, Samsung Humantech Thesis Competition, 2011 Best Design Award, Chip design contest, Dongbu Hitek, 2011 i

Upload: dangngoc

Post on 13-May-2018

222 views

Category:

Documents


4 download

TRANSCRIPT

Page 1: 영문 CV · Web view( 1999.2-2005.7: Senior Engineer, Memory Division, Samsung Electronics, Korea C. Honors and Awards: ... Park : All-synthesizable current-mode transmitter driver

CURRICULUM VITAE

Jae-Yoon Sim, Ph.D.Contact Information:

Dept. Electrical Engineering, LG Bldg. Room 201Pohang Univertity of Science and Technology (POSTECH),San 31, Hyoja-dong, Nam-gu, Pohang, Kyungbuk, 790-784, KoreaTel : +82-54-279-2378 Fax : +82-54-279-2903E-mail : [email protected]

Experience:A. Education Ph. D. in Electrical Engineering, POSTECH, 1999 M.S. in Electrical Engineering, POSTECH, 1995 B. S. in Electrical Engineering, POSTECH, 1993

B. Employment History 2016.3–present : Professor, Dept. of Electrical Engineering, POSTECH, Korea 2011.3–2016.2 : Associate Professor, Dept. of Electrical Engineering, POSTECH, Korea 2011.8–2012.7 : Visiting Scholar, University of Michigan, Ann Arbor, USA 2005.7–2011.2 : Assistant Professor, Dept. of Electrical Engineering, POSTECH, Korea 2003.7-2005.5: Post-Doc., University of Southern California, USA 1999.2-2005.7: Senior Engineer, Memory Division, Samsung Electronics, Korea

C. Honors and Awards: Director of Joint Research Lab nominated by Korea Institute of Science and Technology, 2017 - Silver Prize, Samsung Humantech Thesis Competition, 2017 Prime Minister Award, Semiconductor Circuit Design Competition, 2015 ISSCC 60th Anniversary Special Author-Recognition Award as a contributing author with 10 or more

papers for the last decade (2003 to 2013), ISSCC, 2013 Silver Prize, Samsung Humantech Thesis Competition, 2013 Bronze Prize, Samsung Humantech Thesis Competition, 2012 Professor International Research Nominee, SBS Foundation, 2011 Gold Prize, Samsung Humantech Thesis Competition, 2011 Best Design Award, Chip design contest, Dongbu Hitek, 2011 Bronze Prize, Samsung Humantech Thesis Competition, 2010 Best Design Award, Grand Prize, Int. SoC Conf., 2010 Achievement Award, Chip design contest, KEC, 2010 Silver Prize, Samsung Humantech Thesis Competition, 2009 Grand Prize, Chip design contest, Dongbu Hitek, 2009 Takuo Sugano Award, ISSCC, 2002 Best Paper Award, Samsung Semiconductor Conference, 2002 Patentee of the year, Semiconductor, Samsung, 2000 Achievement Award, Memory Division, Samsung, 2000

Research Interests High-speed links Frequency generation Sensor interface circuits Ultra-low-power circuits Data converters Microwave plasma generator for biomedical applications

i

Page 2: 영문 CV · Web view( 1999.2-2005.7: Senior Engineer, Memory Division, Samsung Electronics, Korea C. Honors and Awards: ... Park : All-synthesizable current-mode transmitter driver

Publications:A. International Journals: Seungnam Choi, Hwan-Seok Ku, Hyunwoo Son, Byungsub Kim, Hong-June Park, Jae-Yoon Sim : An 84.6-dB-SNDR and 98.2-dB-SFDR Residue-Integrated SAR ADC for Low-Power Sensor Applications, IEEE Journal of Solid-State Circuits, vol. 53, No. 4, Feb. 2018

Il-Min Yi, Min-Kyun Chae, Seok-Hun Hyun, Seung-Jun Bae, Jung-Hwan Choi, Seong-Jin Jang, Byungsub Kim, Jae-Yoon Sim, Hong-June Park : A Time-Based Receiver With 2-Tap Decision Feedback Equalizer for Single-Ended Mobile DRAM Interface, IEEE Journal of Solid-State Circuits, vol. 53, No. 1, pp.144-154, Jan. 2018

Jaeyoung Seo, Minsoo Choi, Sanquan Song, Jae-Yoon Sim, Hong-June Park, Byungsub Kim : An Approximate Transfer Function Model of Two Serially Connected Heterogeneous Transmission Lines, IEEE Transactions on Circuits and Systems—-II: Express Briefs, Vol.64, No9, pp.1067-1071, Sep. 2017

Yelim Youn, Kwangmin Kim, Jae-Yoon Sim, Hong-June Park, Byungsub Kim : Investigation on the Worst Read Scenario of a ReRAM Crossbar Array, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 25, No.9, pp.2402-2410, Sep. 2017

Seungnam Choi, Yunjae Suh, Joohyun Lee, Jinkyu Kim, Byungsub Kim, Hong-June Park, Jae-Yoon Sim : A Self-Biased Current-Mode Amplifier With an Application to 10-bit Pipeline ADC, IEEE Transactions on Circuits and Systems—I: Regular Papers, Vol.64, No.7, pp. 1706-1717, Jul. 2017

Yoon-Jee Kim, Sung-Eun Cho, Ji-Yong Um, Min-Kyun Chae, Jihoon Bang, Jongkeun Song, Taeho Jeon, Byungsub Kim, Jae-Yoon Sim, Hong-June Park : A Single-Chip 64-Channel Ultrasound RX - Beamformer Including Analog Front-End and an LUT for Non-Uniform ADC-Sample-Clock Generation, IEEE Transactions on Biomedical Circuits and Systems, Vol.11, No.1 Feb. 2017

Young-Ho Choi, Byungsub Kim, Jae-Yoon Sim, Hong-June Park : A Phase-Interpolator-Based Fractional Counter for All-Digital Fractional-N Phase-Locked Loop, IEEE Transactions on Circuits and Systems—-II: Express Briefs, Vol.64, No.3 Mar. 2017

Seunghwan Hong, Shinwoong Kim, Seungnam Choi, Hwasuk Cho, Jaehyeong Hong, Young-Hun Seo, Byungsub Kim, Hong-June Park, Jae-Yoon Sim : A 250-μW 2.4-GHz Fast-Lock Fractional-N Frequency Generation for Ultra-low-Power Applications, IEEE Transactions on Circuits and Systems—-II: Express Briefs, Vol.64, No2, pp.106-110, Feb. 2017

Hyunwoo Son, Hwasuk Cho, Jahyun Koo, Youngwoo Ji, Byungsub Kim, Hong-June Park, Jae-Yoon Sim : A Low-Power Wide Dynamic-Range Current Readout Circuit for Ion-Sensitive FET Sensors, IEEE Transactions on Biomedical Circuits and Systems, Vol.11 No.3, pp.523-533, Jun. 2017

Soon Ku Kwon, Seung-Jin Park, Im Hee Won, Cheolmin Ahn, Jae-Yoon Sim : Microwave Plasma Generation With Resonance Frequency Tracking and Power Regulation, IEEE Transactions on Plasma Science, Vol45, No6, pp.925-931, Jun. 2017

Seungho Han, Sooeun Lee, Minsoo Choi, Jae-Yoon Sim, Hong-June Park, Byungsub Kim : A Coefficient-Error-Robust Feed Forward Equalizing Transmitter for Eye-variation and Power Improvement, IEEE Journal of Solid-State Circuits, vol. 51, no. 8, Aug. 2016

Young-Ho Choi, Byungsub Kim, Jae-Yoon Sim, Hong-June Park : A Phase-Interpolator based Fractional-Counter for All Digital Fractional-N Phase-Locked Loop, IEEE Transactions on Circuits and Systems-II, Vol. No.99, pp.1-3, Apr. 2016

Kihwan Seong, Won-Cheol Lee, Byungsub Kim, Jae-Yoon Sim, and Hong-June Park : All-Synthesizable 5-Phase Phase-Locked Loop for USB2.0, Journal of Circuits, Systems and Computers, Vol.16, No.3, pp.352-358 , Jun. 2016

Kihwan Seong, Won-Cheol Lee, Byungsub Kim, Jae-Yoon Sim, and Hong-June Park : All-Synthesizable Current-Mode Transmitter Driver for USB2.0 interface, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. PP, No.99, pp.1-5, Aug. 2016

Soo-Min Lee, Ji-Hoon Lim, Il-Min Yi, Young-Jae Jang, Hae-Kang Jung, Kyunghoon Kim, Daehan Kwon, Byungsub Kim, Jae-Yoon Sim, Hong-June Par : A Single-Ended Parallel Transceiver With

ii

Page 3: 영문 CV · Web view( 1999.2-2005.7: Senior Engineer, Memory Division, Samsung Electronics, Korea C. Honors and Awards: ... Park : All-synthesizable current-mode transmitter driver

Four-Bit Four-Wire Four-Level Balanced Coding for the Point-to-Point DRAM Interface, IEEE Journal of Solid-State Circuits, Vol. 51, No. 8, pp.1890-1901, Aug. 2016

Yunjae Suh, Seungnam Choi, and Jae-Yoon Sim : A Low-Power Class-AB Gm-Based Amplifier With Application to an 11-bit Pipelined ADC, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol.24, No.7, pp2562-2569, Jul. 2016

Kyongsu Lee, Jae-Yoon Sim : A 0.8-to-6.5 Gb/s Continuous-Rate Reference-Less Digital CDR With Half-Rate Common-Mode Clock-Embedded Signaling, IEEE Transactions on Circuits and Systems—I: Regular Papers, Vol.63, No.4, pp. 482-493, Apr. 2016

Yoon-Jee Kim, Sung-Eun Cho, Ji-Yong Um, Min-Kyun Chae, Jihoon Bang, Jongkeun Song, Taeho Jeon, Byungsub Kim, Jae-Yoon Sim, Hong-June Park : A Single-Chip 64-Channel Ultrasound RX-Beamformer Including Analog Front-End and an LUT for Non-Uniform ADC-Sample-Clock Generation, IEEE Transactions on Biomedical Circuits and Systems, Aug. 2016

Dong-Hee Yeo, Seon-Ho Kim, Hyeon-Kyu Noh, Byungsub Kim, Jae-Yoon Sim, Hong-June Park : A SNR-Enhanced Mutual-Capacitive Touch-Sensor ROIC Using an Averaging With Three Specific TX Frequencies, a Noise Memory, and a Compact Delay Compensation Circuit, IEEE Sensors Journal, Vol.16, No.18, pp6931-6938, Sep. 2016

Dong Woo Jee, Yunjae Suh, Hong-June Park, Jae-Yoon Sim : A Digitally Controlled Op-Amp with Level-Crossing-Based Approximation and its Application to a 10-bit Pipeline ADC, Journal of Circuits, Systems and Computers, Vol. 25, No.12, pp, Dec. 2016

Seungnam Choi, Hyunwoo Son, Jongshin Shin, Sang-Hyun Lee, Byungsub Kim, Hong-June Park, Jae-Yoon Sim : A 0.65-to-10.5 Gb/s Reference-Less CDR With Asynchronous Baud-Rate Sampling for Frequency Acquisition and Adaptive Equalization, IEEE Transactions on Circuits and SYSTEMS—I: Regular Papers, Vol. 63, No. 2, pp276-287, Feb.2016

Shinwoong Kim, Seunghwan Hong, Kapseok Chang, Hyungsik Ju, Jaewook Shin, Byungsub Kim, Hong-June Park, Jae-Yoon Sim : A 2 GHz Synthesized Fractional-N ADPLL With Dual-Referenced Interpolating TDC , IEEE Journal of Solid-State Circuits, Vol.51, No.2, pp391-400, Feb. 2016

Il-Min Yi, Soo-Min Lee, Seung-Jun Bae, Young-Soo Sohn, Jung-Hwan Choi, Seong-Jin Jang, Byungsub Kim, Jae-Yoon Sim, Hong-June Park : A 40 mV-Differential-Channel-Swing Transceiver Using a RX Current-Integrating TIA and a TX Pre-Emphasis Equalizer With a CML Driver at 9 Gb/s, IEEE Transactions on Circuits and Systems I: Regular Papers, Vol.63, No.1, pp.122-133, Jan. 2016

Ji Hoon Lim, Jun Hyun Bae, Jaemin Jang, Hae Kang Jung, Hynbae Lee, Youngju Kim, Byungsub, Kim, Jae-Yoon Sim, Hong-June Park : A Delay Locked Loop with a Feedback Edge Combiner of Duty Cycle Corrector with a 20%-80% Input-Duty-Cycle for SDRAMs, IEEE Transactions on Circuits and Systems-II, Vol.63, No.2, pp141-145, Feb. 2016

Minsoo Choi, Kwangmin Kim, Jae-Yoon Sim, Hong-June Park, Byungsub Kim : Analytical Formulas for Tradeoff Among Channel Loss, Length, and Frequency of RC- and LC-Dominant Single-Ended Interconnects for Fast Equalized Link Tradeoff Estimation, IEEE Transactions on Components, Packaging and Manufacturing Technology, Vol. 5, No. 10, pp.1497-1506, Oct. 2015

Young-Jae Jang, Il-Min Yi, Byungsub Kim, Jae-Yoon Sim, Hong-June Park : EMI Issues in Pseudo-Differential Signaling for SDRAM Interface, Journal of Semiconductor Technology and Science, Vol.15, No.5, pp.455-462 Oct. 2015

H.Y.Kim, S.K.Kang, S.M.Park, H.Y.Jung, B.H.Choi, J.Y.Sim, J.K.Lee : Characterization and Effects of Ar/Air Microwave Plasma on Wound Healing, Plasma Processes and Polymers, Vol. 12, Issue 12, pp.1423–1434, Dec. 2015

Inhee Lee, Wanyeong Jung, Hyunsoo Ha, Seokhyeon Jeong, Yejoong Kim, Gyouho Kim, Zhiyoong Foo, Jae-Yoon Sim, Dennis Sylvester, David Blaauw : An Ultra-Low-Power Biomedical Chip for Injectable Pressure Monitor, IEEE Transactions on Biomedical Circuits and Systems, Oct.2015

Byungsub Kim, Jae-Yoon Sim, Hong-June Park : An OTA with Positive Feedback Bias Control for Power Adaptation Proportional to Analog Workloads, Journal of Semiconductor Technology and Science, VOL.15, NO.3, JUNE, 2015, p326-333

Minsoo Choi, Jae-Yoon Sim, Hong-June Park, Byungsub Kim : An Approximate Closed-Form

iii

Page 4: 영문 CV · Web view( 1999.2-2005.7: Senior Engineer, Memory Division, Samsung Electronics, Korea C. Honors and Awards: ... Park : All-synthesizable current-mode transmitter driver

Transfer Function Model for Diverse Differential Interconnects, IEEE Transactions on Circuits and Systems-I, , VOL. 62, NO. 5, MAY 2015, p1355-1344

Hye-Jung Kwon, Ji-Hoon Lim, Byungsub Kim, Jae-Yoon Sim, Hong-June Park : An Adaptive-Bandwidth Referenceless CDR with Small-area Coarse and Fine Frequency Detectors, Journal of Semiconductor Technology and Science, Vol.15, No.3, pp404-416, Jun., 2015

Jong Mi Lee, Dong-Woo Jee, Byungsub Kim, Hong-June Park, Jae-Yoon Sim : An In-Band Noise Filtering 32-tap FIR-Embedded ΔΣ Digital Fractional-N PLL, Journal of Semiconductor Technology and Science, Vol.15, No.3, p342-348, Jun., 2015

Jong-Hoon Kim, Ji-Hoon Lim, Byungsub Kim, Jae-Yoon Sim, Hong-June Park : An Adaptive Equalizer for High-Speed Receiver using a CDR-Assisted All-Digital Jitter Measurement, Journal of Semiconductor Technology and Science, VOL.15, NO.2, APRIL, 2015

Jae-Seung Lee, Dong-Hee Yeo, Hye-Jung Kwon, Byungsub Kim, Jae-Yoon Sim, Hong-June Park : An LCD-VCOM-Noise Resilient Mutual-Capacitive Touch-Sensor IC Chip With a Low-Voltage Driving Signal, IEEE Sensors Journal, VOL. 15, NO. 8, AUGUST 2015 p 4595-4602

Ji-Yong Um, Yoon-Jee Kim, Seong-Eun Cho, Min-Kyun Chae, Byungsub Kim, Jae-Yoon Sim, Hong-June Park : A Single-Chip 32-Channel Analog Beamformer With 4-ns Delay Resolution and 768-ns Maximum Delay Range for Ultrasound Medical Imaging With a Linear Array Transducer, IEEE Transactions on Biomedical Circuits and Systems, Vol. 9, No.1, pp.138-151, Feb. 2015

Jee Dong-Woo, Sylvester Dennis, Blaauw David, Sim Jae-Yoon : Digitally controlled leakage-based oscillator and fast relocking MDLL for ultra low power sensor platform, IEEE J. Solid State Circuits, Vol. 50, No. 5, pp.1263-1274, May 2015,

Sooeun Lee, Seungho Han, Ikho Lee, Jae-Yoon Sim, Hong-June Park, Byungsub Kim : Cost-Efficient and Automatic Large Volume Data Acquisition Method for On-Chip Random Process Variation Measurement, Journal of Semiconductor Technology and Science, Vol.15, No.2, Apr., 2015

Im Hee Won, Myoung Soo Kim, Ho Young Kim, Hyun Kook Shin, Hyoung Cheol Kwon, Jae Yoon Sim, and Jae Koo Lee : Comparative study between atmospheric microwave and low-frequency plasmas : Production efficiency of reactive species and their effectiveness, Japanese Journal of Applied Physics, 53, 05FR02, 2014

Seokhyeon Jeong, Zhiyoong Foo, Yoonmyung Lee, Jae-Yoon Sim, David Blaauw, Dennis Sylvester : A Fully-Integrated 71 nW CMOS Temperature Sensor for Low Power Wireless Sensor Nodes, IEEE J. Solid State Circuits, Vol. 49, No. 8, Aug. 2014

Im Hee Won, Sung Kil Kang, Jae-Yoon Sim, and Jae Koo Lee : Ozone-Free Portable Microwave Atmospheric Air Plasma Jet, IEEE Transactions on Plasma Science, Vol. 42, No. 10, Oct. 2014

Soo-Min Lee, Il-Min Yi, Hae-Kang Jung, Hyunbae Lee, Yong-Ju Kim, Yun-Saing Kim, Byungsub Kim, Jae-Yoon Sim, Hong-June Park : An 80 mV-Swing Single-Ended Duobinary Transceiver With a TIA RX Termination for the Point-to-Point DRAM Interface, IEEE J. Solid State Circuits, Vol. 49, No. 11, Nov. 2014

Il-Min Yi, Soo-Min Lee, Seung-Jun Bae, Young-Soo Sohn, Jung-Hwan Choi, Byungsub Kim, Jae-Yoon Sim, Hong-June Park : A 40-mV-Swing Single-Ended Transceiver for TSV with a Switched-Diode RX Termination, IEEE Transactions on Circuits and Systems—II: Express Briefs, Vol. 61, No. 12, Dec. 2014

Seung-Hun Lee, Seon-Kyoo Lee, Byungsub Kim, Hong-June Park, and Jae-Yoon Sim : Current-Mode Transceiver for Silicon Interposer Channel, IEEE J. Solid State Circuits, Vol. 49, No. 9, Sep. 2014

Minsoo Choi, Jae-Yoon Sim, Hong-June Park, Byungsub Kim : An Approximate Closed-Form Channel Model for Diverse Interconnect Applications, IEEE Transactions on Circuits and Systems I-Regular Papers, Vol. 61, No.10, pp3034-3043, Oct. 2014

Kyongsu Lee, Jae-Yoon Sim : Half-Rate Clock-Embedded Source Synchronous Transceivers in 130-nm CMOS, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol.23, No.10, Oct. 2014

Hye-Jung Kwon, Jae-seung Lee, Jae-Yoon Sim, Hong-June Park : Analysis of an Open-Loop Time Amplifier With a Time Gain Determined by the Ratio of Bias Current, Circuits and Systems II: Express Briefs, IEEE Transactions on, VOL.61, NO.7, July.2014

Ki-Hwan Seong, Ji-Hoon Lim, Byungsub Kim, Jae-Yoon Sim, and Hong-June Park : Verilog Modeling of Transmission Line for USB 2.0 High-Speed PHY Interface, Journal of Semiconductor

iv

Page 5: 영문 CV · Web view( 1999.2-2005.7: Senior Engineer, Memory Division, Samsung Electronics, Korea C. Honors and Awards: ... Park : All-synthesizable current-mode transmitter driver

Technology and Science, VOL.14, NO.4, Aug. 2014 Seong-Eun Cho, Ji-Yong Um, Byungsub Kim, Jae-Yoon Sim, and Hong-June Park : A Single-Stage 37 dB-Linear Digitaly-Controled Variable Gain Amplifier for Ultrasound Medical Imaging, Journal of Semiconductor Technology and Science, VOL.14, NO.5, Oct. 2014

Hyunsoo Ha, Seon-Kyoo Lee, Byungsub Kim,Hong-June Park,Jae-Yoon Sim : A 0.5-V, 1.47-μW 40-kS/s 13-bit SAR ADC With Capacitor Error Compensation, IEEE Transactions on Circuits and Systems II: Express Briefs, VOL.61, NO.11, NOV. 2014 . pp840-844

H. Wk. Lee, S. K. Kang, I. H. Won, H. Y. Kim, H. C. Kwon, J. Y. Sim, J. K. Lee : Distinctive plume formation in atmospheric Ar and He plasmas in microwave frequency band and suitability for biomedical applications, Physics of Plasmas, Vol.20, No.12, Dec. 2013

Dong-Woo Jee, Yunjae Suh, Byungsub Kim, Hong-June Park, Jae-Yoon Sim: A FIR-embedded phase interpolator based noise filtering for wide-bandwidth fractional-N PLL, IEEE J. Solid State Circuits, Vol.48, No.11, pp.2795-2804, Nov. 2013

Ji-Yong Um, Yoon-Jee Kim, Eun-Woo Song, Jae-Yoon Sim, Hong-June Park: A Digital-Domain Calibration of Split-Capacitor DAC for a Differential SAR ADC Without Additional Analog Circuits, IEEE Transactions on Circuits and Systems I: Regular Papers, Vol.60, No.11, pp.2845-2856, Nov. 2013

Minsoo Choi, Jae-Yoon Sim, Hong-June Park, Byungsub Kim: A Channel Model of Scaled RC-dominant Wires for High-Speed Wireline Transceiver Design, Journal of Semiconductor Technology and Science, Vol.13, No.5, pp.482-491 Oct. 2013

Seon-Kyoo Lee, Byungsub Kim, Hong-June Park, Jae-Yoon Sim: A 5Gb/s single-ended parallel receiver with adaptive crosstalk-induced jitter cancellation, IEEE J. Solid State Circuits, Vol.48, No.9, Sep. 2013

Yunjae Suh, Jongmi Lee, Byungsub Kim, Hong-June Park, Jae-Yoon Sim: A 10-bit 25-MS/s 1.25-mW Pipelined ADC With a Semidigital Gm-Based Amplifier, IEEE Trans. on Circuits and Systems-II, Vol.60, No.3, pp.142-145, Mar. 2013

Seon-Kyoo Lee, Byungsub Kim, Hong-June Park, Jae-Yoon Sim: A QDR-Based 6-GB/s Parallel Transceiver With Current-Regulated Voltage-Mode Output Driver and Byte CDR for Memory Interface, IEEE Trans. on Circuits and Systems-II, Vol.60, No.2, pp.91-95, Feb. 2013

Jun-Seok Kim, Young-Hun Seo, Yunjae Suh, Hong-June Park, Jae-Yoon Sim : A 300-MS/s, 1.76-ps-Resolution, 10-b Asynchronous Pipelined Time-to-Digital Converter With on-Chip Digital Background Calibration in 0.13-μm CMOS, IEEE J. Solid State Circuits, Vol.48, No.2, pp.516-526, Feb. 2013

Dong-Woo Jee, Byungsub Kim, Hong-June Park, Jae-Yoon Sim : A 1.9-GHz Fractional-N Digital PLL With Subexponent ΔΣTDC and IIR-Based Noise Cancellation, IEEE Trans. on Circuits and Systems-II, Vol.59, No.11, pp.721-725, Nov. 2012

Hae-Kang Jung, Il-Min Yi, Soo-Min Lee, Jae-Yoon Sim, Hong-June Park : A Transmitter to Compensate for Crosstalk-Induced Jitter by Subtracting a Rectangular Crosstalk Waveform From Data Signal During the Data Transition Time in Coupled Microstrip Lines, IEEE J. Solid State Circuits, Vol.47, No.9, pp.2068-2079, Sep. 2012

G Y Park, S J Park, M Y Choi, I G Koo, J H Byun, J W Hong, J Y Sim, G J Collins, J K Lee : Atmospheric-pressure plasma sources for biomedical applications, Plasma Sources Sci. Technol. pp.1-21, Aug. 2012

Dong-Woo Jee, Young-Hun Seo, Hong-June Park, Jae-Yoon Sim : A 2 GHz Fractional-N Digital PLL with 1b Noise Shaping ΔΣ TDC, IEEE J. Solid State Circuits, Vol.47, No.4, pp.875-883, Apr. 2012

Young-Hun Seo, Jun-Seok Kim, Hong-June Park, Jae-Yoon Sim : A 1.25 ps Resolution 8b Cyclic TDC in 0.13μm CMOS, IEEE J. Solid State Circuits, Vol.47, No.3, pp.736-743, Mar. 2012

Seon-Kyoo Lee, Young-Sang Kim, Hong-June Park, Jae-Yoon Sim : A Wide Lock-Range Referenceless CDR with Automatic Frequency Acquisition, J. Electrical and Computer Engineering, Vol.2011, Artical ID.701730, pp.1-7, 2011

Hyung-Joon Chi, Young-Ho Choi, Soo-Min Lee, Jae-Yoon Sim, Hong-June Park, Jong-Jin Lim, Pil-Sung Kang, Bu-Yeol Lee, Jin-Cheol Hong, Hee-Sub Lee : A 2-Gb/s Intrapanel Interface for TFT-LCD With a VSYNC-Embedded Subpixel Clock and a Cascaded Deskew and Multiphase DLL, IEEE Trans. on Circuits and Systems-II, Vol.58, No.10, pp.687-691, Oct. 2011

v

Page 6: 영문 CV · Web view( 1999.2-2005.7: Senior Engineer, Memory Division, Samsung Electronics, Korea C. Honors and Awards: ... Park : All-synthesizable current-mode transmitter driver

Hyung-Joon Chi, Jae-Seung Lee, Seong-Hwan Jeon, Seung-Jun Bae, Young-Soo Sohn, Jae-Yoon Sim, Hong-June Park : A Single-Loop SS-LMS Algorithm With Single-Ended Integrating DFE Receiver for Multi-Drop DRAM Interface, IEEE J. Solid State Circuits, Vol.46, No.9, pp.2053-2063, Sep. 2011

Jong-Hoon Kim, Jung-Bum Shin, Jae-Yoon Sim, Hong-June Park : 5-Gb/s Peak Detector Using a Current Comparator and a Three-State Charge Pump, IEEE Trans. on Circuits and Systems-II, Vol.58, No.5, pp.269-273, May. 2011

Seon-Kyoo Lee, Seung-Jin Park, Hong-June Park, Jae-Yoon Sim : A 21 fJ/Conversion-Step 100 kS/s 10-bit ADC With a Low-Noise Time-Domain Comparator for Low-Power Sensor Interface, IEEE J. Solid State Circuits, Vol.46, No.3, pp.651-659, Mar. 2011

Young-Hun Seo, Seon-Kyoo Lee, Jae-Yoon Sim : A 1-GHz Digital PLL With a 3-ps Resolution Floating-Point-Number TDC in a 0.18-μm CMOS, IEEE Trans. on Circuits and Systems-II, Vol.58, No.2, pp.70-74, Feb. 2011

Young-Sang Kim, Seon-Kyoo Lee, Hong-June Park, Jae-Yoon Sim : A 110 MHz to 1.4GHz Locking 40-Phase All-Digital DLL, IEEE J. Solid State Circuits, Vol.46, No.2, pp.435-444, Feb. 2011

Young-Sang Kim, Yunjae Suh, Hong-June Park, Jae-Yoon Sim : An Analysis and Design Methodology of Resistor-Based Phase Error Averaging for Multiphase Generation, IEICE Trans. on Electronics, Vol.E93-C, No.12, pp.1662-1669, Dec. 2010

Seon-Kyoo Lee, Young-Hun Seo, Hong-June Park, Jae-Yoon Sim : A 1GHz ADPLL With a 1.25ps Minimum-Resolution Sub-Exponent TDC in 0.18μm CMOS, IEEE J. Solid State Circuits, Vol.45, No.12, pp.2874-2881, Dec. 2010

Ji-Yong Um, Jae-Yoon Sim, Hong-June Park : A Gate-Leakage Insensitive 0.7-V 233-nW ECG Amplifier using Non-Feedback PMOS Pseudo-Resistors in 0.13-μm N-well CMOS, J. Semiconductor Tech. and Sci., Vol.10, No.4, pp.309-315, Dec. 2010

Hae-Kang Jung, Soo-Min Lee, Jae-Yoon Sim, Hong-June Park : A TX Clock Timing Technique for the CIJ Compensation of Coupled Microstrip Lines, J. Semiconductor Tech. and Sci., Vol.10, No.3, pp.232-239, Sep. 2010

Seung-Jin Park, Jun Choi, Gan Young Park, Seon-Kyoo Lee, Youngsu Cho, Ji In Yun, Sangmin Jeon, Kyong Tai Kim, Jae Koo Lee, Jae-Yoon Sim : Inactivation of S. mutans Using an Atmospheric Plasma Driven by a Palm-Size-Integrated Microwave Power Module, IEEE Trans. on Plasma Science, Vol.38, No.8, pp.1956-1962, Aug. 2010

Jae-Seung Lee, Jae-Yoon Sim, Hong-June Park : A High-Throughput On-Chip Variation Monitoring Circuit for MOSFET Threshold Voltage Using VCDL and Time-to-Digital Converter, IEICE Trans. on Electronics, Vol.E93-C, No.8, pp.1333-1337, Aug. 2010

Young-Hun Seo, Young-Sang Kim, Hong-June Park, Jae-Yoon Sim : A 5 Gb/s Transmitter With a TDR-Based Self-Calibration of Preemphasis Strength, IEEE Trans. on Circuits and Systems-II, Vol.57, No.5, pp.379-383, May. 2010

Kyoungho Lee, Hae-Kang Jung, Hyung-Joon Chi, Hye-Jung Kwon, Jae-Yoon Sim, Hong-June Park : Serpentine Microstrip Lines With Zero Far-End Crosstalk for Parallel High-Speed DRAM Interfaces, IEEE Trans. on Advanced Packaging, Vol.33, No.2, pp.552-558, May. 2010

Gan Young Park, Yong Jun Hong, Hyun Woo Lee, Jae-Yoon Sim, Jae Koo Lee : A Global Model for the Identification of the Dominant Reactions for Atomic Oxygen in He/O2 Atmospheric-Pressure Plasmas, Plasma Processes and Polymers, Vol.7, pp.281-287, Mar. 2010

Jun-Hyun Bae, Sang-Hune Park, Jae-Yoon Sim, Hong-June Park : A Digital Differential Transmitter with Pseudo-LVDS Output Driver and Digital Mismatch Calibration, IEICE Trans. on Electronics, Vol.E93-C, No.1, pp.132-135, Jan. 2010

Hae-Kang Jung, Kyoungho Lee, Jong-Sam Kim, Jae-Jin Lee, Jae-Yoon Sim, Hong-June Park : A 4Gb/s 3-bit Parallel Transmitter With the Crosstalk-Induced Jitter Compensation Using TX Data Timing Control, IEEE J. Solid State Circuits, Vol.44, No.11, pp.2891-2900, Nov. 2009

Kwang-Hee Choi, Jung-Bum Shin, Jae-Yoon Sim, Hong-June Park : An Interpolating Digitally Controlled Oscillator for a Wide-Range All-Digital PLL, IEEE Trans. on Circuits and Systems-I, Vol.56, No.9, pp.2055-2063, Sep. 2009

Seung-Jun Bae, Hyung-Joon Chi, Young-Soo Sohn, Jae-Seung Lee, Jae-Yoon Sim, Hong-June Park : A 2Gb/s CMOS Integrating Two-Tap DFE Receiver for Four-Drop Single-Ended Signaling, IEEE Trans. on Circuits and Systems-I, Vol.56, No.8, pp.1645-1656, Aug. 2009

vi

Page 7: 영문 CV · Web view( 1999.2-2005.7: Senior Engineer, Memory Division, Samsung Electronics, Korea C. Honors and Awards: ... Park : All-synthesizable current-mode transmitter driver

Jun-Hyun Bae, Sang-Hune Park, Jae-Yoon Sim, Hong-June Park : A Low-Voltage High-Speed CMOS Inverter-Based Digital Differential Transmitter with Impedance Matching Control and Mismatch Calibration, J. Semiconductor Tech. and Sci., Vol.9, No.1, pp.14-21, Mar. 2009

Seung-Jin Park, Young-Hun Seo, Hong-June Park, Jae-Yoon Sim : A Distortion-Free General Purpose LVDS Driver, IEICE Trans. on Electronics, Vol.E92-C, No.2, pp.278-280, Feb. 2009

Kyoungho Lee, Hae-Kang Jung, Jae-Yoon Sim, Hong-June Park : Reduction of Transient Far-End Crosstalk Voltage and Jitter in DIMM Connector for DRAM Interface, IEEE Microwave and Wireless Components Lett., Vol.19, No.1, pp.15-17, Jan. 2009

Young-Chan Jang, Jun-Hyun Bae, Ho-Young Lee, Yong-Sang You, Jae-Whui Kim, Jae-Yoon Sim, Hong-June Park : A 1.2V 7-bit 1GS/s CMOS Flash ADC with Cascaded Voting and Offset Calibration, J. Semiconductor Tech. and Sci., Vol.8, No.4, pp.318-325, Dec. 2008

Kyungho Lee, Hyun-Bae Lee, Hae-Kang Jung, Jae-Yoon Sim, Hong-June Park : A Serpentine Guard Trace to Reduce the Far-End Crosstalk Voltage and the Crosstalk Induced Timing of Parallel Microstrip Lines, IEEE Trans. on Advanced Packaging, Vol.31, No.4, pp.809-817, Nov. 2008

Young-Sang Kim, Yunjae Suh, Hong-June Park, Jae-Yoon Sim : Deadzone-Minimized Systematic Offset-Free Phase Detectors, IEICE Trans. on Electronics, Vol.E91-C, No.9, pp.1525-1528, Sep. 2008

Seon-Kyoo Lee, Kyoungho Lee, Hong-June Park, Jae-Yoon Sim : FEXT-eliminated stub-alternated microstrip line for multi-Gb/s parallel links, Electronics Lett., Vol.44, No.4, pp. 272-273, Feb. 2008

Sang-Hune Park, Kwang-Hee Choi, Jung-Bum Shin, Jae-Yoon Sim, Hong-June Park : A Single-Data-Bit Blind Oversampling Data-Recovery Circuit With an Add-Drop FIFO for USB 2.0 High-Speed Interface, IEEE Trans. on Circuits and Systems-II, Vol.55, No.2, pp.156-160, Feb. 2008

Seon-Kyoo Lee, Young-Sang Kim, Hong-June Park, Jae-Yoon Sim : A SSN-Reduced 5Gb/s Parallel Transmitter, J. Semiconductor Tech. and Sci., Vol.7, No.4, pp.235-240, Dec. 2007

Young-Chan Jang, Jun-Hyun Bae, Sang-Hune Park, Jae-Yoon Sim, Hong-June Park : An 8.8-GS/s 6-bit CMOS Time-Interleaved Flash Analog-to-Digital Converter with Multi-Phase Clock Generator, IEICE Trans. on Electronics, Vol.E90-C, No.6, pp.1156-1164, Jun. 2007

Jae-Yoon Sim : Segmented Group-Inversion Coding for Parallel Links, IEEE Trans. on Circuits and Systems-II, Vol.54, No.4, pp.328-332, Apr. 2007

Jae-Seung Lee, Jun Hyun Bae, Ho-Young Kim, Ji-Yong Um, Jae-Yoon Sim, Hong-June Park : A Design Guide of 3-stage CMOS Operational Amplifier with Nested Gm-C Frequency Compensation, J. Semiconductor Tech. and Sci., Vol.7, No.1, pp.20-27, Mar. 2007

Jae-Yoon Sim : Circuit Design of DRAM for Mobile Generation, J. Semiconductor Tech. and Sci.., Vol.7, No.1, pp.1-10, Mar. 2007

Jae-Yoon Sim : DC-balanced block inversion coding for high-speed links, IEICE Trans. on Electronics, Vol. E89-C, pp.1948-1949, Dec, 2006

Jae-Yoon Sim, Won Namgoong : Multi-level differential encoding with pre-centering for high-speed parallel link transceiver, IEEE J. Solid State Circuits, Aug, 2005

Jae-Yoon Sim, Kee-Won Kwon, Ki-Chul Chun, Dong-Il Seo : Offset- compensated direct sensing and charge-recycled precharge schemes for sub-1.0V high-speed DRAM’s, IEICE Trans. on Electronics, Vol. E87-C, pp.801-808, May, 2004

Jae-Yoon Sim, Kee-Won Kwon, Ki-Chul Chun : Charge-transferred presensing, negatively precharged word-line, and temperature-insensitive power-up schemes for low-voltage DRAMs, IEEE J. Solid State Circuits, Vol.39, pp.694-703, Apr. 2004

Ki-Chul Chun, Jae-Yoon Sim, Hongil Yoon, Hyun-Seok Lee, Sang-Pyo Hong, Kyu-Chan Lee, Jei-Hwan Yoo, Dong-Il Seo: A 1.8V 128 Mb mobile DRAM with hidden-precharged triple pumping scheme and dual-path hybrid current sense, Current Applied Physics, Vol. 4, pp.25-29, Feb. 2004

Jae-Yoon Sim, Kee-Won Kwon : A full-swing peak-current-reduced CMOS output driver, IEICE Trans. on Electronics, Vol. E87-C, June, 2004

Jae-Yoon Sim, Hongil Yoon, Ki-Chul Chun, Hyun-Seok Lee, Sang-Pyo Hong, Kyu-Chan Lee, Jei-Hwan Yoo, Dong-Il Seo, Soo-In Cho: A 1.8V 128-Mb mobile DRAM with double boosting pump, hybrid current sense amplifier, and dual-referenced adjustment scheme for temperature sensor, IEEE J. Solid State Circuits, Vol.38, pp.631-640, Apr. 2003

Jae-Yoon Sim, Jang-Jin Nam, Young-Soo Sohn, Hong-June Park, Changhyun Kim, Soo-In Cho: A CMOS transceiver for DRAM bus system with a demultiplexed equalization scheme, IEEE J. Solid-

vii

Page 8: 영문 CV · Web view( 1999.2-2005.7: Senior Engineer, Memory Division, Samsung Electronics, Korea C. Honors and Awards: ... Park : All-synthesizable current-mode transmitter driver

State Circuits, Vol. 37, pp.245-250, Feb. 2002 Young-Soo Shon, Jin-Seok Park, Jae-Yoon Sim, Hong-June Park: Full-swing CMOS output driver

using on-chip capacitors with fast rising time and small overshoot, IEE Electronics Lett., Vol 37, pp.484-485, Apr. 2001

Jae-Yoon Sim, Yong-Soo Sohn, Seung-Chan Heo, Hong-June Park, Soo-In Cho: A 1Gb/s bidirectional I/O buffer using the current-mode Scheme, IEEE J. Solid State Circuits, Vol.34, pp.529-535, Apr. 1999

Jae-Yoon Sim, Cheol-Hee Lee, Won-Chang Jeong, Hong-June Park: Adaptive biasing folded cascode CMOS OP amp with continuous-time push-pull CMFB scheme, IEICE Trans. on Electronics, Vol. E80-C, pp.1203-1210, Sep. 1997

Young-Hee Kim, Jae-Yoon Sim, Hong-June Park: Analysis and prevention of DRAM latch-up during power-on, IEEE J. Solid State Circuits, Vol.32, pp.79-85, Jan. 1997

Cheol-Hee Lee, Jae-Yoon Sim, Hong-June Park: A temperature insensitive current controlled CMOS output driver, IEICE Trans. on Electronics, Vol. E79-C, pp.1726-1732, Dec. 1996

B. International Conferences Minsoo Choi, Sooeun Lee, Myungguk Lee, Jihoon Lee, Jae-Yoon Sim, Hong-June Park and Byungsub Kim : An FFE TX with 3.8x Eye Improvement by Automatic Impedance Adaptation for Universal Compatibility with Arbitrary Channel and RX Impedances, Symp. on VLSI Cir. (VLSIC), pp.C58-C59, Jun. 2017

Ji-Hoon Lee, Kwangmin Kim, Minsoo Choi, Jae-Yoon Sim, Hong-June Park, and Byungsub Kim : A 16.6-pJ/b 150-Mb/s Body Channel Communication Transceiver with Decision Feedback Equalization Improving >200% Area Efficiency, Symp. on VLSI Cir. (VLSIC), pp.C62-C63, Jun. 2017

Hwasuk Cho, Kihwan Seong, Kwang-Hee Choi, Jin-Hyeok Choi, Byungsub Kim, Hong-June Park, Jae-Yoon Sim : A 0.0047mm2 Highly Synthesizable TDC and DCO-less Fractional-NPLL with a Seamless Lock - Range off RER to 1GHz, IEEE International Solid-State Circuits Conference (ISSCC), USA(Sanfrancisco), Feb.2017

Jahyun Koo, Kyoung-Sik Moon, Byungsub Kim, Hong-June Park, Jae-Yoon Sim : A Quadrature Relaxation Oscillator with a Process-Induced Frequency-Error Compensation Loop, IEEE International Solid-State Circuits Conference (ISSCC), USA(Sanfrancisco), Feb.2017

Youngwoo Ji, Cheonhoo Jeon, Hyunwoo Son, Byungsub Kim,Hong-June Park, Jae-Yoon Sim : A 9.3nW All-in-One Bandgap Voltage and Current Reference Circuit, IEEE International Solid-State Circuits Conference (ISSCC), USA(Sanfrancisco), Feb.2017

Il-Min Yi, Min-Kyun Chae, Seok-Hun Hyun, Seung-Jun Bae, Jung-Hwan Choi, Seong-Jin Jang, Byungsub Kim, Jae-Yoon Sim, Hong-June Park : A Time-Based Receiver with 2-Tap DFE for a 12Gb/s/pin Single-Ended Transceiver for Mobile DRAM Interface in 0.8V 65nm CMOS , IEEE International Solid-State Circuits Conference (ISSCC), USA(Sanfrancisco), Feb.2017

Young-Ho Choi, Kihwan Seong, Byungsub Kim, Jae-Yoon Sim, and Hong-June Park : All-Synthesizable 6Gbps Voltage-Mode Transmitter for Serial Link”, IEEE Asian Solid-State Circuit Conference (ASSCC), Japan, Nov.2016

Jaehyun Park, Kihwan Seong, Hyeon-Kyu Noh, Won-Cheol Lee, Byungsub Kim, Jae-Yoon Sim, Hong-June Park : An ECG monitoring system using Android smart phone, 2016 IEEE International Conferenceon Consumer Electronics-Asia (ICCE-Asia), Oct.2016

Young-Jae Jang, Seong-Eun Cho, Byungsub Kim, Jae-Yoon Sim, and Hong-June Park : A low-power LDO circuit with a fast load regulation, APCCAS 2016 : 2016 IEEE Asia Pacific Conference on Circuits and Systems, Jeju, Oct.2016

Kihwan Seong, Won-Cheol Lee, Byungsub Kim, Jae-Yoon Sim, Hong-June Park : All-synthesizable transmitter driver and data recovery circuit for USB2.0 interface, ISOCC 2016 : 13th International SoC Design Conference, Jeju, Oct.2016

Il-Min Yi, Seung-Jun Bae, Min-Kyun Chae, Soo-Min Lee, Young-Jae Jang, Young-Chul Cho, Young-Soo Sohn, Jung-Hwan Choi, Seong-Jin Jang, Byungsub Kim, Jae-Yoon Sim, Hong-June Park

viii

Page 9: 영문 CV · Web view( 1999.2-2005.7: Senior Engineer, Memory Division, Samsung Electronics, Korea C. Honors and Awards: ... Park : All-synthesizable current-mode transmitter driver

: A Low-EMI Four-Bit Four-Wire Single-Ended DRAM Interface by Using a Three-Level Balanced Coding Scheme, 2016 Symposia on VLSI Technology and Circuits, USA(Hawaii), Jun.2016

Kihwan Seong, Won-Cheol Lee, Byungsub Kim, Jae-Yoon Sim, Hong-June Park : All-synthesizable 5-phase Phase-Locked Loop, 18th International Conference on Electronics, Information and Communication (ICEIC), Turkey, Jan.2016

Kihwan Seong, Won-Cheol Lee, Byungsub Kim, Jae-Yoon Sim and Hong-June Park : All-synthesizable current-mode transmitter driver for serial link interface, 18th International Conference on Electronics, Information and Communication (ICEIC), Turkey , Oct.2016

Seong-Eun Cho, Ji-Yong Um, Yoon-Jee Kim, Min-Kyun Chae, Jae-Yoon Sim, Hong-June Park : A Reduced-Size Look-Up-Table for ADC Sample-Times of a Single-Chip Non-Uniform-Sampling Digital-Beamformer for Ultasound Medical Imaging, 12th International SoC Design Conference (ISOCC), Gyeongju. Korea , Nov. 2015

Seong-Eun Cho, Byungsub Kim, Jae-Yoon Sim, Hong-June Park : A 35dB-Linear Variable Gain Amplifier Circuit of Digital-Beamformer for Ultrasound Medical Imaging, International Technical Conference on Circuits Systems Computers and Communications (ITC-CSCC) , Seoul. Korea, Jun. 2015

Sooeun Lee, Gunbok Lee, Jae-Yoon Sim, Hong-June Park, Wee Sang Park, Byungsub Kim : A Sample Reduction Technique by Aliasing Channel Response for Fast Equalizing Transceiver Design, IEEE/ACM International Computer-Aided Design Conference, San Jose, Nov.2015

Sechang Oh, Wanyeong Jung, Hyunsoo Ha, Jae-Yoon Sim, David Blaauw : Energy-Efficient CDCs for Millimeter Sensor Nodes, Advances in Analog Circuit Design (AACD), Swiss, Apr. 2015

Lee Jong Mi, Ji Youngwoo, Choi Seungnam, Cho Young-Chul, Jang Seong-Jin, Choi Joo Sun, Kim Byungsub, Park Hong-June, Sim Jae-Yoon : A 29-nW bandgap reference circuit , IEEE International Solid-State Circuits Conference (ISSCC), USA, Fed. 2015

Yunjae Suh, Seungnam Choi, Byungsub Kim, Hong-June Park, Jae-Yoon Sim : Voltage-Scalable 10-b Pipelined ADC with Current-Mode Amplifier, IEEE Custom Integrated Circuits Conference (CICC) , USA , Sep. 2014

Ji-Yong Um, Eun-Woo Song, Yoon-Jee Kim, Seong-Eun Cho, Min-Kyun /Chae, Jongkeun Song, Baehyung Kim, Seunghun Lee, Jihoon Bang, Youngil Cho, Byungsub Kim, Jae-Yoon Sim, Hong-June Park : An Analog-Digital-Hybrid Single-Chip RX Beamformer with Non-Uniform Sampling for 2D-CMUT Ultrasound Imaging to Achieve Wide Dynamic Range of Delay and Small Chip Area, IEEE International Solid-State Circuits Conference(ISSCC), San Francisco, USA, pp.426-427, Fed. 2014

Hyunsoo Ha, Dennis Sylvester, David Blaauw, Jae-Yoon Sim : A 160nW 63.9fJ/conversion-step Capacitance-to-Digital Converter for Ultra-Low-Power Wireless Sensor Nodes, IEEE International Solid-State Circuits Conference(ISSCC), San Francisco, USA, pp.220-221, Fed. 2014

Seungho Han, Sooenu Lee, Minsoo Choi, Jae-Yoon Sim, Hong-June Park, Byungsub Kim : A Coefficient-Error-Robust FFE TX with 230% Eye-Variation Improvement Without Calibration in 65nm CMOS Technology, IEEE International Solid-State Circuits Conference(ISSCC), San Francisco, USA, pp.50-51, Fed. 2014

Dong Hoon Baek, Byungsub Kim, Hong-June Park, Jae-Yoon Sim : A 5.67mW 9Gb/s DLL-Based Reference-less CDR with Pattern-Dependent Clock-Embedded Signaling for Intra-Panel Interface, IEEE International Solid-State Circuits Conference(ISSCC), San Francisco, USA, pp.48-49, Fed. 2014

Jae-seung Lee, Dong-Hee Yeo, Sang-Soo Lee, Hye-Jung Kwon, Jae-Yoon Sim, Byung-Sub Kim, and Hong June Park : A 0.4 V Driving Multi-Touch Capacitive Sensor with the Driving Signal Frequency set to (n+0.5) Times the Inverse of the LCD VCOM Noise Period, Circuits and Systems (ISCAS), 2014 IEEE International Symposium on, pp.682-685, June. 2014

Kee-Bum Shin, Ki-Hwan Seong, Dong-Hee Yeo, Byungsub Kim, Jae-Yoon Sim, Hong June Park : Verilog Synthesis of USB 2.0 Full-speed Device PHY IP, International SoC Design Conference(ISOCC), Busan, Korea, Nov.2013

Jong-Hoon Kim, Soo-Min Lee, Jae-Yoon Sim, Byungsub Kim, Hong-June Park : A Power reduction of 37% in a Differential Serial Link Transceiver by Increasing the Termination Resistance, IEEE Asian Solid-State Circuits Conference(ASSCC), Singapore, Singapore, pp.221-224, Nov.2013

ix

Page 10: 영문 CV · Web view( 1999.2-2005.7: Senior Engineer, Memory Division, Samsung Electronics, Korea C. Honors and Awards: ... Park : All-synthesizable current-mode transmitter driver

Yen-Po Chen, Yoonmyung Lee, Jae-yoon Sim, Massimo Alioto, David Blaauw, Dennis Sylvester : 45pW ESD Clamp Circuit for Ultra-Low Power Applications, Custom Integrated Circuits Conference(CICC), San Jose, USA, Sep. 2013

Seokhyeon Jeong, Jae-Yoon Sim, David Blaauw, Dennis Sylvester : 65nW CMOS temperature sensor for ultra-low power microsystems, Custom Integrated. Cir. Conf. (CICC), Sep. 2013

Yen-Po Chen, Yoonmyung Lee, Jae-Yoon Sim, Massimo Alioto, David Blaauw, Dennis Sylvester : 45pW ESD clamp circuit for ultra-low power applications, Custom Integrated. Cir. Conf. (CICC), Sep. 2013

Soo-Min Lee, Jong-Hoon Kim, Jongsam Kim, Yunsaing Kim, Hyunbae Lee, Jae-Yoon Sim, Hong-June Park : A 27% Reduction in Transceiver Power for Single-Ended Point-to-Point DRAM Interface with the Termination Resistance of 4xZ0 at both TX and RX, Int. Solid-State Cir. Conf. (ISSCC), pp.308-310, Feb. 2013

Seon-Kyoo Lee, Seung-Hun Lee, Dennis Sylvester, David Blaauw, Jae-Yoon Sim : A 95fJ/b Current-Mode Transceiver for 10mm On-Chip Interconnect, Int. Solid-State Cir. Conf. (ISSCC), pp.262-264, Feb. 2013

Dong-Woo Jee, Dennis Sylvester, David Blaauw, Jae-Yoon Sim : A 0.45V 423mW 3.2MHz Multiplying DLL with Leakage-Based Oscillator for Ultra-Low-Power Sensor Platforms, Int. Solid-State Cir. Conf. (ISSCC), pp.188-190, Feb. 2013

Ji-Yong Um, Jae-Seung Lee, Dong-Hee Yeo, Sang-su Lee, Kyeong-Gon Lee, Jae-Yoon Sim, Hong-June Park : A 416-kS/s 12-bit algorithmic ADC compensating capacitance mismatch of MDAC in digital domain, Int. Conf. on Electronics, Information and Communication, Feb. 2013

Seong-Hwan Jeon, Young-Ho Choi, Byung-Sub Kim, Jae-Yoon Sim, Hong-June Park : A Spread Spectrum Clock Generator Using Phase/Frequency Boosting with a Peak Power Reduction 14.6dB, RMS Jitter 1.45ps and Power 4.8mW/GHz for USB 3.0, Asian Solid-State Cir. Conf. (ASSCC), Nov. 2012

Ji-Yong Um, Jae-Hwan Kim, Eun-Woo Song, Yoon-Jee Kim, Jae-Yoon Sim, Hong-June Park : A Single-Chip Time-Interleaved 32-Channel Analog Beamformer for Ultrasound Medical Imaging, Asian Solid-State Cir. Conf. (ASSCC), Nov. 2012

Il-Min Yi, Seung-Jun Bae, Young-Soo Sohn, Jae-Yoon Sim, Hong-June Park : An On-chip TSV Emulation Using Metal Bar Surrounded by Metal Ring to Develop Interface Circuits, Int. SoC Design Conf., pp.192-195, Nov. 2012

Young-Ho Choi, Jae-Yoon Sim, Hong-June Park : A Fractional-N Frequency Divider for SSCG Using a Single Dual-Modulus Integer Divider and a Phase Interpolator, Int. SoC Design Conf., pp.68-71, Nov. 2012

Hyunsoo Ha, Yunjae Suh, Seon-Kyoo Lee, Hong-June Park, Jae-Yoon Sim : A 0.5V, 11.3-μW, 1-kS/s Resistive Sensor Interface Circuit with Correlated Double Sampling, Custom Integrated. Cir. Conf. (CICC), Sep. 2012

Jae-seung Lee, Dong-Hee Yeo, Ji-Young Um, Eun-Woo Song, Jae-Yoon Sim, Hong-June Park : A 10-Touch Capacitive-Touch Sensor Circuit with the Time-Domain Input-Node Isolation, SID Symp. Dig. Tech., pp.493-496, Jun. 2012

Seon-Kyoo Lee, Hyunsoo Ha, Hong-June Park, Jae-Yoon Sim : A 5Gb/s Single-Ended Parallel Receiver with Adaptive FEXT Cancellation, Int. Solid-State Cir. Conf. (ISSCC), pp.140-141, Feb. 2012

Young-Sik Kim, Seon-Kyoo Lee, Seung-Jun Bae, Young-Soo Sohn, Jung-Bae Lee, Joo Sun Choi, Hong-June Park, Jae-Yoon Sim : An 8GB/s Quad-Skew-Cancelling Parallel Transceiver in 90nm CMOS for High-Speed DRAM Interface, Int. Solid-State Cir. Conf. (ISSCC), pp.136-137, Feb. 2012

Jae-Hwan Kim, Ji-Yong Um, Jae-Yoon Sim, Hong-June Park : Time-Interleaved Sample Clock Generator for Ultrasound Beamformer Application, Int. SoC Design Conf., pp.290-293, Nov. 2011

Hye-Jung Kwon, Jae-Seung Lee, Jae-Yoon Sim, Hong-June Park : A High-Gain Wide-Input-Range Time Amplifier with an Open-Loop Architecture and a Gain Equal to Current Bias Ratio, Asian Solid-State Cir. Conf. (ASSCC), pp.325-328, Nov. 2011

Ji-Yong Um, Jae-Hwan Kim, Jae-Yoon Sim, Hong-June Park : Digital-Domain Calibration of Split-Capacitor DAC with no Extra Calibration DAC for a Differential-Type SAR ADC, Asian Solid-State Cir. Conf. (ASSCC), pp.77-80, Nov. 2011

x

Page 11: 영문 CV · Web view( 1999.2-2005.7: Senior Engineer, Memory Division, Samsung Electronics, Korea C. Honors and Awards: ... Park : All-synthesizable current-mode transmitter driver

Dong-Woo Jee, Yunjae Suh, Hong-June Park, Jae-Yoon Sim : Phase-Blender-Based FIR Noise Filtering Techniques for ΔΣFractional-N PLL, Int. Midwest Symp. on Cir. and Systems (MWSCAS), Aug. 2011

Young-Ho Choi, Hye-Jung Kwon, Jae-Yoon Sim, Hong-June Park : Reduction in the Peak Frequency Spectrum of Clock-Embedded Data-Signal for TFT-LCD Compared With the Regular-Clock and PRBS-Data Signals, Int. Technical Conf. on Circuits/Systems, Computers and Communications, Jun. 2011

Dong-Hee Yeo, Ki-Hwan Sung, Jong-Hoon Kim, Jae-Yoon Sim, Hong-June Park : Verilog Design of Asynchronous Clock Domain Crossing Techniques in High Speed Digital Transceiver Circuits, Int. Technical Conf. on Circuits/Systems, Computers and Communications, Jun. 2011

Dong-Woo Jee, Young-Hun Seo, Hong-June Park, Jae-Yoon Sim : A 2 GHz Fractional-N Digital PLL with 1b Noise Shaping ΔΣTDC, Symp. on VLSI Cir. (VLSIC), pp.116-117, Jun. 2011

Young-Hun Seo, Jun-Seok Kim, Hong-June Park, Jae-Yoon Sim : A 0.63ps Resolution, 11b Pipeline TDC in 0.13μm CMOS, Symp. on VLSI Cir. (VLSIC), pp.152-153 Jun. 2011

Dong-Woo Jee, Yunjae Suh, Hong-June Park, Jae-Yoon Sim : A 0.1-f ref BW 1GHz Fractional-N PLL with FIR-Embedded Phase-Interpolator-Based Noise Filtering, Int. Solid-State Cir. Conf. (ISSCC), pp.94-95, Feb. 2011

Hae-Kang Jung, Soo-Min Lee, Jae-Yoon Sim, Hong-June Park : A Transmitter with Different Output Timing to Compensate for the Crosstalk-Induced Jitter of Coupled Microstrip Lines, Int. SoC Design Conf., pp.364-367, Nov. 2010

Jun-Hyun Bae, Young-Soo Sohn, Seung-Jun Bae, Kwang-Il Park, Joo-Sun Choi, Young-Hyun Jun, Jae-Yoon Sim, Hong-June Park : A Crosstalk-and-ISI Equalizing Receiver in 2-Drop Single-Ended SSTL Memory Channel, Custom Integrated. Cir. Conf. (CICC), Sep. 2010

Hae-Kang Jung, Soo-Min Lee, Jae-Yoon Sim, Hong-June Park : A Slew-Rate Controlled Transmitter to Compensate for the Crosstalk-Induced Jitter of Coupled Microstrip Lines, Custom Integrated. Cir. Conf. (CICC), Sep. 2010

Dong-Hee Yeo, Sung-Hwan Jeon, Jae-Yoon Sim, Hong-June Park : Digital Circuit of USB 2.0 PHY High Speed RX Interface, Int. Conf. on Electronics, Information and Communication, Jul. 2010

Dong-Hee Yeo, Sung-Hwan Jeon, Jae-Yoon Sim, Hong-June Park : TX Digital Circuit for USB 2.0 PHY High Speed Interface, Int. Conf. on Electronics, Information and Communication, Jul. 2010

Jae-Yoon Sim, Seon-Kyoo Lee, Young-Sik Kim, Young-Soo Sohn, Joo Sun Choi : High-Speed Links for Memory Interface, Int. Conf. on Integrated Cir. Design and Tech.. (ICICDT), pp.16-19, Jun. 2010

Hyung-Joon Chi, Young-Ho Choi, Soo-Min Lee, Jae-Yoon Sim, Hong-June Park, Jongjin Lim, Pil-Sung Kang, Bu-Yeol Lee, Jin-Cheol Hong, Hee-Sub Lee : A Low-EMI 2Gbps Clock-Aligned-to-Data Intra-Panel Interface (CADI) for TFT-LCD with the VSYNC-Embedded Clock and Equalization, SID Symp. Dig. Tech. Vol.41, pp.62-65, May. 2010

Seon-Kyoo Lee, Young-Hun Seo, Yunjae Suh, Hong-June Park, Jae-Yoon Sim : A 1 GHz ADPLL with a 1.25ps Minimum-Resolution Sub-Exponent TDC in 0.18μm CMOS, Int. Solid-State Cir. Conf. (ISSCC), pp.482-483, Feb. 2010

Soo-Min Lee, Jae-Yoon Sim, Hong-June Park : An Analytic Decision Method for the Feed-forward Equalizer Tap-Coefficients at Transmitter, Int. SoC Design Conf., pp.400-403, Nov. 2009

Jong-Hoon Kim, Jung-Bum Shin, Jae-Yoon Sim, Hong-June Park : A 5-7 Gbps Peak Detector for Serial-Link, Int. SoC Design Conf., Nov. 2009

Seon-Kyoo Lee, Seung-Jin Park, Yunjae Suh, Hong-June Park, Jae-Yoon Sim : A 1.3μW 0.6V 8.7-ENOB Successive Approximation ADC in a 0.18μm CMOS, Symp. on VLSI Cir. (VLSIC), pp.242-243, Jun. 2009

Seon-Kyoo Lee, Young-Sang Kim, Hyunsoo Ha, Young-Hun Seo, Hong-June Park, Jae-Yoon Sim : A 650Mb/s-to-8Gb/s Referenceless CDR Circuit with Automatic Acquisition of Data Rate, Int. Solid-State Cir. Conf. (ISSCC), pp.184-185, Feb. 2009

Jun-Hyun Bae, Sang-Hune Park, Jae-Yoon Sim, Hong-June Park : A 1V 2.8Gbps 0.18μm CMOS Inverter-Based Digital Differential Transmitter with Calibrations of Termination and Mismatch, Int. SoC Design Conf., pp.346-349, Nov. 2008

Jae-Seung Lee, Jae-Yoon Sim, Hong-June Park : A Design Guide for 3-stage CMOS Nested Gm-C Operational Amplifier with Area or Current Minimization, Int. SoC Design Conf., pp.9-12, Nov.

xi

Page 12: 영문 CV · Web view( 1999.2-2005.7: Senior Engineer, Memory Division, Samsung Electronics, Korea C. Honors and Awards: ... Park : All-synthesizable current-mode transmitter driver

2008 Seung-Jin Park, Suho Woo, Hyunsoo Ha, Yunjae Suh, Hong-June Park, Jae-Yoon Sim : A Transistor-

Based Background Self-Calibration for Reducing PVT Sensitivity with Design Example of an Adaptive Bandwidth PLL, Asian Solid-State Cir. Conf. (ASSCC), pp.433-436, Nov. 2008

Seon-Kyoo Lee, Dong-Woo Jee, Yunjae Suh, Hong-June Park, Jae-Yoon Sim : A 8 GByte/s Transceiver with Current-Balanced Pseudo-Differential Signaling for Memory Interface, Asian Solid-State Cir. Conf. (ASSCC), pp.237-240, Nov. 2008

Hae-Kang Jung, Kyoungho Lee, Jong-Sam Kim, Jae-Jin Lee, Jae-Yoon Sim, Hong-June Park : A 4Gbps 3-bit parallel Transmitter with the Crosstalk-Induced Jitter Compensation Using TX Data Timing Control, Asian Solid-State Cir. Conf. (ASSCC), pp.201-204, Nov. 2008

Dong-Woo Jee, Seung-Jin Park, Hong-June Park, Jae-Yoon Sim : A Low-Voltage OP Amp with Digitally Controlled Algorithmic Approximation, Custom Integrated .Cir. Conf. (CICC), pp.449-502, Sep. 2008

Hyung-Joon Chi, Jae-Seung Lee, Seong-Hwan Jeon, Seung-Jun Bae, Jae-Yoon Sim, Hong-June Park : A 3.2Gb/s 8b Single-Ended Integrating DFE RX for 2-Drop DRAM Interface with Internal Reference Voltage and Digital Calibration, IEEE Int. Solid-State Cir. Conf. (ISSCC), pp.112-113, Feb. 2008

Seon-Kyoo Lee, Yong-Sang Kim, Hong-June Park, Jae-Yoon Sim : A 5 Gb/s 16-bit transmitter with segmented group-inversion encoding, Int. SoC Design Conf., pp.223-226, Oct. 2007

Kyoungho Lee, Hyun-Bae Lee, Hae-Kang Jung, Hong-June Park, Jae-Yoon Sim : A serpentine guard trace to reduce the far-end crosstalk induced jitter of parallel microstrip lines, Int. SoC Design Conf., pp.211-214, Oct. 2007

Jun-Hyun Bae, Jin-Ho Seo, Hwan-Seok Yeo, Jae-Whui Kim, Jae-Yoon Sim, Hong-June Park : An All-Digital 90-Degree Phase-Shift DLL with Loop-Embedded DCC for 1.6Gbps DDR Interface, Custom Int. Cir. Conf. (CICC), pp.373-376, Sep. 2007

Kyoungho Lee, Hyun-Bae Lee, Hae-Kang Jung, Jae-Yoon Sim, Hong-June Park : Serpentine Guard Trace to Reduce Far-end Crosstalk and Even-Odd Mode Velocity Mismatch of Microstrip Lines by More than 40%, Electronic Components and Tech. Conf., pp.329-332, Jun. 2007

Young-Sang Kim, Seung-Jin Park, Yong-Sub Kim, Dong-Bi Jang, Seh-Woong Jeong, Hong-June Park, Jae-Yoon Sim : A 40-to-800MHz Locking Multi-Phase DLL, Int. Solid-State Cir. Conf. (ISSCC), pp.306-307, Feb. 2007

Jae-Yoon Sim, Young-Gu Gang, Kyu-Nam Lim, Joong-Yong Choi, Sang-Keun Kwak, Ki-Chul Chun, Jei-Hwan Yoo, Dong-Il Seo, Soo-In Cho : Charge-transferred presensing and efficiently precharged negative word-line schemes for low-voltage DRAMs, Symp. on VLSI Cir.(VLSIC), pp.289-292, 2003

Jae-Yoon Sim, Kee-Won Kwon, Jong-Hyun Choi, Seung-Hoon Lee, Dong-Min Kim, Hyung-Ryeol Hwang, Ki-Chul Chun, Young-Hoon Seo, Hong-Sun Hwang, Dong-Il Seo, Changhyun Kim, Soo-In Cho: A 1.0V 256Mb SDRAM with offset-compensated direct sensing and charge-recycled precharge schemes, Int. Solid-State Cir. Conf.(ISSCC), pp.310-311, 2003

Jae-Yoon Sim, Hongil Yoon, Ki-Chul Chun, Hyun-Seok Lee, Sang-Pyo Hong, Soo-Young Kim, Min-Soo Kim, Kyu-Chan Lee, Jei-Hwan Yoo, Dong-Il Seo, Soo-In Cho: Double boosting pump, hybrid current sense amplifier, and binary weighted temperature sensor adjustment schemes for 1.8V 128Mb mobile DRAMs, Symp. on VLSI Cir.(VLSIC), pp.294-297, 2002

Hongil Yoon, Jae-Yoon Sim, Hyun-Seok Lee, Kyu-Nam Lim, Nam-Jong Kim, Kem-Yong Kim, Sang-Man Byun, W. S. Yang, C. H. Choi, H. S. Jeong, Jei-Hwan Yoo, Dong-Il Seo, Kinam Kim, Byung-Il Ryu, Chang-Gyu Hwang: A 4 Gb DDR SDRAM with gain-controlled pre-sensing and reference bitline calibration schemes in the twisted open bitline architecture, Int. Solid-State Cir. Conf.(ISSCC), pp.378 -379, 2001

Jae-Yoon Sim, Young-Soo Sohn, Hong-June Park, Changhyun Kim, Soo-In Cho: 840 Mb/s CMOS demultiplexed equalizing transceiver for DRAM-to processor communication, Symp. on VLSI Cir.(VLSIC), pp.23-24, 1999

Jae-Yoon Sim, Hong-June Park, Soo-In Cho: 1Gb/s current mode bi-directional I/O buffer, Symp. on VLSI Cir.(VLSIC), pp.121-122, 1997

Chan-Kyung Kim, Jong-Ki Nam, Jae-Yoon Sim, Hong-June Park, Jong-Sun Kim, Soo-In Cho: Investigation of requirements for high-speed DRAM interface using Rambus-C as an example, Int.

xii

Page 13: 영문 CV · Web view( 1999.2-2005.7: Senior Engineer, Memory Division, Samsung Electronics, Korea C. Honors and Awards: ... Park : All-synthesizable current-mode transmitter driver

Conf. of VLSI and CAD, pp.118-120, 1997 Jae-Yoon Sim, Cheol-Hee Lee, Hong-June Park: A large-slew-rate fully differential folded cascode

CMOS OP amp with adaptive bias, Int. Conf. of VLSI and CAD, pp.59-62, 1995 Jae-Yoon Sim, Jong-Sun Kim, Kyung-Han Kim, Hong-June Park: A global minimum finding SPICE

model parameter extraction program using the fast simulated diffusion algorithm with application to BSIM1, BSIM3, level3 and Gummel-Poon models, Int. Conf. of VLSI and CAD, pp.135-138, 1993

C. Selected US Patents: US 9,671,811 : Low-power bandgap reference voltage generator using leakage current (2017.01.06) US 8,947,275 : Method and apparatus for calibrating digital background through capacitor division

and swapping for reducing capacitor mismatch effect of analog-to-digital converter (2015.02.03) US 8,421,661: Noise-shaping time to digital converter (TDC) using delta-sigma modulation method

(2013.04.16) US 8,373,444: Time-domain voltage comparator for analog-to-digital converter (2013.02.12) US 8,305,248: Sub-exponent time-to-digital converter using phase-difference enhancement device

(2012.11.06) US 8,212,428: Portable power supply apparatus for generating microwave-excited microplasmas

(2012.07.03) US 8,187,265: Coagulation apparatus using cold plasma (2012.05.29) US 8,159,310: Microstrip transmission line structure with vertical stubs for reducing far-end

crosstalk (2012.04.17) US 7,705,644 : Wide range multi-phase delay locked loop circuit including delay matrix

(2008.04.27) US 7,333,378 : Memory device that recycles a signal charge (2008.02.19) US 7,336,121 : Negative voltage generator for a semiconductor memory device (2008.02.26) US 7,002,872 : Semiconductor memory device with a decoupling capacitor (2006.02.21) US 7,023,262 : Negative voltage generator for a semiconductor memory device (2006.04.04) US 7,091,758 : Power-on reset circuit, semiconductor integrated circuit device including the same

and method for generating a power-on reset signal (2006.08.15) US 7,102,423 : Voltage boosting circuit and method of generating boosting voltage, capable of

alleviating effects of high voltage stress (2006.09.05) US 7,106,127 : Temperature sensor and method for detecting trip temperature of a temperature

sensor (2006.09.12) US 7,113,436 : Sense amplifying circuit for a semiconductor memory with improved data read

ability at a low supply voltage (2006.09.26) US 6,937,087 : Temperature sensor and method for detecting trip temperature of a temperature

sensor (2004) US 6,700,436 : Method and circuit for generating a high voltage (2004) US 6,542,432 : Sub word line drive circuit for semiconductor memory device (2003) US 6,545,923 : Negatively biased word line scheme for a semiconductor memory device (2003) US 6,424,578 : Voltage detecting circuit for semiconductor memory device (2002) US 6,424,577 : Sense amp. circuit for use in a semiconductor memory device (2002) US 6,348,815 : Input buffer circuit (2002) US 6,456,555 : Voltage detecting circuit for semiconductor device (2002) US 6,473,348 : Data sensing circuit of semiconductor memory (2002) US 6,476,646 : Sense amplifier of semiconductor integrated circuit (2002) US 6,483,351 : Input-output line sense amplifier having small current consumption and direct

current (2002) US 6,275,066 : Current-mode bi-directional input/output buffer for impedance matching (2001) US 6,326,815 : Sense amplifier of semiconductor integrated circuit (2000) US 6,075,384 : Current-mode bidirectional input/output buffer (2000) US 5,729,178 :Fully differential folded cascode CMOS operational amplifier having adaptive

biasing and common mode feedback circuits (1998)

Professional Activities:

xiii

Page 14: 영문 CV · Web view( 1999.2-2005.7: Senior Engineer, Memory Division, Samsung Electronics, Korea C. Honors and Awards: ... Park : All-synthesizable current-mode transmitter driver

A. Editor Positions Editor, Journal of Semiconductor Technology and Science, 2011 - present Editorial board, ISRN Electronics, 2011 - present Guest editor, Journal of Electrical and Computer Engineering, 2011 Guest editor, IEEE Journal of Solid-State Circuits, 2010

B. Conference Committee Technical program committee (Analog), IEEE A-SSCC, 20017 Technical program committee (Far-east), IEEE VLSI Symp., 2012 - 2017 Technical program committee (Wireline), IEEE ISSCC, 2008 - 2011 Technical program committee (Far-east), IEEE VLSI Symp., 2007 - 2009 Technical program committee (Memory), IEEE A-SSCC, 2007 - 2009 Technical program committee (Wireline), IEEE Int. SoC Conference, 2007 - 2009

C. Chair Positions Technical program committee Vice-Chair, IEEE A-SSCC, 20018 Technical program committee Vice-Co-Chair, IEEE A-SSCC, 20017 Session chair, IEEE VLSI Symp., 2013 Session chair, IEEE ISSCC, 2011 Session co-chair, IEEE ISSCC, 2009 Session chair, IEEE VLSI Symp., 2009 Session chair, IEEE Int. SoC Conference, 2009 Session co-chair, IEEE VLSI Symp., 2008 Session co-chair, IEEE ASSCC, 2008 Session chair, IEEE Int. SoC Conference, 2008 Session chair, IEEE Int. SoC Conference, 2007

xiv