· xls file · web view · 2017-09-14sdi id web link manufacturer model...
TRANSCRIPT
Sheet1
Page 1
SDI ID Web Link Manufacturer
56026 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=56026 10MW Solar Cell Line
86692 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86692 40 MWp
86683 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86683 5 inch
86598 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86598 Accretech
86069 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86069 Accretech / TSK
86283 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86283 Accretech / TSK
86068 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86068 Accretech / TSK
86087 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86087 Accretech / TSK
86079 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86079 Accretech / TSK
86618 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86618 Accretech / TSK
86070 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86070 Accretech / TSK
86462 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86462 Accretech / TSK
71858 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=71858 Accretech TSK
54226 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=54226 Accretech TSK
33668 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33668 ADE
86074 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86074 Advantest
86097 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86097 ADVANTEST
86073 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86073 Advantest
86096 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86096 ADVANTEST
86071 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86071 Advantest
86098 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86098 ADVANTEST
86099 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86099 ADVANTEST
86054 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86054 ADVANTEST
86053 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86053 ADVANTEST
86052 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86052 ADVANTEST
86072 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86072 Advantest
86022 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86022 Advantest
86021 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86021 Advantest
86020 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86020 Advantest
86019 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86019 Advantest
86061 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86061 Advantest
78639 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=78639 Advantest
86062 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86062 Advantest
86092 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86092 ADVANTEST
86077 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86077 Advantest
86093 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86093 ADVANTEST
86076 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86076 Advantest
86101 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86101 ADVANTEST
86055 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86055 ADVANTEST
86060 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86060 Advantest
86102 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86102 ADVANTEST
Sheet1
Page 2
86094 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86094 ADVANTEST
86075 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86075 Advantest
86095 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86095 ADVANTEST
86066 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86066 Advantest
86090 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86090 ADVANTEST
86063 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86063 Advantest
86091 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86091 ADVANTEST
86103 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86103 ADVANTEST
86065 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86065 Advantest
86064 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86064 Advantest
86078 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86078 Advantest
76604 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=76604 ADVANTEST
79588 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79588 Agilent
79589 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79589 Agilent
76605 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=76605 Agilent
85854 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85854 Agilent
85855 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85855 Agilent
86544 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86544 Agilent
86537 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86537 Agilent
85856 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85856 Agilent
85857 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85857 Agilent
86485 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86485 Agilent / HP / Verigy
84843 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84843 Agilent Tech
84844 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84844 Agilent Tech
84453 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84453 AIO
84452 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84452 AIO
84451 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84451 AIO
84450 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84450 AIO
84449 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84449 AIO
35535 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=35535 AIR LIQUIDE
33734 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33734 AIR LIQUIDE
33738 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33738 AIR LIQUIDE
33739 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33739 AIR PRODUCTS
9871 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=9871 AIR SYSTEM INTL
85859 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85859 Akrion
86626 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86626 Akrion
84430 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84430 AKRION
85131 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85131 Akrion
86587 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86587 Akrion
86627 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86627 Akrion
86630 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86630 Akrion
86629 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86629 Akrion
Sheet1
Page 3
86628 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86628 Akrion
85132 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85132 Akrion
35536 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=35536 AKRION
33741 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33741 AKRION
86603 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86603 Akrion
86486 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86486 AKT
16497 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=16497 Alcan Tech Canon
85823 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85823 Alcatel
86600 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86600 Alcatel
84947 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84947 Alcatel
9878 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=9878 ALESSI
33629 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33629 ALPHASEM
86614 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86614 AMAT
86674 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86674 AMAT
86675 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86675 AMAT
81833 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=81833 AMI
74262 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=74262 AMI Presco
70644 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=70644 AMI Presco
74263 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=74263 AMI Presco
74264 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=74264 AMI Presco
85995 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85995 Anelva
2669 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=2669 ANGELANTONI
10637 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=10637 Angelantoni
34740 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=34740 AP & S
86563 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86563 Applied Komatsu tech
11568 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=11568 Applied Materials
84472 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84472 APPLIED MATERIALS
86450 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86450 Applied Materials
86449 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86449 Applied Materials
86448 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86448 Applied Materials
85664 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85664 Applied Materials
85663 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85663 Applied Materials
85662 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85662 Applied Materials
86137 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86137 Applied Materials
85824 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85824 Applied Materials
85825 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85825 Applied Materials
85669 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85669 Applied Materials
85668 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85668 Applied Materials
85667 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85667 Applied Materials
85666 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85666 Applied Materials
85665 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85665 Applied Materials
84907 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84907 Applied Materials
Sheet1
Page 4
86143 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86143 Applied Materials
86142 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86142 Applied Materials
86141 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86141 Applied Materials
86140 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86140 Applied Materials
86139 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86139 Applied Materials
86138 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86138 Applied Materials
86057 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86057 Applied Materials
86056 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86056 Applied Materials
84859 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84859 Applied Materials
86206 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86206 Applied Materials
84908 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84908 Applied Materials
86128 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86128 Applied Materials
86132 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86132 Applied Materials
86131 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86131 Applied Materials
86130 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86130 Applied Materials
86129 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86129 Applied Materials
86144 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86144 Applied Materials
86145 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86145 Applied Materials
84909 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84909 Applied Materials
84835 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84835 Applied Materials
86631 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86631 Applied Materials
84981 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84981 Applied Materials
85927 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85927 Applied Materials
85926 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85926 Applied Materials
85928 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85928 Applied Materials
84910 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84910 Applied Materials
84912 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84912 Applied Materials
84911 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84911 Applied Materials
84913 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84913 Applied Materials
84860 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84860 Applied Materials
85996 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85996 Applied Materials
85106 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85106 Applied Materials
85999 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85999 Applied Materials
85998 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85998 Applied Materials
85997 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85997 Applied Materials
86000 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86000 Applied Materials
86006 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86006 Applied Materials
86005 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86005 Applied Materials
86004 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86004 Applied Materials
86003 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86003 Applied Materials
86002 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86002 Applied Materials
86001 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86001 Applied Materials
Sheet1
Page 5
86007 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86007 Applied Materials
86202 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86202 Applied Materials
86201 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86201 Applied Materials
86203 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86203 Applied Materials
84848 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84848 Applied Materials
84982 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84982 Applied Materials
84983 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84983 Applied Materials
83514 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=83514 Applied Materials
84914 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84914 Applied Materials
85826 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85826 Applied Materials
86422 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86422 Applied Materials
86133 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86133 Applied Materials
84466 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84466 APPLIED MATERIALS
3419 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=3419 Applied Materials
3419 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=3419 Applied Materials
84861 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84861 Applied Materials
84862 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84862 Applied Materials
84863 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84863 Applied Materials
84864 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84864 Applied Materials
86147 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86147 Applied Materials
86146 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86146 Applied Materials
86148 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86148 Applied Materials
86149 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86149 Applied Materials
84865 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84865 Applied Materials
86687 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86687 Applied Materials
85671 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85671 Applied Materials
85670 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85670 Applied Materials
85672 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85672 Applied Materials
86584 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86584 Applied Materials
86488 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86488 Applied Materials
85673 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85673 Applied Materials
85674 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85674 Applied Materials
86136 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86136 Applied Materials
86135 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86135 Applied Materials
86134 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86134 Applied Materials
85912 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85912 Applied Materials
85911 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85911 Applied Materials
84961 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84961 Applied Materials
84960 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84960 Applied Materials
86025 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86025 APPLIED MATERIALS
86123 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86123 Applied Materials
85656 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85656 Applied Materials
Sheet1
Page 6
85929 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85929 Applied Materials
84984 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84984 Applied Materials
86035 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86035 APPLIED MATERIALS
86695 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86695 Applied Materials
86697 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86697 Applied Materials
85930 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85930 Applied Materials
84985 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84985 Applied Materials
85931 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85931 Applied Materials
85932 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85932 Applied Materials
86166 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86166 Applied Materials
85942 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85942 Applied Materials
85941 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85941 Applied Materials
85940 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85940 Applied Materials
85939 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85939 Applied Materials
85938 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85938 Applied Materials
85937 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85937 Applied Materials
85936 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85936 Applied Materials
85935 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85935 Applied Materials
85934 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85934 Applied Materials
85933 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85933 Applied Materials
85946 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85946 Applied Materials
85945 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85945 Applied Materials
85944 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85944 Applied Materials
85943 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85943 Applied Materials
86110 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86110 Applied Materials
86109 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86109 Applied Materials
84465 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84465 APPLIED MATERIALS
86620 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86620 Applied Materials
86619 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86619 Applied Materials
85992 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85992 Applied Precision
86516 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86516 Applied Precision
86240 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86240 APT
86026 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86026 ASM
84872 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84872 ASM
85700 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85700 ASM
84873 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84873 ASM
84874 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84874 ASM
86693 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86693 ASM
85066 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85066 ASML
86435 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86435 ASML
86434 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86434 ASML
86433 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86433 ASML
Sheet1
Page 7
86432 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86432 ASML
86431 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86431 ASML
85067 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85067 ASML
35539 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=35539 ASSEMBLY AUTOMATI
76782 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=76782 ASTRODESIGN
86543 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86543 Asymtek
84765 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84765 Asyst
84841 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84841 Asyst
85979 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85979 Asyst
85978 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85978 Asyst
85977 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85977 Asyst
86579 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86579 Asyst
85980 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85980 Asyst Shinko
84948 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84948 Asyst Technologies
84949 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84949 Asyst Technologies
84950 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84950 Atcor
55865 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55865 ATMI
55864 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55864 ATMI
86167 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86167 AUGUST
84445 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84445 AUGUST
86617 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86617 August / Rudolph Techno
86698 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86698 August Technologies
85702 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85702 Aviza
84877 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84877 Aviza Technology Ltd
84876 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84876 Aviza Technology Ltd
84875 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84875 Aviza Technology Ltd
84883 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84883 Aviza Technology Ltd
84882 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84882 Aviza Technology Ltd
84881 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84881 Aviza Technology Ltd
84880 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84880 Aviza Technology Ltd
84879 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84879 Aviza Technology Ltd
84878 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84878 Aviza Technology Ltd
85127 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85127 Axcelis
85128 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85128 Axcelis
85130 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85130 Axcelis
85129 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85129 Axcelis
86526 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86526 Axcelis
53093 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=53093 Axcelis
86658 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86658 Axcelis
85652 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85652 Axcelis
86492 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86492 AXCELIS
54859 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=54859 Baccini
Sheet1
Page 8
77017 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=77017 Baccini
77013 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=77013 Baccini
77021 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=77021 Baccini
77022 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=77022 Baccini
77009 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=77009 Baccini
77010 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=77010 Baccini
86320 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86320 Balzers / Unaxis
9890 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=9890 BAY VOLTEX
70643 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=70643 Beijing Sevenstar Huacha
85655 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85655 BESI
85654 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85654 BESI
85110 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85110 Binder Precision
33671 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33671 BIORAD
33672 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33672 BIORAD
33673 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33673 BIORAD
14529 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=14529 BioRad
35543 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=35543 BIORAD
85062 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85062 Blue M
85063 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85063 Blue M
55911 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55911 BRANSON/IPC
86549 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86549 Brewer Science
86545 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86545 Brewer Science
86550 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86550 Brewer Science
86528 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86528 Brookfield
85981 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85981 Brooks
86491 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86491 BROOKS
86490 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86490 BROOKS
84429 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84429 BRUKER
86559 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86559 Bruker
86493 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86493 Bruker / Wyko
85064 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85064 BTU
84851 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84851 Buehler
84850 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84850 Buehler
84849 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84849 Buehler
33748 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33748 CAMALOT
86350 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86350 Cameca
86568 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86568 Canon
84431 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84431 CANON
68025 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=68025 Canon
85069 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85069 Canon
85068 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85068 Canon
85070 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85070 Canon
Sheet1
Page 9
85071 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85071 Canon
33617 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33617 CANON
85653 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85653 Canon
56144 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=56144 Centrotherm
56140 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=56140 CentroTherm
84866 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84866 Ci Science
80083 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80083 COLUSSI
86487 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86487 Complete
55866 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55866 CRANE ELECTRONICS
78638 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=78638 Credence
85060 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85060 Cressington
85982 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85982 Crest Ultrasonics
55868 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55868 CTI CRYOGENICS
55867 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55867 CTI CRYOGENICS
55915 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55915 CTI CRYOGENICS
33797 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33797 CTI CRYOGENICS
33798 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33798 CTI CRYOGENICS
85907 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85907 CyberOptics
86477 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86477 Cymer
86663 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86663 Cymer
86664 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86664 Cymer
86479 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86479 Cymer
33626 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33626 DAGE
35553 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=35553 DAGE
35555 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=35555 DAGE
9916 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=9916 DAGE
33623 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33623 DAGE
33624 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33624 DAGE
86111 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86111 DAGE
84442 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84442 DAGE PRECISION TOO
85061 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85061 Daitron
79586 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79586 DATA IO
86536 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86536 Datacon
86533 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86533 Dek
55869 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55869 DELATECH
85117 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85117 Delta Design
85116 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85116 Delta Design
59144 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=59144 Despatch
77666 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=77666 Digital Analysis
84986 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84986 Digital Instruments
86562 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86562 Disco
86262 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86262 Disco
Sheet1
Page 10
86261 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86261 Disco
86260 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86260 Disco
86263 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86263 Disco
86106 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86106 Disco
86257 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86257 Disco
86258 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86258 Disco
86484 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86484 Disco
86259 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86259 Disco
86265 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86265 Disco
86264 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86264 Disco
86690 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86690 DMS
86689 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86689 DMS
86686 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86686 DMS
86685 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86685 DMS
84425 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84425 DNS
84464 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84464 DNS
85865 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85865 DNS
85864 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85864 DNS
85863 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85863 DNS
85862 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85862 DNS
85861 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85861 DNS
85860 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85860 DNS
85867 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85867 DNS
85866 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85866 DNS
84493 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84493 DNS
84498 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84498 DNS
84495 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84495 DNS
84497 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84497 DNS
84496 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84496 DNS
84494 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84494 DNS
84976 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84976 DNS
84491 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84491 DNS
9928 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=9928 DNS
86591 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86591 DNS
85133 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85133 DNS
85134 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85134 DNS
85869 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85869 DNS
85868 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85868 DNS
86112 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86112 DNS
85135 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85135 DNS
86676 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86676 DNS
85137 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85137 DNS
Sheet1
Page 11
85136 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85136 DNS
9933 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=9933 DYNATEX
84951 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84951 E-SUN Systems
33800 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33800 EBARA
33801 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33801 EBARA
33802 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33802 EBARA
82922 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=82922 EBARA
82923 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=82923 EBARA
82924 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=82924 EBARA
79394 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79394 Ebara
79395 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79395 Ebara
85138 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85138 Ebara
85139 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85139 Ebara
86476 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86476 Ebara
85657 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85657 Ebara
85659 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85659 Ebara
85658 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85658 Ebara
86028 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86028 EBARA
84446 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84446 ECI
86548 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86548 ECI Technology
80031 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80031 EDA Industries
80082 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80082 EDA Industries
80042 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80042 EDA Industries
80052 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80052 EDA Industries
80040 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80040 EDA Industries
80041 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80041 EDA Industries
80080 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80080 EDA Industries
80001 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80001 EDA Industries
80030 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80030 EDA Industries
80045 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80045 EDA Industries
80081 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80081 EDA Industries
80084 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80084 EDA Industries
80029 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80029 EDA Industries
80032 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80032 EDA Industries
80033 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80033 EDA Industries ERNI
9940 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=9940 EDWARDS
81822 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=81822 Edwards
55870 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55870 EDWARDS
54524 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=54524 Edwards
54214 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=54214 Edwards
54216 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=54216 Edwards
54217 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=54217 Edwards
Sheet1
Page 12
54218 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=54218 Edwards
54219 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=54219 Edwards
54222 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=54222 Edwards
54220 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=54220 Edwards
54221 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=54221 Edwards
55871 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55871 EDWARDS
85092 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85092 Electroglas
85091 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85091 Electroglas
85090 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85090 Electroglas
85089 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85089 Electroglas
85088 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85088 Electroglas
85087 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85087 Electroglas
85086 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85086 Electroglas
85085 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85085 Electroglas
85084 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85084 Electroglas
85083 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85083 Electroglas
85082 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85082 Electroglas
85081 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85081 Electroglas
85080 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85080 Electroglas
85079 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85079 Electroglas
85078 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85078 Electroglas
85077 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85077 Electroglas
85076 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85076 Electroglas
85075 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85075 Electroglas
85074 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85074 Electroglas
86459 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86459 Electroglas
86458 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86458 Electroglas
86457 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86457 Electroglas
85093 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85093 Electroglas
85095 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85095 Electroglas
85094 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85094 Electroglas
78132 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=78132 Electroglas
85096 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85096 Electroglas
79885 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79885 Elind
79887 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79887 elind
79596 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79596 Elind
84852 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84852 EMI Vocus
35563 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=35563 ENI
86249 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86249 ENI
86239 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86239 ENI
85984 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85984 Entegris
85983 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85983 Entegris
Sheet1
Page 13
86570 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86570 Entegris
83513 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=83513 Entegris
86468 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86468 EO TECHNICS
86241 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86241 ESEC
33647 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33647 ESEC
86080 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86080 ESI
86500 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86500 ESI
86499 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86499 ESI
86498 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86498 ESI
86497 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86497 ESI
86496 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86496 ESI
86495 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86495 ESI
86501 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86501 ESI
86446 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86446 Evatec
86029 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86029 EVATEC / OERLIKON
84828 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84828 EVG
83515 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=83515 Extraction Systems
55875 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55875 FAITH TECHNOLOGY
9954 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=9954 FAITH TECHNOLOGY
86036 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86036 FEI
86294 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86294 FEI
86037 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86037 FEI
86292 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86292 FEI
85058 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85058 FEI
86300 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86300 FEI
86293 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86293 FEI
86295 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86295 FEI
85947 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85947 FEI
86168 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86168 FEI
85948 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85948 FEI
86325 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86325 FEI
86571 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86571 FEI
86575 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86575 FEI
85059 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85059 FEI
85949 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85949 FEI
14505 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=14505 FEI
86507 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86507 FEI
86235 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86235 FEI Company
85950 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85950 First Ten Angstroms
84954 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84954 Fluoroware
86326 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86326 FSI
85141 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85141 FSI
Sheet1
Page 14
83516 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=83516 FSI
85142 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85142 FSI
86684 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86684 FSI
85057 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85057 FSM
86578 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86578 FSM
35564 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=35564 FUSION
86455 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86455 Fusion
86454 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86454 Fusion
86437 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86437 Fusion
86436 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86436 Fusion
55880 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55880 FUSION
55879 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55879 FUSION
55878 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55878 FUSION
55877 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55877 FUSION
84486 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84486 GASONICS
84485 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84485 GASONICS
84428 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84428 GASONICS
84463 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84463 GASONICS
84462 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84462 GASONICS
84461 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84461 GASONICS
84460 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84460 GASONICS
84490 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84490 GASONICS
84489 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84489 GASONICS
84488 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84488 GASONICS
84853 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84853 Gatan
86577 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86577 Gatan
9961 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=9961 GCA TROPEL
85053 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85053 GeMeTec
85054 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85054 GEMETEC
85055 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85055 GEMETEC
85056 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85056 GEMETEC
79600 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79600 Gigi Molina Brevetti Plast
76735 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=76735 GL Automation
76736 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=76736 GL Automation
76737 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=76737 GL Automation
76738 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=76738 GL Automation
76739 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=76739 GL Automation
79892 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79892 Gossen Konstanter
86590 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86590 GSI
86505 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86505 GSI
86504 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86504 GSI
86503 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86503 GSI
Sheet1
Page 15
86502 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86502 GSI
85923 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85923 GSI Lumonics
85922 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85922 GSI Lumonics
85921 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85921 GSI Lumonics
85920 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85920 GSI Lumonics
85919 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85919 GSI Lumonics
85918 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85918 GSI Lumonics
85917 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85917 GSI Lumonics
85916 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85916 GSI Lumonics
84441 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84441 GSI LUMONICS
85118 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85118 GSI Lumonics Inc.
71907 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=71907 Hamamatsu
86480 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86480 Hamamatsu
86508 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86508 HAMAMATSU
84952 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84952 Harmotec
85049 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85049 Hermes Microvision
86296 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86296 Hitachi
85050 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85050 Hitachi
85051 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85051 Hitachi
86290 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86290 Hitachi
86089 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86089 Hitachi
86169 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86169 HITACHI
85951 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85951 Hitachi
86463 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86463 HITACHI
85052 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85052 Hitachi
85952 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85952 Hitachi
86030 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86030 HITACHI
86170 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86170 HITACHI
86275 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86275 Hitachi
86606 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86606 Hitachi
85040 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85040 Hitachi
85041 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85041 Hitachi
85042 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85042 Hitachi
86616 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86616 Hitachi
85043 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85043 Hitachi
86574 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86574 Hitachi
86171 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86171 HITACHI
86175 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86175 HITACHI
86174 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86174 HITACHI
86173 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86173 HITACHI
86172 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86172 HITACHI
86588 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86588 Hitachi
Sheet1
Page 16
86553 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86553 Hitachi
85044 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85044 Hitachi
86177 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86177 HITACHI
86176 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86176 HITACHI
86178 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86178 HITACHI
84839 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84839 Hitachi
86299 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86299 Hitachi
85045 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85045 Hitachi
86298 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86298 Hitachi
85046 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85046 Hitachi
86297 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86297 Hitachi
9967 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=9967 HITACHI
78166 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=78166 Hitachi
78165 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=78165 Hitachi
79138 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79138 Hitachi
85047 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85047 Hitachi
86509 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86509 HITACHI
86510 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86510 HITACHI
85048 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85048 Horiba
84953 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84953 Hugle
84426 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84426 HUNT
84854 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84854 Hypersonic
86681 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86681 Hypervision
86680 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86680 IMS
33751 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33751 IMTEC
35566 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=35566 IMTEC
56141 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=56141 Innolas
86108 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86108 INVALID[INVALID[Evest]]
55883 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55883 ION TECH
86678 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86678 IPEC
86323 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86323 IPEC/Westech
11069 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=11069 IRVINE OPTICAL
85016 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85016 Irvine Optical Co.
35569 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=35569 IWASHITA
86558 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86558 JA Wollam
86554 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86554 Jandel Engineering
84435 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84435 JEOL
86615 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86615 JEOL
85018 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85018 JEOL
85017 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85017 JEOL
86179 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86179 JEOL
15055 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=15055 Jeol
Sheet1
Page 17
85019 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85019 JEOL
85020 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85020 JEOL
85021 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85021 JEOL
56310 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=56310 Jonas and Redmann
86699 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86699 JORDAN VALLEY
79595 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79595 K Tech Engineering
33638 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33638 K&S
33630 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33630 K&S
33631 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33631 K&S
33639 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33639 K&S
33633 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33633 K&S
35571 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=35571 K&S
33824 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33824 K&S
9982 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=9982 KARL SUSS
76802 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=76802 KEITHLEY
85022 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85022 Keithley
79598 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79598 Keithley
86566 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86566 Keithley
86565 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86565 Keithley
18773 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=18773 Kensington Labs
18769 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=18769 Kensington Labs
18762 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=18762 Kensington Labs
18764 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=18764 Kensington Labs
18774 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=18774 Kensington Labs
18772 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=18772 Kensington Labs
18771 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=18771 Kensington Labs
18770 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=18770 Kensington Labs
18766 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=18766 Kensington Labs
18767 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=18767 Kensington Labs
18765 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=18765 Kensington Labs
18768 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=18768 Kensington Labs
18761 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=18761 Kensington Labs
84474 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84474 KIMMON
86607 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86607 Kinetic Systems
84833 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84833 KLA
86327 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86327 KLA
86696 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86696 KLA - Tencor
86582 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86582 KLA Tencor
86567 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86567 KLA Tencor
86611 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86611 KLA Tencor
86613 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86613 KLA Tencor
71632 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=71632 KLA-TENCOR
Sheet1
Page 18
1680 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=1680 KLA-TENCOR
85024 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85024 KLA-Tencor
85023 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85023 KLA-Tencor
86183 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86183 KLA-TENCOR
31242 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=31242 KLA-Tencor
85025 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85025 KLA-Tencor
86424 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86424 KLA-Tencor
85026 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85026 KLA-Tencor
86419 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86419 KLA-Tencor
85027 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85027 KLA-Tencor
76682 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=76682 KLA-TENCOR
86038 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86038 KLA-Tencor
85028 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85028 KLA-Tencor
86180 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86180 KLA-TENCOR
86181 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86181 KLA-TENCOR
86039 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86039 KLA-Tencor
15103 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=15103 KLA-TENCOR
86182 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86182 KLA-TENCOR
85029 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85029 KLA-Tencor
85030 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85030 KLA-Tencor
85031 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85031 KLA-Tencor
85033 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85033 KLA-Tencor
85032 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85032 KLA-Tencor
15057 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=15057 KLA-Tencor
85034 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85034 KLA-Tencor
85035 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85035 KLA-Tencor
85036 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85036 KLA-Tencor
85037 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85037 KLA-Tencor
85038 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85038 KLA-Tencor
86040 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86040 KLA-Tencor
86041 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86041 KLA-Tencor
86042 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86042 KLA-Tencor
33694 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33694 KLA-TENCOR
33695 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33695 KLA-TENCOR
33696 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33696 KLA-TENCOR
84492 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84492 KLA-TENCOR
85039 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85039 KLA-Tencor
55862 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55862 KLA-TENCOR
86107 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86107 KLA-Tencor
85954 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85954 KLA-Tencor
85953 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85953 KLA-Tencor
85957 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85957 KLA-Tencor
Sheet1
Page 19
85956 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85956 KLA-Tencor
85955 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85955 KLA-Tencor
85959 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85959 KLA-Tencor
85958 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85958 KLA-Tencor
85960 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85960 KLA-Tencor
86248 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86248 Kohyoung
84884 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84884 Kokusai
84887 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84887 Kokusai
84886 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84886 Kokusai
84885 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84885 Kokusai
84888 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84888 Kokusai
85705 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85705 Kokusai
85704 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85704 Kokusai
85703 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85703 Kokusai
85706 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85706 Kokusai
85742 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85742 Kokusai
85741 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85741 Kokusai
85740 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85740 Kokusai
85739 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85739 Kokusai
85738 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85738 Kokusai
85737 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85737 Kokusai
85736 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85736 Kokusai
85735 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85735 Kokusai
85734 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85734 Kokusai
85733 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85733 Kokusai
85732 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85732 Kokusai
85731 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85731 Kokusai
85730 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85730 Kokusai
85729 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85729 Kokusai
85728 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85728 Kokusai
85727 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85727 Kokusai
85726 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85726 Kokusai
85725 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85725 Kokusai
85724 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85724 Kokusai
85723 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85723 Kokusai
85722 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85722 Kokusai
85721 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85721 Kokusai
85720 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85720 Kokusai
85719 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85719 Kokusai
85718 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85718 Kokusai
85717 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85717 Kokusai
85716 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85716 Kokusai
Sheet1
Page 20
85715 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85715 Kokusai
85714 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85714 Kokusai
85713 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85713 Kokusai
85712 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85712 Kokusai
85711 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85711 Kokusai
85710 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85710 Kokusai
85709 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85709 Kokusai
85708 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85708 Kokusai
85707 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85707 Kokusai
85748 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85748 Kokusai
85747 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85747 Kokusai
85746 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85746 Kokusai
85745 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85745 Kokusai
85744 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85744 Kokusai
85743 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85743 Kokusai
85749 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85749 Kokusai
85752 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85752 Kokusai
85751 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85751 Kokusai
85750 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85750 Kokusai
86595 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86595 Kokusai
85756 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85756 Kokusai
85755 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85755 Kokusai
85754 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85754 Kokusai
85753 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85753 Kokusai
84889 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84889 Kokusai
85761 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85761 Kokusai
85760 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85760 Kokusai
85759 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85759 Kokusai
85758 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85758 Kokusai
85757 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85757 Kokusai
85107 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85107 Kurt J Lesker
86573 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86573 LAM
86609 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86609 LAM
84915 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84915 LAM
84916 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84916 LAM
84919 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84919 LAM
84918 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84918 LAM
84917 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84917 LAM
84920 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84920 LAM
86058 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86058 LAM
86511 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86511 LAM
85835 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85835 LAM Research
Sheet1
Page 21
85834 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85834 LAM Research
85833 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85833 LAM Research
85832 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85832 LAM Research
85831 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85831 LAM Research
85830 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85830 LAM Research
85829 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85829 LAM Research
85828 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85828 LAM Research
85827 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85827 LAM Research
85836 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85836 LAM Research
85837 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85837 LAM Research
85839 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85839 LAM Research
85838 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85838 LAM Research
85840 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85840 LAM Research
85841 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85841 LAM Research
2873 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=2873 LAM RESEARCH
86150 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86150 LAM Research
86153 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86153 LAM Research
86152 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86152 LAM Research
86151 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86151 LAM Research
86154 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86154 LAM Research
86156 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86156 LAM Research
86155 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86155 LAM Research
86157 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86157 LAM Research
86158 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86158 LAM Research
55885 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55885 LAM RESEARCH
86159 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86159 LAM Research
86160 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86160 LAM Research
86162 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86162 LAM Research
86161 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86161 LAM Research
85145 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85145 LAM Research Corp.
85144 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85144 LAM Research Corp.
85143 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85143 LAM Research Corp.
85146 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85146 LAM Research Corp.
84921 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84921 LAM Research Corp.
84928 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84928 LAM Research Corp.
84923 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84923 LAM Research Corp.
84922 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84922 LAM Research Corp.
84925 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84925 LAM Research Corp.
84924 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84924 LAM Research Corp.
84926 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84926 LAM Research Corp.
84927 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84927 LAM Research Corp.
86113 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86113 LAMBDA PHYSIK
Sheet1
Page 22
15066 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=15066 LAMBDA PHYSIK
86184 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86184 LASERTEC
85015 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85015 Lasertec
86602 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86602 Lasertech
86569 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86569 Leica
86232 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86232 Leica
86512 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86512 LEICA
86233 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86233 Leica
33697 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33697 LEICA
85961 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85961 Leica
86190 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86190 LEICA
86189 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86189 LEICA
86188 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86188 LEICA
86187 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86187 LEICA
86186 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86186 LEICA
86185 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86185 LEICA
86192 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86192 LEICA
86191 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86191 LEICA
33699 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33699 LEICA
86539 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86539 Leica
86700 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86700 Leica / Vistec
86426 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86426 Leitz
86421 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86421 Leitz
86420 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86420 Leitz
86423 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86423 Leitz
86193 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86193 LEITZ
35577 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=35577 LEYBOLD-HERAEUS
55917 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55917 LEYBOLD-HERAEUS
55916 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55916 LEYBOLD-HERAEUS
35578 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=35578 LEYBOLD-HERAEUS
35579 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=35579 LFE
33542 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33542 Liebherr
86031 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86031 LINTEC
15205 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=15205 Mactronix
84440 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84440 MACTRONIX
86445 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86445 Mactronix
33826 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33826 MACTRONIX
35580 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=35580 MARKEM
86530 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86530 MASS-PCB
86529 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86529 MASS-PCB
85908 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85908 MAT
86656 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86656 MATTSON
Sheet1
Page 23
86011 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86011 Mattson
86010 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86010 Mattson
86669 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86669 Mattson
85119 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85119 Mattson
85147 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85147 Mattson
86018 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86018 Mattson
86017 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86017 Mattson
86016 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86016 Mattson
86015 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86015 Mattson
86014 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86014 Mattson
86013 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86013 Mattson
86012 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86012 Mattson
85114 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85114 Mattson
86506 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86506 MATTSON
85115 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85115 Mattson Technology
84448 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84448 MAXIM INTEGRATED
84447 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84447 MAXIM INTEGRATED
79571 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79571 Mazzali
79572 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79572 Mazzali
78135 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=78135 Mazzali ELES
33791 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33791 MC SYSTEMS
80038 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80038 MDA Scientific
10011 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=10011 MDC
4007 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=4007 MDC (Materials Developm
85148 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85148 Mega Kinetics
85013 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85013 Metron
86583 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86583 Metron
86601 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86601 Metron
85962 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85962 Metryx
86230 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86230 Meyer Burger
33754 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33754 MGI
85113 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85113 Micro Control Co.
55888 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55888 MICROAUTOMATION
71902 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=71902 Microcontrol
85993 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85993 Micromanipulator
86644 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86644 Minami
86645 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86645 Minami
79593 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79593 Minato Electronics
79592 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79592 Minato Electronics
86466 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86466 Mitutoyo
86542 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86542 MIVA
35584 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=35584 MKS
Sheet1
Page 24
35585 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=35585 MKS
85120 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85120 MKS Instruments
85121 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85121 MKS Instruments
55860 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55860 MOSAID
84847 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84847 Mosaid
84846 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84846 Mosaid
84845 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84845 Mosaid
33829 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33829 MPM
86456 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86456 MRC
10019 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=10019 MRL INDUSTRIES
86043 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86043 MSP
85011 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85011 Multiprobe
85012 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85012 Multiprobe
85994 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85994 MultiProbe
86246 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86246 Multitest
86100 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86100 MULTITEST
84501 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84501 N&K TECHNOLOGY INC
86513 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86513 NANOMETRICS
86514 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86514 NANOMETRICS
33700 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33700 NANOMETRICS
33701 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33701 NANOMETRICS
33703 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33703 NANOMETRICS
33704 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33704 NANOMETRICS
84832 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84832 NANOMETRICS
86425 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86425 Nanometrics
86580 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86580 Nanometrics
85010 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85010 Nanometrics
86044 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86044 NANOMETRICS
85065 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85065 NCB Network
85009 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85009 Negevtech
14566 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=14566 Neslab
33649 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33649 NESLAB
10028 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=10028 NESLAB
10031 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=10031 NESLAB
33654 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33654 NESLAB
85646 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85646 Nextest
85645 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85645 Nextest
85647 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85647 Nextest
55890 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55890 NEY ULTRASONICS
86271 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86271 NGK
85963 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85963 Nicolet
85964 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85964 Nicolet
Sheet1
Page 25
86047 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86047 Nikon
10038 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=10038 NIKON
85072 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85072 Nikon
86207 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86207 Nikon
55892 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55892 NIKON
33708 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33708 NIKON
55910 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55910 NIKON
86541 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86541 Nikon
86540 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86540 Nikon
33711 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33711 NIKON
16275 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=16275 Nikon
85966 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85966 Nikon
85965 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85965 Nikon
16502 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=16502 Nikon
86048 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86048 Nikon
86515 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86515 NIKON
55891 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55891 NIKON
86557 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86557 Nikon
86646 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86646 Nitto
10046 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=10046 NITTO DENKO
86125 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86125 NITTO DENKO
86124 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86124 NITTO DENKO
86269 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86269 NITTO DENKO
10047 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=10047 NITTO DENKO
84858 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84858 Nitto Denko
86267 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86267 NITTO DENKO
86268 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86268 NITTO DENKO
86270 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86270 NITTO DENKO
86266 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86266 NITTO DENKO
84436 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84436 NORAN
84427 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84427 NOT LISTED
11071 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=11071 NOVELLUS
86682 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86682 Novellus
86324 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86324 Novellus
86418 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86418 Novellus
86694 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86694 Novellus
84434 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84434 NOVELLUS
85648 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85648 Novellus
85675 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85675 Novellus
85676 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85676 Novellus
85677 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85677 Novellus
86204 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86204 NOVELLUS
Sheet1
Page 26
85108 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85108 Novellus Systems
85109 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85109 Novellus Systems
84945 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84945 Novellus Systems
85660 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85660 Okamoto
86231 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86231 Okamoto
86127 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86127 OKAMOTO
86126 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86126 OKAMOTO
86194 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86194 Olympus
15207 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=15207 Olympus
86467 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86467 OLYMPUS
85968 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85968 Olympus
85967 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85967 Olympus
33713 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33713 OLYMPUS
33714 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33714 OLYMPUS
33758 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33758 ON SITE GAS SYSTEMS
84855 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84855 OnTrak Systems
84830 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84830 Orthodyne
86561 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86561 Oxford
86531 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86531 Oxford
71760 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=71760 Oxford Plasma Technolo
79712 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79712 Partial Line of
84955 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84955 Particle Meas Sys
86592 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86592 Particle Measuring Syst
86532 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86532 PATECH
86552 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86552 Perkin Elmer
85122 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85122 Perkin Elmer
85925 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85925 Philips
15061 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=15061 Philips
10055 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=10055 PHOENIX
86340 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86340 PHOENIX
86564 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86564 Picosun
86589 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86589 Picosun
85123 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85123 Picosun
86447 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86447 Plasmatherm
31246 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=31246 PMS
54210 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=54210 Poly Design Inc.
84500 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84500 POLYFLOW
84475 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84475 POLYFLOW
84439 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84439 POLYFLOW
85105 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85105 PREMTEK Internationa
86576 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86576 Prior Scientific
85124 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85124 Prior Scientific
Sheet1
Page 27
33716 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33716 PROMETRIX
35594 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=35594 PROMETRIX
86122 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86122 PSK
86121 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86121 PSK
86120 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86120 PSK
86119 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86119 PSK
85111 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85111 QUALITAU
85985 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85985 Qualmark
33761 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33761 QUINCY
86551 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86551 Quintel
77670 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=77670 Rasco
86668 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86668 RASCO
85008 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85008 Raytek
33765 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33765 RECIF
85986 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85986 RECIF Technologies
84959 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84959 RECIF Technologies
10064 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=10064 REDDISH ELECTRONIC
57773 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=57773 Rena
86555 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86555 Renishaw
33766 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33766 REVCO
85006 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85006 Revera
85007 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85007 Revera
85987 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85987 Ricor
35595 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=35595 RIGAKU
11072 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=11072 RIGAKU
86195 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86195 RIGAKU
86115 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86115 RORZE
86114 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86114 RORZE
84957 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84957 Rorze
84956 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84956 Rorze
76973 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=76973 Roth & Rau
56813 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=56813 Roth & Rau
84432 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84432 RUDOLPH
86197 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86197 RUDOLPH
86196 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86196 RUDOLPH
86612 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86612 Rudolph
85971 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85971 Rudolph
85970 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85970 Rudolph
85969 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85969 Rudolph
85973 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85973 Rudolph
85972 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85972 Rudolph
85974 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85974 Rudolph
Sheet1
Page 28
85000 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85000 Rudolph Research
85001 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85001 Rudolph Research
85002 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85002 Rudolph Technologies
85003 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85003 Rudolph Technologies
85005 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85005 Rudolph Technologies
85004 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85004 Rudolph Technologies
10072 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=10072 SAGAX
79602 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79602 Salon Teknopaja OY
86556 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86556 Samco
86164 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86164 SAMCO
86163 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86163 SAMCO
86165 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86165 SAMCO
79889 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79889 Sanitas EG
86581 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86581 Sankyo
85149 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85149 Santa Clara Plastics Des
84996 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84996 Scientek
84997 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84997 Scientek Technology
86593 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86593 SCP
86599 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86599 SCP
86198 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86198 SDI
10077 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=10077 SDI
10076 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=10076 SDI
35596 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=35596 SEIKO
86081 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86081 Seiko Epson
86082 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86082 Seiko Epson
86273 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86273 SEIKO EPSON
86199 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86199 SEIKO SEIKI
69879 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=69879 SEIKO SEIKI
69878 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=69878 SEIKO SEIKI
80366 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80366 SEIKO SEIKI
55896 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55896 SEIWA
20268 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=20268 SEKISUI
86465 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86465 SEMICS
84998 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84998 Semilab
54208 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=54208 Seminet
84999 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84999 SemiTest
84365 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84365 Semitool
84364 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84364 Semitool
84946 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84946 Semitool
84351 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84351 Semitool
84342 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84342 Semitool
85649 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85649 Semitool Inc.
Sheet1
Page 29
85150 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85150 Semsysco
85151 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85151 SEZ
86461 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86461 SEZ
85152 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85152 SEZ
35597 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=35597 SEZ
85870 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85870 SEZ Group
85871 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85871 SEZ Group
84944 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84944 Shibaura
84444 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84444 SHIBUYA
86652 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86652 Shibuya
86519 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86519 SHINKAWA
86289 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86289 Shinkawa
86288 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86288 Shinkawa
76610 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=76610 SHOWA
76611 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=76611 SHOWA ELECTRONICS
55897 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55897 SIGMA
84995 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84995 SII
86653 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86653 Sikama International, Inc.
84890 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84890 Silicon Valley Group
84891 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84891 Silicon Valley Group
85073 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85073 Silicon Valley Group
84892 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84892 Silicon Valley Group Mod
85157 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85157 SMC
84963 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84963 Sokudo
84964 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84964 Sokudo
86660 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86660 Solar Cell MFG. Line
73208 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=73208 Solitec
85975 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85975 Sonix
86475 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86475 Sonoscan
84994 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84994 Sopra
83505 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=83505 SORENSEN
85906 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85906 Sosul
84468 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84468 SPEC
84467 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84467 SPEC
84437 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84437 SPEC
55909 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55909 SPECTRONICS CORP
84857 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84857 Speedfam
84856 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84856 Speedfam
86280 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86280 ST Automation
80177 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80177 ST Automation
80178 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80178 ST Automation
80179 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80179 ST Automation
Sheet1
Page 30
80180 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80180 ST Automation
80181 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80181 ST Automation
80182 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80182 ST Automation
80183 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80183 ST Automation
80184 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80184 ST Automation
78133 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=78133 ST Automation
78137 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=78137 ST Automation
78138 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=78138 ST Automation
33770 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33770 STOKES
14584 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=14584 STS
79584 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79584 STS
86527 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86527 STS
86482 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86482 Suss
84993 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84993 Suss Microtec
85126 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85126 Suss Microtec
85125 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85125 Suss Microtec
84459 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84459 SVG
84458 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84458 SVG
84457 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84457 SVG
84456 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84456 SVG
84455 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84455 SVG
84454 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84454 SVG
86451 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86451 SVG
84966 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84966 SVG
84965 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84965 SVG
84893 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84893 SVG
33413 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33413 SYNAX
33414 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33414 SYNAX
79888 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79888 System General
78136 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=78136 Sytrama
80089 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80089 Sytrama
86274 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86274 Takatori
85909 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85909 Tazmo
86460 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86460 Tazmo/Semix
84958 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84958 Tec-sem
84471 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84471 TECHARMONIC
84470 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84470 TECHARMONIC
84473 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84473 TECHARMONIC
33720 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33720 TECHNICAL INSTRUME
35600 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=35600 TECHNICAL MFG. CORP
86023 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86023 TechWing Co., Ltd.
10099 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=10099 TEGAL
Sheet1
Page 31
79599 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79599 Tektronix
79601 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79601 Tektronix
79597 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79597 Tektronix
79590 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79590 Tektronix
85858 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85858 Tektronix
76613 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=76613 Tektronix
86610 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86610 Tel
86328 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86328 Tel
86608 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86608 Tel
84933 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84933 Tel Tokyo Electron
84932 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84932 Tel Tokyo Electron
84931 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84931 Tel Tokyo Electron
84930 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84930 Tel Tokyo Electron
84929 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84929 Tel Tokyo Electron
84934 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84934 Tel Tokyo Electron
84935 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84935 Tel Tokyo Electron
84936 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84936 Tel Tokyo Electron
86523 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86523 TEL Tokyo Electron
86522 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86522 TEL Tokyo Electron
86521 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86521 TEL Tokyo Electron
86520 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86520 TEL Tokyo Electron
84967 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84967 Tel Tokyo Electron
84968 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84968 Tel Tokyo Electron
84834 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84834 TEL Tokyo Electron
84894 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84894 Tel Tokyo Electron
84895 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84895 Tel Tokyo Electron
84896 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84896 Tel Tokyo Electron
84897 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84897 Tel Tokyo Electron
84899 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84899 Tel Tokyo Electron
84898 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84898 Tel Tokyo Electron
84900 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84900 Tel Tokyo Electron
84901 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84901 Tel Tokyo Electron
84902 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84902 Tel Tokyo Electron
84903 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84903 Tel Tokyo Electron
84904 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84904 Tel Tokyo Electron
85765 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85765 TEL Tokyo Electron
85764 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85764 TEL Tokyo Electron
85763 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85763 TEL Tokyo Electron
85762 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85762 TEL Tokyo Electron
85872 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85872 TEL Tokyo Electron
85650 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85650 TEL Tokyo Electron
85651 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85651 TEL Tokyo Electron
Sheet1
Page 32
85895 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85895 TEL Tokyo Electron
85894 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85894 TEL Tokyo Electron
85893 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85893 TEL Tokyo Electron
85892 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85892 TEL Tokyo Electron
85891 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85891 TEL Tokyo Electron
85890 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85890 TEL Tokyo Electron
85889 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85889 TEL Tokyo Electron
85888 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85888 TEL Tokyo Electron
85887 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85887 TEL Tokyo Electron
85886 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85886 TEL Tokyo Electron
85885 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85885 TEL Tokyo Electron
85884 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85884 TEL Tokyo Electron
85883 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85883 TEL Tokyo Electron
85882 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85882 TEL Tokyo Electron
85881 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85881 TEL Tokyo Electron
85880 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85880 TEL Tokyo Electron
85879 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85879 TEL Tokyo Electron
85878 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85878 TEL Tokyo Electron
85877 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85877 TEL Tokyo Electron
85876 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85876 TEL Tokyo Electron
85875 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85875 TEL Tokyo Electron
85874 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85874 TEL Tokyo Electron
85873 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85873 TEL Tokyo Electron
85153 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85153 Tel Tokyo Electron
85154 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85154 Tel Tokyo Electron
85902 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85902 TEL Tokyo Electron
85901 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85901 TEL Tokyo Electron
85900 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85900 TEL Tokyo Electron
85899 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85899 TEL Tokyo Electron
85898 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85898 TEL Tokyo Electron
85897 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85897 TEL Tokyo Electron
85896 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85896 TEL Tokyo Electron
84905 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84905 Tel Tokyo Electron
84906 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84906 Tel Tokyo Electron
86688 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86688 TEL TOKYO ELECTRON
84969 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84969 Tel Tokyo Electron
84971 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84971 Tel Tokyo Electron
84970 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84970 Tel Tokyo Electron
84972 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84972 Tel Tokyo Electron
84973 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84973 Tel Tokyo Electron
84975 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84975 Tel Tokyo Electron
84974 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84974 Tel Tokyo Electron
Sheet1
Page 33
21064 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=21064 TEL TOKYO ELECTRON
21270 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=21270 TEL TOKYO ELECTRON
86008 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86008 TEL Tokyo Electron
85103 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85103 Tel Tokyo Electron
85102 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85102 Tel Tokyo Electron
85101 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85101 Tel Tokyo Electron
85100 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85100 Tel Tokyo Electron
85099 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85099 Tel Tokyo Electron
85098 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85098 Tel Tokyo Electron
85097 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85097 Tel Tokyo Electron
85104 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85104 Tel Tokyo Electron
78124 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=78124 TEL TOKYO ELECTRON
78131 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=78131 TEL TOKYO ELECTRON
86067 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86067 TEL Tokyo Electron
85905 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85905 TEL Tokyo Electron
85903 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85903 TEL Tokyo Electron
85904 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85904 TEL Tokyo Electron
86059 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86059 TEL Tokyo Electron
85910 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85910 TEL Tokyo Electron
84937 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84937 Tel Tokyo Electron
85842 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85842 TEL Tokyo Electron
2181 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=2181 TEL TOKYO ELECTRON
84938 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84938 Tel Tokyo Electron
85785 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85785 TEL Tokyo Electron
85782 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85782 TEL Tokyo Electron
85781 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85781 TEL Tokyo Electron
85780 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85780 TEL Tokyo Electron
85779 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85779 TEL Tokyo Electron
85777 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85777 TEL Tokyo Electron
85776 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85776 TEL Tokyo Electron
85775 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85775 TEL Tokyo Electron
85774 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85774 TEL Tokyo Electron
85773 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85773 TEL Tokyo Electron
85772 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85772 TEL Tokyo Electron
85771 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85771 TEL Tokyo Electron
85770 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85770 TEL Tokyo Electron
85769 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85769 TEL Tokyo Electron
85768 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85768 TEL Tokyo Electron
85767 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85767 TEL Tokyo Electron
85766 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85766 TEL Tokyo Electron
85784 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85784 TEL Tokyo Electron
85783 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85783 TEL Tokyo Electron
Sheet1
Page 34
85778 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85778 TEL Tokyo Electron
85789 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85789 TEL Tokyo Electron
85788 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85788 TEL Tokyo Electron
85787 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85787 TEL Tokyo Electron
85786 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85786 TEL Tokyo Electron
85790 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85790 TEL Tokyo Electron
85792 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85792 TEL Tokyo Electron
85791 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85791 TEL Tokyo Electron
85819 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85819 TEL Tokyo Electron
85818 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85818 TEL Tokyo Electron
85817 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85817 TEL Tokyo Electron
85816 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85816 TEL Tokyo Electron
85815 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85815 TEL Tokyo Electron
85814 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85814 TEL Tokyo Electron
85813 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85813 TEL Tokyo Electron
85812 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85812 TEL Tokyo Electron
85811 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85811 TEL Tokyo Electron
85810 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85810 TEL Tokyo Electron
85809 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85809 TEL Tokyo Electron
85808 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85808 TEL Tokyo Electron
85807 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85807 TEL Tokyo Electron
85806 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85806 TEL Tokyo Electron
85805 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85805 TEL Tokyo Electron
85804 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85804 TEL Tokyo Electron
85803 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85803 TEL Tokyo Electron
85802 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85802 TEL Tokyo Electron
85801 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85801 TEL Tokyo Electron
85800 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85800 TEL Tokyo Electron
85799 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85799 TEL Tokyo Electron
85798 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85798 TEL Tokyo Electron
85797 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85797 TEL Tokyo Electron
85796 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85796 TEL Tokyo Electron
85795 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85795 TEL Tokyo Electron
85794 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85794 TEL Tokyo Electron
85793 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85793 TEL Tokyo Electron
85820 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85820 TEL Tokyo Electron
85822 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85822 TEL Tokyo Electron
85821 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85821 TEL Tokyo Electron
84939 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84939 Tel Tokyo Electron
86034 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86034 TEL Tokyo Electron
84940 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84940 Tel Tokyo Electron
85846 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85846 TEL Tokyo Electron
Sheet1
Page 35
85845 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85845 TEL Tokyo Electron
85844 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85844 TEL Tokyo Electron
85843 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85843 TEL Tokyo Electron
85853 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85853 TEL Tokyo Electron
85852 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85852 TEL Tokyo Electron
85851 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85851 TEL Tokyo Electron
85850 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85850 TEL Tokyo Electron
85849 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85849 TEL Tokyo Electron
85848 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85848 TEL Tokyo Electron
85847 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85847 TEL Tokyo Electron
84867 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84867 Tel Tokyo Electron
84868 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84868 Tel Tokyo Electron
84869 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84869 Tel Tokyo Electron
85689 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85689 TEL Tokyo Electron
85688 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85688 TEL Tokyo Electron
85687 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85687 TEL Tokyo Electron
85686 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85686 TEL Tokyo Electron
85685 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85685 TEL Tokyo Electron
85684 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85684 TEL Tokyo Electron
85683 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85683 TEL Tokyo Electron
85682 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85682 TEL Tokyo Electron
85681 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85681 TEL Tokyo Electron
85680 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85680 TEL Tokyo Electron
85679 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85679 TEL Tokyo Electron
85678 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85678 TEL Tokyo Electron
85699 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85699 TEL Tokyo Electron
85697 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85697 TEL Tokyo Electron
85696 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85696 TEL Tokyo Electron
85695 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85695 TEL Tokyo Electron
85694 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85694 TEL Tokyo Electron
85693 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85693 TEL Tokyo Electron
85692 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85692 TEL Tokyo Electron
85691 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85691 TEL Tokyo Electron
85690 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85690 TEL Tokyo Electron
85698 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85698 TEL Tokyo Electron
84941 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84941 Tel Tokyo Electron
84942 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84942 Tel Tokyo Electron
76599 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=76599 TEL TOKYO ELECTRON
84499 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84499 TEMESCAL
55901 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55901 TEMESCAL
86116 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86116 TEMESCAL
69323 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=69323 Tempress Amtech
Sheet1
Page 36
86117 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86117 TEMPTRONIC
79886 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79886 Temptronic
78152 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=78152 Temptronic
85112 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85112 Temptronics
33722 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33722 TENCOR
35603 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=35603 TENCOR
10113 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=10113 TENCOR
33723 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33723 TENCOR
86084 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86084 TERADYNE
86085 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86085 TERADYNE
78361 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=78361 Teradyne
54232 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=54232 Teradyne
86105 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86105 TERADYNE
86083 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86083 TERADYNE
11078 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=11078 TERRA UNIVERSAL
33771 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33771 TERRA UNIVERSAL
86524 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86524 TES
84992 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84992 Therma-Wave
86654 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86654 Thermawave
86453 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86453 Thermco
86452 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86452 Thermco
55904 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55904 THERMIONICS
86525 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86525 THERMO ELECTRON
14593 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=14593 Thermonics
86605 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86605 Tokyo Electron
86604 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86604 Tokyo Electron
86596 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86596 Tokyo Electron
86585 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86585 Tokyo Electron
86597 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86597 Tokyo Electron
86586 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86586 Tokyo Electron
86560 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86560 Toray
55905 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55905 TOWA
86284 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86284 Towa
86285 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86285 Towa
86286 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86286 Towa
86287 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86287 Towa
86272 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86272 Toyo
10117 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=10117 TRION
86679 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86679 Trion Technology
33787 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33787 TSK
86430 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86430 Ultratech
86429 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86429 Ultratech
Sheet1
Page 37
86428 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86428 Ultratech
86427 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86427 Ultratech
86444 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86444 Ultratech
86443 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86443 Ultratech
86442 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86442 Ultratech
86441 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86441 Ultratech
86049 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86049 Ultratech
86118 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86118 ULTRATECH
86205 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86205 ULVAC
86009 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86009 Ulvac
84487 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84487 ULVAC
33809 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33809 ULVAC
84480 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84480 ULVAC
84943 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84943 UNAXIS Nextral
33773 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33773 UNITEK MIYACHI
84438 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84438 UNIVERSAL
84469 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84469 UP
86319 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86319 Varian
55906 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55906 VARIAN
33810 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33810 VARIAN
84433 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84433 VARIAN
86621 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86621 Varian
33812 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33812 VARIAN
84082 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84082 Varian
84962 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84962 Varian
85914 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85914 Varian
85913 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85913 Varian
85915 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85915 Varian
35606 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=35606 VECTOR/ECOSYS
55914 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55914 VEECO
35607 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=35607 VEECO
84987 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84987 Veeco
84989 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84989 Veeco
84988 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84988 Veeco
86200 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86200 VEECO
86045 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86045 Veeco / Digital Instrumen
86594 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86594 Veeko
86088 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86088 Verigy
86104 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86104 VERIGY
15619 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=15619 VERTEQ
10134 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=10134 VERTEQ
79594 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=79594 Vision Engineering
Sheet1
Page 38
10135 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=10135 VISION ENGINEERING
55859 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55859 WAFAB
86481 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86481 Watkins Johnson WJ
84870 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84870 WATKINS-JOHNSON
84871 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84871 WATKINS-JOHNSON
80238 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=80238 Weiss
86547 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86547 WENESCO
86546 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86546 WENESCO
86535 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86535 Wentworth
86534 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86534 Wentworth
33628 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=33628 WENTWORTH LABS
55913 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=55913 WENTWORTH LABS
10139 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=10139 WILD
85976 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85976 X-Tek
85156 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=85156 Yamaha
86440 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86440 Yield Engineering Syste
86439 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86439 Yield Engineering Syste
86438 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86438 Yield Engineering Syste
86572 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86572 Zeiss
84991 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84991 Zeiss
84990 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=84990 Zeiss
86046 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86046 Zeiss
86538 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86538 Zeiss
86494 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86494 ZEISS
Sheet1
Page 39
Model Description Quantity Version Condition
Monocyrstalline 10MW Solar Cell Manufacturing line with PECV 1 156 mm excellent
Solar Module Manufacturing Line 1 156 mm excellent
Partial Wafer fab Line 63 125 mm excellent
Win-Win 50 A5000 Hurricane Inspection 1 200mm
AS200 Prober 1 excellent
PG300RM Wafer Back Grinder 1 300 mm excellent
UF190A Prober 1 excellent
UF200 Prober 3 good
UF200 (Parts) OCR system for Prober 1 200 mm excellent
UF200S Prober 1 200 mm excellent
UF300 Prober 1 excellent
UF3000EX Prober -40 C to + 150 C 2 200 mm / 300 mmgood
APM 90A Automated Wafer Prober 1 200 mm missing parts
MHF300L Test head manipulators 7 200 mm good
Episcan 1000 Epi Metrology Spectromter, ONLINE 2110 Spec 1 excellent
M6300 Automated Test hANDLER 1 test excellent
M6541A/AD Test Handler 4 TEST excellent
M6541AD Automated Test hANDLER 1 test excellent
M6542AD Test Handler 2 TEST
M6741A Automated Test HANDLER 1 test excellent
M6741A/AD Test Handler 6 TEST
M6751A/AD Test Handler 4 TEST
M6751AD Test Handler 1 200 MM
M6751AD Test Handler 1 200 MM
M6751AD Test Handler 1 200 MM
M6771 Automated Test hANDLER 1 test excellent
M6771AD Pick & Place Memory Handler 1
M6771AD Pick & Place Memory Handler 1
M6771AD Pick & Place Memory Handler 1
M6771AD Pick & Place Memory Handler 1
T5335 Automated Test System 1 test excellent
T5335P (Spares) Spare Boards from test system 1 TEST for spares use
T5371 Automated Test System 1 test excellent
T5375 Automated Test System 2 TEST
T5375 (Parts) Automated Test System -Mother Board 1 TEST - PARTS excellent
T5377 Automated Test System 1 TEST
T5377 (Parts) Automated Test System -Mother Board 1 TEST - PARTS excellent
T5377/ T5377S Automated Test System 1 TEST
T5382A1 Test System 1 TEST excellent
T5383 Automated Test System 1 test excellent
T5501 Automated Test System 1 TEST
Sheet1
Page 40
T5571P Automated Test System 2 TEST
T5581 (Parts) Automated Test System -Mother Board 1 TEST - PARTS excellent
T5581/T5581H Automated Test System 2 TEST
T5581H Automated Test System 1 test excellent
T5585 Automated Test System 1 TEST
T5585 Automated Test System 1 test excellent
T5588 Automated Test System 1 TEST
T5592 Automated Test System 1 TEST
T5592 Automated Test System 1 Test excellent
T5593 Automated Test System 1 test excellent
T5593 (Parts) Automated Test System -Mother Board 1 TEST - PARTS excellent
TR6846 Digital Multimeter 1 200 mm excellent
1671G Logic Analyzer 1 test excellent
1671G Logic Analyzer 1 test excellent
41501B Parameter analyzer 1 200 mm excellent
54854A Oscilloscope 1
86100A Oscilloscope 1
B1500A Test 1 200mm
E5071C Test 1 200mm
N5181A Signal Generator 1
N6710B Power Supply 1
83000-F330t Automated Test System 1 test good
8510 Automatic Test Equipment 1 TEST
V3300 Memory Test Systems 1 TEST
8800 COAT 1 150
8800 COAT 1 150
8800 COAT 1 150
8800 COAT 1 150
8800 COAT 1 150
Dual Gas Cabinet for Boron Trichoride 1
Dual Gas Cabinet for Helium, 2ea Available wit 1 excellent
Dual Gas Cabinet for Nitrogen 1 excellent
801-4700605 Gas Cabinet 1
SVB-E8EXP Electric Blower, Explosion Proof 1 FACILITIES excellent
GAMA Batch Wafer Processing 1
HL2000 Batch wafer 0
HL2000 WET PROCESS 1 200
MP-2000 Manual cleaning hood , used for copper 1 200 mm
MP-2000 1 200mm
SA2300 Batch wafer 0
SA3000 Batch wafer 0
SA3000 Batch wafer 0
Sheet1
Page 41
SA3000 Batch wafer 0
UP V2 MP.2000 Etch solvent hood 1 200 mm
V2-HL.2000 Hybrid-Linear Automatic Acid Wet Station with 1
V2-SA.3200 Wet Process Station Parts Including Tanks only 1 excellent
V3 Cleaner 1 200mm
TCT40 G6 TCT40 G6 PVD line ( G4.5 cleaner + G4.5 rob 1 G6 excellent
MAS 8000 Plasma Photoresist Stripper 1 200 mm excellent
AMS 4200 Deep Reactive Ion Etch (DRIE) 1
ASM 180tD Detector 1 200mm
ASM 180TD Helium leak detector 1 Facilities
REL 4500 Manual Wafer Prober 1 150 mm good
Swissline 9006 Automatic Epoxy Die Bonder, 2ea Available 1 missing parts
complus Inspection 1 200mm
Ultima + HDP CVD CHAMBER 2
Ultima PLUS HDP CVD tool 1
885 Screen printer control box 706271 1 good
CP-885 PRODUCTION SCREEN PRINTER, 8"x8" 1
MSP Integrated Solar Cell Print Line 1 156 mm good
MSP-9155 SCREEN PRINTER 1 SOLAR
MTF-SI Solar cell (AG) flip tool 1 excellent
Cosmos II I-1201CX PVD Cu BarPVD (Physical Vapor Deposition) 1 300 mm
T600 TU5 BAKE OVEN 1 FACILITIES excellent
T600 TUS Clean Room Oven 1 FACILITIES good
TwinStep-B H3P04 Semi-Automatic H3PO4 2 stage Megasonic QD 1 200 mm excellent
1600 1 200mm
0020-0323 REV H Heat Exchanger 1 FACILITIES good
5202 DPS+ ETCHER 1 200
AMC 7811 atm. Epitaxial deposition 1 125 mm good
AMC 7811 atm. Epitaxial deposition 1 125 mm good
AMC 7811 atm. Epitaxial deposition 1 125 mm good
Centura 4.0 DPN Plus Chamber Decoupled Plasma Nitride 1 300 mm
Centura 4.0 DPN Plus Chamber Decoupled Plasma Nitride 1 300 mm
Centura 4.0 DPN Plus Chamber Decoupled Plasma Nitride 1 300 mm
CENTURA 5200 MXP+/OXIDE Oxide Etcher 1 150 mm
Centura AP Axiom HT Chamber Metal Etch 1 300 mm
Centura AP DPS II Polysilicon Polysilicon Etch 1 300 mm
Centura AP Ultima X HDP CVD (Chemical Vapor Deposition) 1 300 mm
Centura AP Ultima X HDP CVD (Chemical Vapor Deposition) 1 300 mm
Centura AP Ultima X HDP CVD (Chemical Vapor Deposition) 1 300 mm
Centura AP Ultima X HDP CVD (Chemical Vapor Deposition) 1 300 mm
Centura AP Ultima X HDP CVD (Chemical Vapor Deposition) 1 300 mm
Centura Carina Chamber, Positi Etch Chamber 1 300 MM
Sheet1
Page 42
CENTURA DPN+ CHAMBER Dry etch Chamber 1 300 mm
CENTURA DPN+ CHAMBER Dry etch Chamber 1 300 mm
CENTURA DPN+ CHAMBER Dry etch Chamber 1 300 mm
CENTURA DPN+ CHAMBER Dry etch Chamber 1 300 mm
CENTURA DPN+ CHAMBER Dry etch Chamber 1 300 mm
CENTURA DPN+ CHAMBER Dry etch Chamber 1 300 mm
Centura EPI Epitaxial Deposition 1 300 MM excellent
Centura EPI Epitaxial Deposition 1 300 MM excellent
Centura HDPCVD CVD 1 200 mm
CENTURA RTP XE Rapid Thermal Processing system 1 200 mm
Centura RTP XE RTP 2 CHAMBER 1 200 mm excellent
CENTURA W-CVD_3CH 3 chamber tungsten CVD system 1 200 mm
CENTURA WSI CHAMBER Wsi Chamber 1 300 mm
CENTURA WSI CHAMBER Wsi Chamber 1 300 mm
CENTURA WSI CHAMBER Wsi Chamber 1 300 mm
CENTURA WSI CHAMBER Wsi Chamber 1 300 mm
CENTURA_DPS G3_3CH Dry etcher 1 300 mm
CENTURA_eMAX CT_2CH Dry etcher 1 300 mm
Centura, MxP+ Oxide Etch 1 200 mm
Chamber TxZ chamber 2 300 mm excellent
Compass Wafer inspection 0
Complus Optical Inspection 1 300 MM
ComPLUS 3T Darkfield Inspection 1 300 mm
ComPLUS 3T Darkfield Inspection 1 300 mm
ComPLUS 4T Darkfield Inspection 1 300 mm
DPS II Metal W Metal Etch 1 300 MM
DPS II POLY Poly Etch 1 300 MM
DPS II POLY Poly Etch 1 300 MM
DT HART Dry Etch 1 300 MM
eMAX CT + Dry Oxide etch, 3 chambers 1 300 MM excellent
Endura 300 Aluminum Interconn PVD (Physical Vapor Deposition) 1 300 mm excellent
Endura 5500 PVD Tungsten barrier seed 1 200 mm
Endura II Chamber Parts/Peripherals 1 300 mm
Endura II Chamber Parts/Peripherals 1 300 mm
Endura II Chamber Parts/Peripherals 1 300 mm
Endura II Chamber: ALPS PVD (Physical Vapor Deposition) 1 300 mm
Endura II Chamber: eSIP PVD (Physical Vapor Deposition) 1 300 mm
Endura II Chamber: eSIP PVD (Physical Vapor Deposition) 1 300 mm
Endura II Chamber: eSIP PVD (Physical Vapor Deposition) 1 300 mm
Endura II Chamber: eSIP PVD (Physical Vapor Deposition) 1 300 mm
Endura II Chamber: eSIP PVD (Physical Vapor Deposition) 1 300 mm
Endura II Chamber: eSIP PVD (Physical Vapor Deposition) 1 300 mm
Sheet1
Page 43
Endura II Chamber: EXTENSA PVD (Physical Vapor Deposition) 1 300 mm
ENDURA RE-FLOW CHAMBER PVD chamber 1 300 mm
ENDURA RE-FLOW CHAMBER PVD chamber 1 300 mm
ENDURA TxZ CHAMBER TXZ Chamber 1 300 mm
Mirra 3400 CMP system with ONTRACK cleaner 1 200 mm
Nanosem 3D Critical Dimension Scanning Electron Microsco 2 300 MM
NANOSEM 3d Critical Dimension Scanning Electron Microsco 1 300 mm
Opal 7830i Enhanced CD-SEM 1 200 mm excellent
OPUS DRY ETCH 1 300 MM
Opus AdvantEdge Metal Etch 1 300 mm
Orbot WF720 Wafer inspection system 1 125 mm
P3I(ACP/3CH) 1 300 mm
P5000 CVD 1 200
P5000 CVD System, 2 Chamber TEOS Oxide CVD 1 200 MM good
P5000 CVD System, 2 Chamber TEOS Oxide CVD 1 200 MM good
P5000 CVD TEOS 1 200 mm
P5000 PECVD passivation 1 200 mm
P5000 - Mark II CVD TEOS 1 200 mm
P5000 - Mark II teos deposition 3 chamber 1 200 MM
P5000 MxP METAL(2 METAL & Metal etcher 1 150 mm
P5000 MxP METAL(2 METAL & Metal etcher 1 150 mm
P5000 MxP_METAL (Mainbody oMetal etcher 1 150 mm
P5000_MXP+_POLY Poly etcher 1 200 mm
PRODUCER GT Chemical Vapor Deposition (CVD) Cluster tool 1 300 MM excellent
Producer GT CVD High-Capacity Cluster Tool 1 300 MM excellent
Producer GT - UV Cure ChambePECVD (Chemical Vapor Deposition) 1 300 mm
Producer GT - UV Cure ChambePECVD (Chemical Vapor Deposition) 1 300 mm
Producer GT Eterna FCVD PECVD (Chemical Vapor Deposition) 1 300 mm
Producer III CVD 1 200mm
PRODUCER SE CVD 1 300 mm excellent
Producer SE APF PECVD (Chemical Vapor Deposition) 1 300 mm
Producer SE SACVD SACVD (Chemical Vapor Deposition) 1 300 mm
PRODUCER_SE_2CH CVD 1 300 mm
PRODUCER_SE_2CH CVD 1 300 mm
PRODUCER_SE_2CH CVD 1 300 mm
Quantum X Plus High Current Implanter 1 300 mm
Quantum X Plus High Current Implanter 1 300 mm
Quantum X Plus Ion Implanter 1 300 MM
Quantum X Plus Ion Implanter 1 300 MM
QUANTUM X+ SINGLE WAFER HIGH CURRENT IMPLANTE 1 300 mm excellent
REFLEXION CMP System 1 300 mm
Reflexion LK Multi-Process CMP 1
Sheet1
Page 44
SEMVision ADC Server SEM - Defect Review (DR) 1 300 mm
Semvision CX Critical Dimension Scanning Electron Microsco 1 200 mm
SEMVISION CX REVIEW STATION, SEM DEFECT 1 300 mm
Semvision G3 FIB Defect Review SEM 1 300 MM excellent
U-Vision 4 Wafer defect inspection 1 300 MM excellent
Uvision 200 Brightfield Inspection 1 300 mm
Uvision 200 Optical Inspection 1 300 MM
Uvision 3 Brightfield Inspection 1 300 mm
Uvision 5 Brightfield Inspection 1 300 mm
VERASEM 3D SEM 1 200 mm
VeritySEM 2 SEM - Critical Dimension (CD) Measurement 1 300 mm
VeritySEM 2 SEM - Critical Dimension (CD) Measurement 1 300 mm
VeritySEM 2 SEM - Critical Dimension (CD) Measurement 1 300 mm
VeritySEM 2 SEM - Critical Dimension (CD) Measurement 1 300 mm
VeritySEM 2 SEM - Critical Dimension (CD) Measurement 1 300 mm
VeritySEM 2 SEM - Critical Dimension (CD) Measurement 1 300 mm
VeritySEM 2 SEM - Critical Dimension (CD) Measurement 1 300 mm
VeritySEM 2 SEM - Critical Dimension (CD) Measurement 1 300 mm
VeritySEM 2 SEM - Critical Dimension (CD) Measurement 1 300 mm
VeritySEM 2 SEM - Critical Dimension (CD) Measurement 1 300 mm
VeritySEM 3 SEM - Critical Dimension (CD) Measurement 1 300 mm
VeritySEM 3 SEM - Critical Dimension (CD) Measurement 1 300 mm
VeritySEM 3 SEM - Critical Dimension (CD) Measurement 1 300 mm
VeritySEM 3 SEM - Critical Dimension (CD) Measurement 1 300 mm
VIISTA PLAD High dose implanter 1 300 mm
VIISTA PLAD High dose implanter 1 300 mm
WCVD P5000 WCVD 1 200
XR 80 High Current Implanter 1 200 mm excellent
XR Leap High Current , Low Energy Implanter 1 200 mm excellent
Precision Point VX2 Extension Probe Card Analyzer 1
Probeworx 300 Advanced Macro Defect Inspection System 1 300 mm good
3245 AL etch 1 good
A412 DUAL REACTOR VDF CURE, CU, PI 1 300 mm good
A412 Vertical LPCVD Furnace, SiN DUAL TUBE 1 300 MM
A412 Doped Poly Vertical LPCVD Furnace 1 300 mm
A412 Dual Reactor Vertical LPCVD Furnaces As doped 1 300 mm
A412 Dual Reactor Vertical LPCVD Furnaces As doped 1 300 mm
i-Hawk Extreme Wire Bonders qty 10 10 Assembly excellent
PAS 5500-100D I-Line Wafer Stepper 1 200 mm
PAS2500/40 wafer stepper 1 125 mm
PAS2500/40 wafer stepper 1 125 mm
PAS2500/40 wafer stepper 1 125 mm
Sheet1
Page 45
PAS2500/40 wafer stepper 1 125 mm
PAS2500/40 wafer stepper 1 125 mm
Twinscan XT-1400F DUV Wafer scanner 1 300 mm
SA-1MM Vibratory Bowl Feeder 1
SE6101 Manual prober with temperature controlled chuc 1 200 mm excellent
S-800 PCB Inspection 1 200mm
1150-V1315S SMIF Load port 150mm 2 missing parts
LPT 2200 Load ports, 200 mm 2 200 mm excellent
SMIF->Open Adaptor Plates (PNSMIF 1 200 mm
SMIF->Open Adaptor Plates (PNSMIF 1 200 mm
SMIF->Open Adaptor Plates (PNSMIF 1 200 mm
WMS-20 Wafer Loader 1 200mm
VHS1 Wafer Transport 1
WMS 2200 Materials Handling Equipment 1 200 mm
WMS-20 Materials Handling Equipment 1 200 mm
Ultra-6221 BOX WASHER 1 200 mm
CDO859 Burn Box 1
CDO859 Burn Box 1 excellent
AXI-S Macro Inspection 1 200 mm
NSX-90 METROLOGY 1 200
NSX 90 macro defect inspection system 1 200 mm excellent
AXI-S Macro Defect Inspection 1 300 MM excellent
RVP-300 Vertical Diffusion Furnace 1 300 mm
AVP 8000 AP Vertical LPCVD Furnaces 1 200 MM
AVP 8000 AP Vertical LPCVD Furnaces 1 200 MM
AVP 8000 AP Vertical LPCVD Furnaces 1 200 MM
AVP 8000 LP Vertical LPCVD Furnaces 1 200 MM
AVP 8000 LP Vertical LPCVD Furnaces 1 200 MM
AVP 8000 LP Vertical LPCVD Furnaces 1 200 MM
AVP 8000 LP Vertical LPCVD Furnaces 1 200 MM
AVP 8000 LP Vertical LPCVD Furnaces 1 200 MM
AVP 8000 LP Vertical LPCVD Furnaces 1 200 MM
200 PCU UV Cure 1 200 MM good
Compact 2 H2 reflow furnace 1 300 mm
Fusion 200PCU UV Cure 1 200 MM
Fusion 200PCU UV Cure 1 200 MM
Gemini G03 Dual Cahmber Asher 5 200 mm excellent
Kit 160 KeV GSD 200E Kit for upgrade 80-160KV 1 spares 200 mm good
NV-GSD-HE High Energy Implanter 1 200 mm good
Radiantstrip 320 Stripper/Asher 1 300 mm
INTEGRA Plasma cleaning 1 300 mm excellent
35MW Solar Cell Line Solar Cell Print line for Mono or Poly Crystalline 1 156 mm excellent
Sheet1
Page 46
Cell electrical tester Electrical Cell tester 1 156 mm excellent
CHIP AND CRACK CAMERA Chip and Crack camera 1 156 mm excellent
Dryer 1 Dryer 1 1 156 mm excellent
Dryer 2 Dryer 1 1 156 mm excellent
Screen Printer 2 screen printer 1 156 mm excellent
Screen Printer 3 screen printer 1 156 mm excellent
BA 810 Sputtering System (Refurbished) 1 810 MM X 1250 excellent
HT 6800 Recirculating Chiller 1 fair
L42500-4/ZM PECVD furnace 1 156 mm good
ESEC 2008 xP3 Die Bonder 1 300 mm
ESEC 2008 xP3 Die Bonder 1 300 mm
WTB BINDER Reliability Test Equipment 1
Q5 Overlay Metrology Tool 1
Q6 Overlay Metrology Tool 1
Q7 Overlay Metrology Tool 1
Q7 Q8 Overlay Metrology Tool 1 75mm-200mm excellent
Q8 Overlay Metroloty / CD Measurement Tool for 1
DCC-1406CY cleanrroom oven 1 OVEN
DDC-206CY cleanrroom oven 1 OVEN
4055/2 Plasma Surface Treatment Tool w/Shelf Electro 1
300x Stepper 1 200mm
CEE 200 FX coater 1 200mm
CEE 2000 FX Stepper 1 200mm
DV2THCBCO Metrology 1 200mm
DARTS 6-FOUP Buffer FOUP/FOSB Transfer 1 300 mm
MTX2000 wafer sorter 1 300 mm
MTX2000 wafer sorter 1 300 mm
D8 DISCOVER METROLOGY 1 200
dektac XT Metrology 1 200mm
NT3300 optical 3d profiling system 1 300 mm excellent
TCAS 181-8-81E36 reflow oven 1 300 mm
Ecomet-3000 Polishing & Grinding Sample Preparation Equi 1 300 mm
Ecomet-3000 Polishing & Grinding Sample Preparation Equi 1 300 mm
Ecomet-3000 Polishing & Grinding Sample Preparation Equi 1 300 mm
System 3600 Liquid Dispenser 1
IMS 6F SECONDARY ION MASS Spectrometer 1 Laboratory good
Anelva C-7100GT Stepper 1 200mm
FPA 2500 I3 STEPPER 1 200
FPA 5000 ES2+ 248 nm lithography exposure system 1 200 mm (300 mm algood
FPA-3000EX4 DUV Wafer scanner 1 200 MM
FPA-3000EX4 DUV Wafer scanner 1 200 MM
Fpa-3000iW I-Line Wafer Stepper 1 200 MM
Sheet1
Page 47
FPA-5500iZa I-Line Wafer Stepper 1 300 mm
MAS-8000 Microwave Ashing System 1
Surpass 320 Stripper/Asher 1 300 mm
Centronic E2000 Horizontal diffusion furnace for POCl3 doping 1 156 mm excellent
DO 12.000-200-FF-HTO-CAN-N Fast Firing Funace with Dryer 1 156mm excellent
Torus 300K Plasma Processing Equipment and Tools 1 300 mm
UG 50 E AUTOCLAVE FOR STERILIZATION 1 Laboratory excellent
G3.5 OLED Manufacturing line 1 G3.5 excellent
Tool Star Recorder 1
Duo SX (Spare Parts) SPARE PARTS FROM AUTOMATED TEST S 1 test for spares use
208HR Standalone Sputterer 1 Facilities
0C4-1622-HE, CTS-2000 Ultrasonic Cleaner 1 N/A
1020R Helium Compressor 1
8300 Helium Compressor w/8001 Controller 1
CryoTorr 10F Cryogenic Vacuum Pump 1 pump refurbished
MC 8096 Compressor 1
SCW Helium Compressor 1
SE 300 Solder Paste Inspection 1
5x00 6x00 and 7x00 Electronic Paddle for the excimer laser chambe30 Spares excellent
ELS 6400 248 nm lasers 4 Facilities good
ELS 6610 Excimer Laser 2 Facilities good
ELS-5400 KrF Laser 1 good
2400PC Wire Bond pull Tester 1 excellent
2400PC-BS500G 500g Ball Shear Load Cell for Dage 2400 1
2400PC-WP10KG 10 Kg Wire Pull Load Cell for Dage 2400 1
BT 24 Ball/Die Shear Tester 1 ASSEMBLY excellent
BT-22PC Microtester with 50gm or 200gm wire pull load c 1 excellent
BT-23 Microtester with LC200 Die Shear Load Cell 1
BT-2400 Bond Pull tester 1 200 mm excellent
4000HS METROLOGY 1 200
EMTEC CVP-80 Scientific and Laboratory Equipment 1
UNISITE 68 EPROM PROGRAMMER WITH USPIN 84 1 test excellent
2200 APM+ Bonder 1 200mm
Galaxy Screen Printer 1 200mm
CDO 858-4 Burn Box 1
Turbo Flex Test Handler, tri temp 1 TEST good
Turbo Flex Test Handler, tri temp 1 TEST excellent
CDF7210 Firing furnace / Reflow oven 1 156 mm square excellent
PH10 Adjustment system PH Adjustment system 1 good
NANO-SCOPE 3A AFM 1
3350 Dicing 1 200mm
DAD2H6 Dicing Saw 1 good
Sheet1
Page 48
DAD2H6T Dicing Saw 1 good
DAD341 Dicing Saw 1 good
DCS 141 Wafer spinner 3 good
DFD 8761 Dicing Saw 1 good
DFG 8540 Back grinder 1 200 mm excellent
DFG8560 Wafer Back Grinder 1 200 mm and 300 excellent
DFL 7340 Laser Saw for LED applications (Sapphire) 15 200 mm good
DFP8160 Wafer Polisher 1 good
DTU 151 CHILLER for CMP 1 Facilities good
DTU 1531 CHILLER for CMP 1 Facilities good
M2205 Wet Cleaning System 1 300 MM excellent
M2205 Wet Cleaning System 1 300 MM excellent
Tornado M208 Wet Cleaning System 1 300 MM excellent
Tornado M208 Wet Cleaning System 1 300 MM excellent
200W DNS INTERFACE 1 200
80B COAT/DEVELOP 1 150
FC-3000 Batch Wafer Processing 1 300 mm
FC-3000 Batch Wafer Processing 1 300 mm
FC-3000 Batch Wafer Processing 1 300 mm
FC-3000 Batch Wafer Processing 1 300 mm
FC-3000 Batch Wafer Processing 1 300 mm
FC-3000 Batch Wafer Processing 1 300 mm
MP-3000 Single Wafer Processing 1 300 mm
MP-3000 Single Wafer Processing 1 300 mm
SC-80R CHEMICAL CABINET 1 200
SC-80R COAT 1 200
SC-80R COAT 1 200
SC-RW8 COAT 1 200
SD-80R DEVELOPER 1 200
SD-80R DEVELOPER 1 200
SK-200 Photoresist coater and developer 1 200 MM
SK-80B COAT/DEVELOP 1 200
SKW-80A-BVP Photoresist Coater Developer 1 200 mm excellent
SP-80A Washer 1 200mm
SPW 813A Wet Process Equipment 1 200 MM
SS-W80A Wafer scrubber 1 200 MM
SU-3100 Single Wafer Processing 1 300 mm
SU-3100 Single Wafer Processing 1 300 mm
SU-3100 Wet processing system 1 300 mm excellent
SU3000 Wet Process Equipment 1 300 mm
WS-820L Wet - Process 1 200mm excellent
WS820L Wet Process Equipment 1 200 MM
Sheet1
Page 49
WS820L Wet Process Equipment 1 200 mm
DX111 Wafer Scriber 1 4 INCH for spares use
SEMI AUTO POD CLEANER SMIF POD CLEANER 1 200 mm
40x20 Dry Vacuum Pump, 42 CFM, 5 Pump Stages, Ulti1
50X20 Dry Vacuum Pump, 127 CFM, 6 Pump Stages, 11
80X25 Dry Vacuum Pump, 300 CFM, 6 Pump Stages 1
A10S DRY PUMP 1 PUMP excellent
A10S DRY PUMP 1 PUMP excellent
A10S DRY PUMP 1 PUMP excellent
A30W Vacuum Pump 1 Pump excellent
A30W Vacuum Pump 1 Pump excellent
Electroplate UFP-200/300A Wet Process Equipment 1 300 mm
Electroplate UFP-300A Wet Process Equipment 1 300 mm
FREX300 CMP W Polisher 1 300mm excellent
FREX300 Dielectric CMP 1 300 mm
FREX300 Tungsten CMP 1 300 mm
FREX300 Tungsten CMP 1 300 mm
UFP-300A AUTO PLATER 1 300 mm excellent
QL-10 STAND METROLOGY 1 200
QL-10EZ Test 1 200mm
PCBA 05431 DRIVER module for BIB oven 6 Reliability excellent
PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 3 1 Reliability excellent
PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 6 d 1 Reliability excellent
PCBA 05568 REV 1.3 Pattern test Driver module for BIB oven with 3 1 Reliability excellent
PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven 1 Reliability excellent
PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven 1 Reliability excellent
PCBA 05568 REV 1.4 Pattern test Driver PCB for BIB oven 1 Reliability excellent
PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven 1 Reliability excellent
PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven 1 Reliability excellent
PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven with 6 d 1 Reliability excellent
PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 driv 1 Reliability excellent
PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 driv 1 Reliability excellent
PCBA 05758 UBTS Diagnostic Board for BIB oven 1 Reliability excellent
PCBA 07009 PTDM TO ART 200 EXTENDER CARD 1 Reliability new in box
914796 RC BURN IN BOARD SOCKET Hard Metric Connectors256 Reliability new in box
DP40/EH250 Vacuum Dry Pump/Blower Package 1 excellent
E2M40 FSPX Rotary Vacuum Pump with oil filter 1 Pump good
IM Interface Module, 3ea Available 1
iQDP80 Dry Vacuum Pump 1 pump good
iQDP80 / QMB1200 Dry Vacuum Pump combo 1 pump good
iQDP80 / QMB1200 Dry Vacuum Pump combo 1 pump good
iQDP80 / QMB1200 Dry Vacuum Pump combo 1 pump good
Sheet1
Page 50
iQDP80 / QMB1200 Dry Vacuum Pump combo 1 pump good
iQDP80 / QMB1200 Dry Vacuum Pump combo 1 pump good
QDP80 Dry Vacuum Pump 1 pump good
QDP80 + QMB 250F Dry Vacuum Pump combo 1 pump good
QDP80 + QMB 250F Dry Vacuum Pump combo 1 pump good
Flash Module, 3ea Available 1
2001X Prober 1 200 mm
2001X Prober 1 200 mm
2001X Prober 1 200 mm
2001X Prober 1 200 mm
2001X Prober 1 200 mm
2001X Prober 1 200 mm
2001X Prober 1 200 mm
2001X Prober 1 200 mm
2001X Prober 1 200 mm
2001X Prober 1 200 mm
2001X Prober 1 200 mm
2001X Prober 1 200 mm
2001X Prober 1 200 mm
2001X Prober 1 200 mm
2001X Prober 1 200 mm
2001X Prober 1 200 mm
2001X Prober 1 200 mm
2001X Prober 1 200 mm
2001X Prober 1 200 mm
EG2001 prober 1 150 mm good
EG2001 prober 1 150 mm good
EG2001 prober 1 150 mm good
EG4090 Automatic Wafer Prober 1 200 mm excellent
EG4090u Automatic Wafer Probers 1 200 mm excellent
EG4090u Automatic Wafer Probers 1 200 mm excellent
Horizon 4085X Prober with an inker 1 200 mm good
SVTR Prober 1 200 mm
3232 power supply 0-3,2A current adj - 0 - 32 V volta 1 Electronics Test good
328 power supply 0-0,8A current adj - 0 - 32 V volta 1 Lab Equipment excellent
KL 1200W Laboratory Power supply 8 Electronics Test excellent
MSD Chemical slurry delivery cart 10 Facilities
MW5-21021 Rackmount RF Matchwork Control Unit 1
OEM-28B-01M10 RF GENERATOR 1 SPARES good
Spectrum 3013 RF Generator 1 SPARES refurbished
FOUP 300mm FOUP 1
FOUP 300mm FOUP 1
Sheet1
Page 51
HCT8020 Washer 1 200mm
RSPX-EUV-036 EUV Reticle stocker 1 Facilities excellent
SY2002 laser marker 1 assembly / SMT missing parts
2008HS3Plus BONDER 5 200 mm excellent
Cat 2000 Die Attacher, 3ea Available 1
9350 Laser Repair 1 good
9825 laser fuser 1 300 mm
9825 laser fuser 1 300 mm
9825 laser fuser 1 300 mm
9825 laser fuser 1 300 mm
9825 laser fuser 1 300 mm
9825 laser fuser 1 300 mm
9830 laser fuser 1 300 mm
BAK760 PVD / Sputter 1 100 mm excellent
STARLINE 300 PVD , Backside Metal Deposition 1 300 mm excellent
620 Bond/Mask Aligner 1 200 mm excellent
TMB 150 Photoresist Contamination Monitor System / To 1 Facilities excellent
RapiTran Wafer Transfer Station 1
RapiTran 2 Wafer Transfer Station 1 150 mm excellent
1265 DUAL BEAM FIB 1 300 mm
DB 835 FIB SEM 1 excellent
EXPIDA 1255S MANUAL LOAD, NO STEM, NGSEM COL 1 300 mm
F30 Technai FIB SEM 1 excellent
Micrion Vectra 986 FIB Microscope 1 Laboratory and Scientific
Nova nano 230 FIB SEM 1 refurbished
Quanta 200 3D FIB SEM 1 excellent
Quanta 400 FIB SEM 1 refurbished
Strata 400 Focused Ion Beam System 1
STRATA 400S Dual beam FIB 1
Strata 400S Focused Ion Beam System 1 300 mm
Strata 400S Dual Beam FIB SEM 1 good
T30 Microscope 1 200mm
Technia TF-20 Microscope 1 200mm
Tecnial TF-20 TEM Microscope 1 Laboratory and Scientific
V600FIB Focused Ion Beam System 1
XL835 Dual beam FIB workstation 1 excellent
TECNAI TF30 High resolution TEM 1 300 mm good
820 Dual Bem Fib SEM 1 200 mm excellent
FTA2000 Life Sciences, Particle Analysis 1
HTC-8020-FE Box Pod cleaner 1 200 mm
Mercury MP Acid processing system 1 150 mm good
ORION Wet Process Equipment 1 300 MM
Sheet1
Page 52
Polaris 3500 (Spares) Spares for DUV photoresist coater / developer t 1 spares good
Zeta Wet Process Equipment 1 200 mm
Zeta 300 Wet Cleaning System 1 300 MM excellent
128 Interferometer 1 200 mm
128 Interferometer 1 200mm
M150 UV Photoresist Stabilization 1
M150-PC UV Bake 1 150 mm good
M150-PC UV Bake 1 150 mm good
M150-PC UV Bake 1 150 mm
M150-PC UV Bake 1 150 mm
M200 PCU Photoresist Stabilization Tool, for up to 200mm 1
M200 PCU Photoresist Stabilization Tool, for up to 200mm 1
M200 PCU Photoresist Stabilization Tool, for up to 200mm 1
M200 PCU Photoresist Stabilization Tool, for up to 200mm 1
2000LL ASHER 1 200
2000LL ASHER 1 200
4800DL ASHER 1 200
AURA 2000 ASHER 1 200
AURA 2000 ASHER 1 200
AURA 2000 ASHER 1 200
AURA 2000 ASHER 1 200
IRIDIA ASHER 1 200
IRIDIA ASHER 1 200
IRIDIA ASHER 1 200
691- PIPS CMP system 1
691-PIPS Grinding 1 200mm
9000 Surface Flatness Analyzer 1 excellent
Elymat 2 Electrolytical Metal Analysis Tool 1 200 mm
Elymat III wafer contamination measurement 1 300 MM
WSPS 2 M S Spectrometers 1 200 mm
WSPS53 Spectrometers 1 300 MM
Custom Manual wet hood 3 200 mm good
IDSCOPE Wafer bar code reader 1 200 mm excellent
IDSCOPE Wafer bar code reader 1 200 mm excellent
IDSCOPE Wafer bar code reader 1 200 mm excellent
IDSCOPE Wafer bar code reader 1 200 mm excellent
IDSCOPE Wafer bar code reader 1 200 mm excellent
IEC625 Power supply Gossen Konstanter UOP 1 Electronics Test excellent
Lumonics Wafer Mark Sigma Cl CVD 1 200mm
M450 laser fuser 1 300 mm
M450 laser fuser 1 300 mm
M450 laser fuser 1 300 mm
Sheet1
Page 53
M450 laser fuser 1 300 mm good
WafeRepair M550 Laser Repair System 1 300 mm
WafeRepair M550 Laser Repair System 1 300 mm
WafeRepair M550 Laser Repair System 1 300 mm
WafeRepair M550 Laser Repair System 1 300 mm
WafeRepair M550 Laser Repair System 1 300 mm
WafeRepair M550 Laser Repair System 1 300 mm
WafeRepair M550 Laser Repair System 1 300 mm
WafeRepair M550 Laser Repair System 1 300 mm
WH4100 METROLOGY 1 200
Wafer Mark Sigma Clean Laser Marking 1 200 mm excellent
C7103 IC Back-side Lapping System 1 200 mm excellent
Phemos 1000 Photo emission microscope 1 excellent
PHEMOS2000 IR Imaging 1 excellent
WPO-67-B Wafer Production Equipment 1 200 mm
EScan 320 Ebeam inspection system 1 300 MM
3600N SEM 1 refurbished
AS5000 Scanning Electron Microscope 1 200 MM
BIST Memory Test System 1 test
CM700H Die Bonder 3 Assembly excellent
CM700X Wire Bonder 4 ASSEMBLY good
FB-2000A FIB Sem 1 200 mm
FB-2100 Focused Ion Beam System 1 300 mm
IS3000 e-beam WAFER INSPECTION 1 12 Inch good
LA-3100 Lithography Equipment 1 200 mm
LS6800 Particle Measurement 1 300 mm
M712XT ETCHER 1 300 mm
RS3000 Defect Review SEM 1 200 mm/300 mm
RS4000 In-line Defect Review SEM 0 200 mm / 300 mmexcellent
RS4000 SEM 1 200mm
RS4000E Scanning Electron Microscope 1 300 mm
RS4000E Scanning Electron Microscopes 1 300 mm
RS5000 Defect review sem 1 300 mm
RS5000 SEM 1 200mm
S-4500 FE SEM 1 200 mm
S-4500 SEM 1 200mm
S-4700 (w/EDAX) FE SEM with EDX 1
S-5000 FE SEM 1 200 mm
S-5000 FE SEM 1 200 mm
S-5000 FE SEM 1 200 mm
S-5000 FE SEM 1 200 mm
S-5000 SEM 1 200mm
Sheet1
Page 54
S-5500 SEM 1 200mm
S-7800 Critical Dimension Scanning Electron Microsco 1 200 mm
S-9220 (SMIF) CD-SEM 1 200 mm
S-9220 (SMIF) CD-SEM 1 200 mm
S-9360 CD-SEM 1 300 mm
S2700 Scanning Electron Microscope 1 Laboratory excellent
s3000H SEM 1 refurbished
S4500 FE SEM 1 200 mm
S4800 FE-SEM 1 refurbished
s5000 FE SEM 1 200 MM
S5200 FE-SEM 1 refurbished
S7000 CD SEM 1 150 mm excellent
S8620 CD SEM 1 150 mm for spares use
S8820 CD SEM 1 200 mm good
S8840 (Parts) upper column for an S-8840 CD SEM 1 200 mm new unused
Z-5700 AA spectrophotometer 1 Laboratory and Scientific
S-4800 FE SEM 1 300 mm excellent
S9380 FE SEM 1 300 mm excellent
emax energy Micro-analysis system for SEM 1
UPC-12100 300 MM FOUP CLEANER 1 300 mm
NOT LISTED BLOWER UNIT 1 200
Chip Eraser 1630 eprom eraser 1 300 mm
PTF1 IR Emission Microscope 1 excellent
XTS 60E / 256 Automated Test System 1 TEST excellent
QRT/S-A2002-51 Acculine/Accubath Quartz Tank, Laminated Hea1 200mm excellent
QZ-A1252-14 Acculine/Accubath Quartz Tank, Laminated Hea1 125mm excellent
ILS 700P Laser Edge Isolation 1 156mm excellent
EML-61D LED mounter 1 excellent
5cm Ion Source 1
AvantGaard 776 CMP system 1 excellent
AVANTI 472 cmp polisher 1 200 mm good
UltraSpec III Wafer Inspection microscope 1 3 to 6 inch excellent
UL208 wafer mapper 1 200 mm
Shotmatic 3 Epoxy Dispenser, 2ea Available 1
Alpha SE Interferometer 1 200mm
RM3 Metrology 1 200mm
7505 METROLOGY 1 200
7555 SEM 1 200mm
JEM-2500SE TEM Microscope 1 Laboratory and Scientific
JEM-2500SE TEM Microscope 1 Laboratory and Scientific
JSM-840A FE SEM 1
JWS-7505ZH CD Scanning Electron Microscope 1 100-200 mm good
Sheet1
Page 55
JWS-7515 DEFECT REVIEW SEM 1 200 mm
JWS7555S Defect review sem 1 200 mm
JWS7555S Scanning Electron Microscopes 1 200 mm
Q2 WHD A Loader for Centrotherm E2000 furnace 1 156 mm and 125 excellent
JVX 6200I X-ray reflectometer including small spot XRF 1 300 MM excellent
BK04A Blister tape applicator for microelectronic comp 1 Assembly excellent
1470-4 Automatic Hybrid Wedge Bonder, 100mm x 10 1
1471 Wire Bonder 2
1472 Automatic Wedge Bonder 1
1488 Turbo+ Automatic Gold Wire Bonder 1 excellent
6495 Semi-Automatic Epoxy Die Bonder with PRS 1 excellent
6496 Semi-Automatic Die Attacher 1
982-10 Dicing Saw with 10" XY Travel 1 excellent
RA120M Wafer Scriber 1 missing parts
487 PICO AMMETER 1 Electronics Test excellent
590 CV MEASUREMENT 1
617 Programmable Electrometer 1 Electronics Test excellent
S425 Test 1 200mm
S450 Test 6 200mm
25-3700-1125-02 Dual Paddle Robot 1 Equinox
25-3700-1125-02 Dual Paddle Robot 1 Equinox
25-3700-1425-06 25-3700-1425-06 1 AMAT CMP refurbished
25-3700-1425-08 25-3700-1425-08 1 AMAT STD refurbished
25-3700-1725-05 Dual Paddle Robot 1 Equinox
Dual Multi-link Dual Multi-link Robot 1 Gasonics
Dual Multi-link Dual Multi-link Robot 1 Gasonics
Dual Multi-link Dual Multi-link Robot 1 Gasonics
WFH3 Wafer Handler IR/PA 1
WFH3C Wafer Handler IR/PA 1 refurbished
WFH3C Wafer Handler IR/PA 1 excellent
WFH4D Wafer Handler 1 ESI
WFH4D TRI-CCD Wafer Handler w/ PA 1 ADE refurbished
VDK-200-AWCLM-STI DRYER 1 200
9101-21-21 Isolation Table 1 200mm
Alphastep 200 Profiler Refurbished 1 refurbished
RS35e resistivity measurement 1 6 good
ES37 E-Beam inspection 1 300 MM excellent
8100XP CD-SEM 1 200mm
Aleris Spectra CD Interferometer 1 200mm
INS3300 Inspection 1 200mm
Wafersight Inspection 1 200mm
2122 WAFER DEFECT INSPECTION 1 200 mm excellent
Sheet1
Page 56
2132 bright-field WAFER INSPECTION 1 200 mm excellent
2132 Optical Inspection 1 200 mm
2132 Optical Inspection 1 200 mm
2370 (BLAZER) BRIGHTFIELD WAFER INSPECTION 1 300 mm good
5100 XP registration tool / overlay measurement 1 200 mm excellent
5300 Overlay Measurement 1 200 mm
7700 Surfscan 1 125 mm
8100XP Critical Dimension Scanning Electron Microsco 1 200 mm
AIT 1 wafer particle detection 1 150 mm
AIT Surfscan Particle Counters 1 200 mm
AIT UV Dark field wafer particle inspection system 1 200 mm excellent
AIT UV++ DARKFIELD PATTERN INSPECTION 1 300 mm
AIT XUV Surface particle measurement 1 300 MM
AIT-UV WAFER PARTICLE INSPECTION 1 200 mm
AIT-XP WAFER PARTICLE INSPECTION 1 200 mm
AIT-XP PLUS PATTERNED WAFER INSPECTION, DARK FI 1 300 mm
ALPHA STEP 300 Profilometer 1 refurbished
ARCHER 10XT OPTICAL OVERLAY MEASUREMENT 1 300 mm
CRS1010 Wafer Inspection Microscopes 1 200 mm
ES31 Scanning Electron Microscope 1 300 MM good
ES32 Scanning Electron Microscope 1 300 MM
es810 Scanning Electron Microscopes 1 300 MM
es810 Scanning Electron Microscopes 1 300 MM
FT-750 Film Thickness Inspection System 1
INS3300 Wafer Inspection Microscopes 1 300 MM
LMS IPRO5 Photomask registration and CD Metrology syst 1 reticle
OP-2600 Interferometers 1 200 mm
RS 55 Resistivity Measurement 1 200 mm
RS55 Resistivity Measurement 1 200 mm
SCD-XT SCATTEROMETRY METROLOGY TOOL, NC 1 300 mm
SL3UV-URSA RETICLE INSPECTION 1 300 mm
SL586H-T3 RETICLE INSPECTION 1 Reticle
Surfscan 7700 Patterned Wafer Surface Inspection Tool 1
Surfscan AIT Patterned Wafer Surface Inspection Tool 1
Surfscan AIT 8020 Patterned Wafer Surface Inspection Tool with 1
ULTRA METROLOGY 1 200
UV 1050 FTIR surface film measurement 1 200 mm
UV 1080 UV Film Thickness Tool, for up to 200mm Wafe 1
Archer AIM+ Wafer Overlay Measurement 1 300 mm excellent
AIT XP Parts/Options 1 200 mm
AIT XP Parts/Options 1 200 mm
Archer AIM+ Overlay Measurement System 1 300 mm
Sheet1
Page 57
Archer AIM+ Overlay Measurement System 1 300 mm
Archer AIM+ Overlay Measurement System 1 300 mm
Puma 9000D Darkfield Inspection 1 300 mm
Puma 9000D Darkfield Inspection 1 300 mm
Quantox XP In-Line Electrical Monitoring and Characterizati 1 300 mm
KY3030VAL Inline 3D Solder Paste Inspectio 1 SMT good
DD-1223VN Vertical Furnace 1 300 MM
DJ-1236VN-DF Vertical Furnace 1 300 MM
DJ-1236VN-DF Vertical Furnace 1 300 MM
DJ-1236VN-DF Vertical Furnace, Process for TiN 1 300 MM
DJ-853V Vertical Furnace 1 200 mm
Quixace II Vertical Diffusion Furnace 1 300 mm
Quixace II Vertical Diffusion Furnace 1 300 mm
Quixace II Vertical Diffusion Furnace 1 300 mm
Quixace II ALD High-k Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Sheet1
Page 58
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II ALD TiN Vertical LPCVD Furnace 1 300 mm
Quixace II Doped Poly Vertical LPCVD Furnace 1 300 mm
Quixace II Doped Poly Vertical LPCVD Furnace 1 300 mm
Quixace II Doped Poly Vertical LPCVD Furnace 1 300 mm
Quixace II Doped Poly Vertical LPCVD Furnace 1 300 mm
Quixace II Doped Poly Vertical LPCVD Furnace 1 300 mm
Quixace II Doped Poly Vertical LPCVD Furnace 1 300 mm
Quixace II Nitride Vertical LPCVD Furnace 1 300 mm
Quixace II Poly Vertical LPCVD Furnace 1 300 mm
Quixace II Poly Vertical LPCVD Furnace 1 300 mm
Quixace II Poly Vertical LPCVD Furnace 1 300 mm
Quixace Ultimate Furnace 1 200mm
Quixace Ultimate ALD SiO2 Vertical Diffusion Furnace 1 300 mm
Quixace Ultimate ALD SiO2 Vertical Diffusion Furnace 1 300 mm
Quixace Ultimate ALD SiO2 Vertical Diffusion Furnace 1 300 mm
Quixace Ultimate ALD SiO2 Vertical Diffusion Furnace 1
Quixace Ultimate dj-1236vn-df Vertical Furnace 1 300 MM
Zestone-III(C) DJ-1223V Vertical Diffusion Furnace 1 300 mm
Zestone-III(C) DJ-1223V Vertical Diffusion Furnace 1 300 mm
Zestone-III(C) DJ-1223V Vertical Diffusion Furnace 1 300 mm
Zestone-III(C) DJ-1223V Vertical Diffusion Furnace 1 300 mm
Zestone-III(C) DJ-1223V Vertical Diffusion Furnace 1 300 mm
Custom Aluminium sputter system 1 200 mm
2300 Stretch ATM+VTM Plasma 1 200mm
2300 Versys W Plasma 1 200mm
RAINBOW 4428XL Nitride etcher 1 200 mm
TCP 9608 METAL ETCHER 1 200 mm
TCP9408SE Poly Etch 1 200 mm
TCP9408SE Poly Etch 1 200 mm
TCP9408SE Poly Etch 1 200 mm
TCP9408SE POLY ETCH / sti etch 1 200 mm
Vector CVD 1 200 MM excellent
2300 EXELAN FLEX Dielectric etch 3 CHAMBER 1 300 mm good
2300 Exelan Flex 45 Dielectric Etch 1 300 mm
Sheet1
Page 59
2300 Exelan Flex 45 Dielectric Etch 1 300 mm
2300 Exelan Flex 45 Dielectric Etch 1 300 mm
2300 Exelan Flex 45 Dielectric Etch 1 300 mm
2300 Exelan Flex 45 Dielectric Etch 1 300 mm
2300 Exelan Flex 45 Dielectric Etch 1 300 mm
2300 Exelan Flex 45 Dielectric Etch 1 300 mm
2300 Exelan Flex 45 Dielectric Etch 1 300 mm
2300 Exelan Flex 45 Dielectric Etch 1 300 mm
2300 Exelan Flex EX+ Dielectric Etch 1 300 mm
2300 KIYO Multi-Process Etch 1 300 mm
2300 Versys Kiyo Polysilicon Etch 1 300 mm
2300 Versys Kiyo Polysilicon Etch 1 300 mm
2300 Versys Kiyo45 Polysilicon Etch 1 300 mm
2300e5 Kiyo Polysilicon Etch 1 300 mm
4520 (spares) REMOTE CART 2 FACILITIES good
A4 4520 PM Dry etch Chamber 1 200 mm
A4 9400SE PM Dry etch Chamber 1 200 mm
A4 9400SE PM Dry etch Chamber 1 200 mm
A4 9400SE PM Dry etch Chamber 1 200 mm
A4 9600SE PM Dry etch Chamber 1 200 mm
A4 TM(Alliance) DRY ETCHER HUB 1 200 mm
A4 TM(Alliance) DRY ETCHER HUB 1 200 mm
Alliance4 4420XL DRY ETCHER 1 200 mm
Alliance4 9400SE dry etcher 1 200 mm
OnTrak DSS-200 Series II Wafer Scrubber for 200mm Wafers 1 200 mm good
Rainbow 4528 dry etcher 1 150 mm
Rainbow 4528 dry etcher 1 200 mm
TCP9400SE dry etcher 1 200 mm
TCP9400SE dry etcher 1 200 mm
2300 ELD Wet Process Equipment 1 300 MM
2300 ELD Wet Process Equipment 1 300 MM
2300 ELD Wet Process Equipment 1 300 MM
2300 Stretch ATM + VTM Poly etch and wet sink 1 300 MM
4428 Rainbow Nitride etcher 1 200 mm
4428XL Nitride etcher 1 200 mm
Rainbow 4428xl Nitride etcher 1 200 mm
Rainbow 4428xl Nitride etcher 1 200 mm
TCP 9608 Metal Etch 1 200 mm
TCP 9608 Metal Etch 1 200 mm
TCP 9608 SE Al Etch 1 200 mm
TCP9608SE Metal Etch 1 200 mm
COMPEX205 KrF Laser 1 200 mm excellent
Sheet1
Page 60
Novaline K2005 EXCIMER LASER 1 facilities good
MD2100 Reticle Inspection System 1 200 mm
Reticle Inspection Tool Reticle inspection 1 200 mm
Reticle inspection Inspection 1 200mm
E3610 CD-SEM 1 200mm
INM 200 Inspection Microscopes 4 200 mm excellent
INM300_DUV Microscope inspection station 1 300 mm good
INS 1000 Wafer Inspection Microscope 1 200 mm excellent
INS 2000 Defect Review System 1
INS3300 Macro-Defect 1 300 mm
INS3300 Wafer Inspection Microscope 1 200 mm/300 mm
INS3300 Wafer Inspection Microscope 1 200 mm/300 mm
INS3300 Wafer Inspection Microscope 1 200 mm/300 mm
INS3300 Wafer Inspection Microscope 1 200 mm/300 mm
INS3300 Wafer Inspection Microscope 1 200 mm/300 mm
INS3300 Wafer Inspection Microscope 1 200 mm/300 mm
MIS200 Wafer Inspection Microscope 1 200 mm
MIS200 Wafer Inspection Microscope 1 200 mm
SZ6 StereoZoom Microscope on Boom Stand with 1
Z16 APO Microscope 1 200mm
INM 200 Wafer Inspection Equipment 3 200 good
INM100+INS10 Wafer inspection microscope 1 150 mm
MPV CD2 AMC Wafer inspection microscope 1 125 mm
MPV CD2 AMC Wafer inspection microscope 1 125 mm
MPV-CD Wafer inspection microscope 1 125 mm
MPV-SP Wafer Inspection Microscope 1 200 mm
D30AC Mechanical Vacuum Pump 1
Turbotronik NT 1000/1500 Turbomolecular Vacuum Pump Controller 1
Turbovac 1500 Turbomolecular Vacuum Pump 1
Pump Rack Including Electrical Box 1
PDS-504 Plasma Cleaning Tool 1
FKV 3610 Fridge for photoresist 1 facilities excellent
RAD3010F12 DETAPER FOR BACKSIDE GRIND, DP 1 300 mm excellent
UKA-825 Automatic Wafer Transfer System 1 8" Wafers
AE2-600 WAFER SORTER 1 200
Eureka UKA625A3 Wafer sorter 1 100 mm good
Horizon HZN825P5 Wafer Transfer Tool for 200mm Wafers, 2ea Ava1
962 Touch Dry Jet Printer with Print Head 1
SV200WV Polishing 1 200mm
VHF300 Polishing 1 200mm
HMS-400P-II Front Side Glass Laminator 1 300 mm
2800 Epsilon Rapid Thermal Processing Equipment, Traditio 1 200mm
Sheet1
Page 61
3000 Standalone RTP Equipment 1 300 mm
3000 Standalone RTP Equipment 1 300 mm
Aspen 3 Strip Dual Chamber Asher 1 300 mm good
Aspen III Single Wafer Resist Stripper 1 300 MM excellent
AWP TiW wet etch 1 300 MM
Helios Standalone RTP Equipment 1 300 mm
Helios Standalone RTP Equipment 1 300 mm
Helios Standalone RTP Equipment 1 300 mm
Helios Standalone RTP Equipment 1 300 mm
Helios Standalone RTP Equipment 1 300 mm
Helios Standalone RTP Equipment 1 300 mm
Helios Standalone RTP Equipment 1 300 mm
SHS 2800 SF Rapid Thermal Anneal 1 200 mm
ETC 1 300 mm
SHS2800SF Rapid Thermal Anneal 1 200 mm
M310 METROLOGY 1 200
M310 METROLOGY 1 200
Climatest C320G5 Temperature and humidity testing chamber 1 Reliability excellent
Climatest C320G5 Temperature and humidity testing chamber 1 Reliability excellent
Tebis Burn-In Oven -Photodiode LC Y2K 1 Reliability good
8806 Analytical Probing System w/B/L MicroZoom Mic1
System 16 Toxic Gas Monitor 1 excellent
CSM/16 Automatic CV Plotter with 150mm DuoChuck, 1
DUO CHUCK CSM16 CV Measurement system 1 150 mm good
Megapure 6001 HC Chemical delivery system 1 Facilities
Extraction, Amine Total Molecular Base Real Time Monitor 1 Facilities
Extration Amine Lab 1 200mm
HTC-8020-FE Chamber 1 200mm
Mentor OC23 Mass Measurement 1 300 mm
DS271 Wire Saw (Wire Slicer) 31 jun 2011 excellent
1282 Wafer Transfer Station, 2ea Available 1
Abes III (10X) Burn-In Oven 1 Oven
2066 Wafer Scrubber 1
Micol Wafer Eraser 1 excellent
6100 Engineering Wafer Prober 1
MK-838SV flux 0
MK-BP2000 solder 0
1940 EPROM Programmer 1 Electronics Test excellent
1940 EPROM Programmer with additional memory 1 Electronics Test excellent
PJH3000 F profile projector 2 assembly / SMT good
20128 Mask Writer 1 200mm
NW100 Two Stage Heated Vacuum Valve, HPS DIV Jala1
Sheet1
Page 62
PAS Residual Gas Analyzer 1
AX8559 ASTeX Generator Ozone Generator 1 Parts excellent
Liquozone PrimO3 Ozone Generator 1 Parts excellent
4155 Memory Test System 1
MS4205 Memory Test System 1 TEST
MS4205 Memory Test System 1 TEST
MS4205 Memory Test System 1 TEST
SP200 Screen Printer 1
Eclipse mark II sputtering system 1 150 mm excellent
1024 3-Tube Horizontal Diffusion Furnace 1
2300XP1 PARTICLE DEPOSITION 1 Reticle
APF 2 Atomic Force Prober 1 300 MM
MP1 Atomic Force Prober 1 300 MM
MP1 Engineering Wafer Prober 1 300 mm
MT9308 test handler 1 test excellent
MT9928 Test Handler 2 TEST excellent
8000-CD METROLOGY 1 200
CALIPER MOSAIC Wafer Overlay Measurement 1 300 mm good
CALIPER_MOSAIC Wafer Overlay Measurement 1 300 mm good
Nanoline CD-50 CD Measurement Tool 1
Nanospec 181 Film Thickness Measurement System 1
Nanospec 2100 Film Thickness Measurement System with Irvine1
Nanospec 212 Film Thickness Measurement System with 125 1
NanoSpec 9000 Metrology Equipment, Wafer Inspection Equipm 1 200mm excellent
Nanospec AFT 210 surface inspection 1 125 mm
Q22I Metrology 1 200mm
Q240AT Overlay Measurement 1 200 mm
NANOSPEC 8300X THIN FILMS MEASURING SYSTEM 1 300 mm
NF200 Oven -therm litho densify 1 200 mm
NT3100 Optical Inspection MICROSCOPE 1 300 MM
ESC-150 Recirculating Water Chiller w/Digital Temp Contr1 good
HX-150 Recirculating Water Chiller w/Analog Temp Ctlr 1
HX-150DD Recirculating Water Chiller 1
HX-300 Recirculating Water Chiller w/Analog Temp Ctrl 1 FACILITIES good
HX-75 Recirculating Water Chiller w/Digital Temp Ctlr 1
Maverick II PT Design Verification Tester 1 TEST
Maverick II PT Design Verification Tester 1 TEST
Maverick II PT - Spares Kit SPARE PARTS kIT FOR A TEST SYSTEM 1 TEST excellent
microSONIK 170kHz Dual Sweep Pulse Generator & Power 1
ACD 1000 CO2 Bubbler 1 Facilities good
Continuum XL FT-IR 1
ECO 3000 FT-IR 1 300 mm
Sheet1
Page 63
S204 248NM (0.68NA) SCANNER 200 MM TO 300 1 300 mm
Metaphot Binocular Microscope with 5X, 20X, 40X & 100 1 125 mm excellent
NSR 2205i14E I-Line Wafer Stepper 1 200 mm excellent
NSRS306c ArF Scanner 1 200 mm excellent
NWL-641 Cassette Wafer Loaders for up to 150mm Wafer1
Optiphot Wafer Inspection Microscope 1
Optiphot 150 Wafer Inspection Microscope, 10X, 20X, 50X, 1 excellent
Optiphot 200 Microscope 1 200mm
Optiphot 300 Microscope 1 200mm
Optistation 2A Automatic Wafer Inspection Systems for 75mm- 1
Optistation 3 Wafer Inspection System 1
Optistation 3200 Optical Review System 1 300 mm
Optistation 3200 Optical Review System 1 300 mm
Optistation 3A Automatic Wafer Inspection Station 1
S204 DUV scanner, 248 nm 1 200 mm
SF130 I-line stepper 1 300 mm missing parts
V-12 Optical Comparator 1
VMR-3020 Stepper 1 200mm
HR8500 taper 0
D-304 Automatic Wafer Taper 1
DR8500-II Taper 1
DR8500-II Taper 1
DSA 840 Tape laminator 1 good
H-304 Automatic Wafer Detaper 1
HMT840 Manual De-taper 1 200 mm
HR 8500 II DE-TAPER 1 200 MM good
HR 8500 IIi WAFER DE-TAPER 1 200 MM good
HSA840 Wafer tape remover 1 good
MSA840 Wafer mounter 1 good
683A1SPS METROLOGY 1 200
NOT LISTED WET PROCESS 1 200
02-00345-01/REVT Novellus Gas Cabinet by e-Flow 1
Concept 1 PE CVD Silane 1 200mm good
Concept 3 Speed 300mm 0
Concept One CVD 5 200 mm
Innova NeXT Metal PVD 1 300 MM excellent
PEP IRIDIA ASHER 1 200
SABRE 3D ECD (Electro Chemical Deposition) 1 300 mm excellent
VECTOR PECVD (Chemical Vapor Deposition) 1 300 mm
VECTOR Express PECVD (Chemical Vapor Deposition) 1 300 mm
VECTOR Express PECVD (Chemical Vapor Deposition) 1
XM90 PVD system 1
Sheet1
Page 64
Inova PVD PVD Copper Barrier seed 1 200 mm
Inova PVD PVD Copper Barrier seed (For spares use) 1 200 mm
Sabre Xt Wet copper plating system 1 200 mm
Grinder-X ACC-12.24DX Surface Grinder 1
SVG 401H Back Grinder 1 Jun 2007 excellent
VG502-MKII/8 Back Grinder 1 150 mm
VG502-MKII/8 Back Grinder 1 150 mm
AL-2000 Wafer Inspection Microscope 1 200 mm
AL100-B8 Programmable Wafer Loader 9 200 mm excellent
AL110-lmb12 Microscope loader 1 300 mm good
AL3120F Macro-Defect 1 300 mm
AL3120F Macro-Defect 1 300 mm
BHM Wafer Inspection Microscope with NeoSPlan 5X1
SZ3060 StereoZoom Microsope 1
N-20 N2 Generator with 100 Gallon Storage Tank 1
DSS2200 Synergy Post CMP wafer cleaner 1 200 mm
360C Heavy wire bonder 1 Assembly good
Plasmalab 800 CVD 1 200mm
Plasmalab RIE Etcher 1 200mm
DP-80 Parallel Chamber Deposition system 1 good
Reliability Testing Laboratory Equipment 34 Reliability excellent
LASAIR-110 Particle Counter 1 Facilities
Lasair 110 Particle Counter 1 200mm
SBB-SM 1 200mm
Aanalyst 600 Spectrometer 1 200mm
Pyris 1 DSC Scanning Calorimeter 1 Laboratory and Scigood
EBPG/4 E-beam Lithography System 1
SPW-2800 Xray Inspection System 1 150 mm and 200 excellent
520-NMP Electrode Stabilizing Oven 1
NANOMEX 160 NF X-RAY INSPECTION SYSTEM 1 assembly / SMT good
P-300B CVD 1 200mm
Sunale R-200 CvD 1 200mm
Sunale R-200 R and D ALD deposition system 1 Up to 8 inch excellent
790 RIE 1 125 mm good
Liquitrack 776200 Non volatile residual Monitor 1 facilities excellent
Custom Heated Quartz Boat storage / drying system 1 200 mm excellent
S-620 FACILITIES 1 200
S-620 FACILITIES 1 200 mm excellent
S-620 OMNI CLEAN WET PROCESS 1 200
9920 Semi-Automatic Prober 1 300 MM
H105/2 Metrology 1 200mm
H105/2 Motorized stage 1 200 mm excellent
Sheet1
Page 65
FT-750 Film Thickness Measuring Tool 1
VP-10 Four Point Probe for up to 200mm Wafers 1
DAS2000 Asher 1 200 mm
DAS2000 Asher 1 200 mm
DAS2000 Asher 1 200 mm
DAS2000 Asher 1 200 mm
EM system-X Board Testing Oven 1 Reliability
Typhoon 1 HALT System 1
25HP Screw Type Air Compressor 1
7000 Stepper 1 200mm
BCU-750 Brine Chiller 1 excellent
SO1000 Handler 2 excellent
RXW-1226SFI Wafer edge inspection 11 300 MM
SPP8 Wafer Transfer for 200mm Wafers, 2ea Availabl 1
SIS300 Wafer Sorter 1 300 mm
SPP200S Wafer Sorter 1 200 mm
SM500 CXE Convection Reflow Oven 1
Etcher In-Line Etching System 1 Solar good
In Via Microscope 1 200mm
ULT790-9-D31 Cryogenic Freezer 1
RVX5000 Xray photoelectron spectroscopy 1 300 MM
Veraflex Xray photoelectron spectroscopy 1 300 MM
SSAI-1 N2 Purge System 1
3630 Xray Fluorescence Wafer/Disk Analyzer, Missi 1
3700H TXRF Wafer Analyzer 1 150-200 MM excellent
RIGAKU 3630 XRF 1 200 mm
1VRR8140 Wafer Transfer 1 excellent
1VRR8140 Wafer Transfer 1 excellent
RV201-F05-202CE-1 foup wafer loading port 1 300 MM
RV201-F05-202CE-1 foup wafer loading port 1 300 MM
Chiller Chiller 1 good
SiNA PECVD - Deposition of Silicon Nitride 1 156 mm SQUAREexcellent
FE-VII METROLOGY 1 150/200
WS2500 Wafer Inspection 1 200 mm
WS2500 Wafer Inspection 1 200 mm
WV320 Inspection 1 200mm
NSX-105 Wafer Level Inspection 1
NSX-105 Wafer Level Inspection 1
NSX-105 Wafer Level Inspection 1
S3000S Film Thickness Measurement System 1 300 mm
S3000S Film Thickness Measurement System 1 300 mm
WS 3880 Bump Inspection 1 300 mm
Sheet1
Page 66
200XL Ellipsometer 1 200 mm
SE200XL Ellipsometer 1 200 mm
Macro Defect WV320 YVS SER Optical Inspection 1 Facilities
S300 Wafer defect inspection 1 300 MM
WaferView 320 Macro defect inspection 1 300 MM
WaferView 320 Macro defect inspection 1 300 MM
Isoscope 125 Film Thickness Monitor 1
PWB Printed Wire Board Level Drop Tester with Solder 1 SMT excellent
PC-1100 Plasna 1 200mm
RIE-10N RIE 1 200 mm
RIE-10N RIE 1 200 mm
RIE-10NR RIE 1 200 mm
Multilevel EPROM Programmer 1 Electronics Test as new
SWH80 WET 1 200mm
8500 Wet bench, backend, TW reclaim 1 200 mm
MSP2300XP PSL deposition system 1 300 MM
Stacis 2100 active vibration isolation system 1 300 MM
8500 Wafer 1 200mm
Wet Bench WET 1 200mm
3020 Carrier Lifetime measurement 1 200 mm
FAaST 300 Dielectric Charaterization Tool with COCOS & 1 excellent
FAaST 330 Surface Photo Voltage Tester for up to 300mm 1 300 mm / 200 mmexcellent
VP-1500 Video Printer 1
NS-6000 Handler 1 test good
NS-7000 Handler 2 test good
NS-8080W Automated Test hANDLER 1 TEST excellent
SMI2200 SEM 1 200 mm
STP 1000C TURBO PUMP TMP 1000C 250 ISO-K/KF40 1 VACUUM PUMP excellent
STP 1000C TURBO PUMP TMP 100C 250 ISO-K/KF40 1 VACUUM PUMP fair
STPH600C TURBO PUMP TMP 600C 1 VACUUM PUMP fair
Wafer Transfer Station 1
VANTEC SIGMA 200 K1 Antistatic 200 MM Wafer shipping box 9500 200 mm new unused
Opus 2 Prober 1 300 mm good
IR3100 FT-IR Spectrometers 1 300 MM
Infinity SACS 251216-120-CE Semi-Automatic Carousel Boxed Reticle Stocker1 facilities excellent
EpiMet 2 EPI film measurement 1 200 mm
A72-20M SRD Rotor 1 good
PA7230M SRD Rotor 1 good
R_310_FMC2 Wet electro- etching system 1 300 MM
ST-240 Spin Rinse Dryer 2 good
ST-921R-AA Spin Rinse Dryer 2 good
Raider ECD (Electro Chemical Deposition) 1 300 mm excellent
Sheet1
Page 67
Galaxy Solvent Resist Strip 1 300 MM
201 Backside etcher 1 200 mm
SP201 wafer backside etcher 1 150 mm excellent
SP223 Wet Process -dual damascene 1 200 mm
Chemical Storage Cabinet, 2ea Available 1
201 Single Wafer Processing 1
304 Single Wafer Processing 1 300 mm
CDE300 Isotropic dry etch 1 300 MM
SBM360 BALL DROP 1 200
SBM362 solder 0
SPA-300 SUPER Die Bonder 1 300 mm
UTC 1000 Super Wire Bonder 55 Assembly excellent
UTC 2000 Super Wire Bonder 11 Assembly excellent
341 Laboratory Power Supply - 4 channel 1 200 mm excellent
511-16 REGURATED DC POWER SUPPLY 1 laboratory excellent
SQC-310 Deposition Controller 1
XV 300DB Dual Beam FIB SEM Microscope 1 300 MM
Falcon 8100 oven 0
AVP 8000 AP Vertical Furnace 1 200 mm
AVP 8000 LP Vertical Furnace 1 200 mm
Micrascan II+ I-Line Wafer Stepper 1 200 mm
AVP 8200 Vertical H2 anneal furnace 1 200 mm
INR-498-001B Dual Chiller, Refurbished 2 FACILITIES refurbished
RF-300A I-line Photoresist coater and developer 1 300 MM
RF-300A Photoresist coater and developer 1 300 MM
35MW Seria Bifacial bi-facial n-cell type mono crystal cell line 1 solar excellent
5110 Spin Coater 1 3 to 6 inch good
AW-3000 Scanning Acoustic Microscopy (SAM) / Tomogr 1 200 mm
D9000 Scanning Acoustic Microscope 1 excellent
EP12 OPTICAL POROSITY MEASUREMENT 1 300 MM
220 VOLTS POWER SUPPLY 3 220 VOLTS excellent
Extrima 3100 Bevel Etch 1 300 mm
SBXAT 1080 WET PROCESS 1 200
SBXAT 1080 WET PROCESS 1 200
SBXAT5-80 WET PROCESS 1 200
Spectroline PC-1100A UV Eprom/Wafer Erasing System 1
Auriga Oxide CMP 1 200 mm
Auriga Oxide CMP 1 200 mm
PT-M1 Automated Test System 1 Test excellent
QT200 Automated Tester System with monitor 1 test good
QT200 Automated Tester System with monitor 1 test good
QT200 Automated Tester System with monitor 1 test good
Sheet1
Page 68
QT200 Automated Tester System with monitor 1 test good
QT200 Automated Tester System with monitor 1 test good
QT200 Automated Tester System with monitor 1 test good
QT200 Automated Tester System with monitor 1 test good
QT200 Automated Tester System with monitor 1 test good
QT200 Test System 1 test good
QT200 Tester System with monitor 1 test good
R.S.V. ST Memory Test System Electronic Automation 1 test excellent
339-150 Oil Filtration Unit 1
320PC Reactive Ion Etch System 1 excellent
320 PC Reactive Ion Etcher 1 200 mm good
Multiplex ICP Advanced Oxide Etching System 1 150 mm excellent
SB8e wafer bonding system for GaN LT 1 excellent
BA300-MIT Wafer inspection system 1 300 MM
HVMMFT Solder bumps mold fill 1 300 MM
HVMMFT Solder bumps mold fill 1 300 MM excellent
8800 DEVELOPER 1 150
8800 DEVELOPER 1 150
8800 DEVELOPER 1 150
8800 DEVELOPER 1 150
8800 DEVELOPER 1 150
8800 DEVELOPER 1 150
8800 photoresist track 1 125 mm good
90SE Photoresist coater and developer polymide 1 200 mm
90SE Photoresist coater and developer polymide 1 200 mm
AVP 8000 LP, SiN Vertical Furnace 1 200 mm
SX3100 Handler 1 test excellent
SX3100 Handler 1 test excellent
T9600 Universal Device Programmer 1 Electronics Test excellent
MTM 32 V01 ST Test Head Manipulator QT 124 1 good
MTM 32 V01 ST Test Head Manipulator QT 124 1 excellent
ATM 1200 DR Wafer mounter 1 good
WSS12101M Wafer Bonder 1 300 mm
TZP photoresist coater and developer track 1 150 mm good
Practor wafer sorter 1 300 MM
EHTVS ABATEMENT 1 200
EHTVS ABATEMENT 1 200
MOJAVE ABATEMENT 1 200
K2 IND/NIKON Confocal Microscope 1
Micro-G 62-111 Vibration Isolation Table, 36" X 72"; 1/4"- 20 Ho 1 excellent
TW312 Pick & Place Memory Handler 1
411 Plasma Barrel Stripper 1
Sheet1
Page 69
11801C Digital Sampling Oscilloscope 1 Electronics Test good
2432A Digital Oscilloscope, 2 channel, with GPIB 1 Electronics Test good
PS 280 DC Power supply 1 Electronics Test excellent
TDS 544A Color 4 channel digitizing oscilloscope 1 Electronics Test excellent
TDS6124C Oscilloscope 1
TDS694C Digital 3 GHz real-time oscilloscope 1 Electronics Test excellent
Lithius KrF Photoresist 1 200mm
P8 PROBER PARTS (SEE LIST) 1 excellent
Telius SP 305 SCCM TE Plasma 1 200mm
8500PE Dry etch 1 200 mm
8500PE Dry etch 1 200 mm
8500PE Dry etch 1 200 mm
8500PE Dry etch 1 200 mm
8500PE Dry etch 1 200 mm
8500PE Dry etch oxide 1 200 mm
8500PE Dry Oxide Etcher 1 200 mm
8500PE oxide etch 1 200 mm
A303I Vertical diffusion furnace 1 300 mm good
A303I Vertical diffusion furnace 1 300 mm good
A303I Vertical diffusion furnace 1 300 mm good
A303I Vertical diffusion furnace 1 300 mm good
ACT 12 SOD STAND ALONE COATER, HSQ 1 300 MM
ACT 8 Photoresist coater and developer 1 200 mm
ACT 8 Photoresist Coater and Developer Track 1 150 mm excellent
Alpha 8 S Vertical Furnace LPCVD NITRIDE 1 200 mm
Alpha 8 S Vertical LPCVD Furnace, Nitride 1 200 mm
Alpha 8 S-ZV Vertical Furnace -TEOS 1 200 mm
Alpha 8 SZ Vertical Furnace D POLY PH3 DOPED 1 200 mm
Alpha 8 SZ Vertical Furnace SIN 1 200 mm
Alpha 8 SZ Vertical Furnace SIN 1 200 mm
Alpha 8S Vertical Furnace DOPED POLY, pocL3 DOPE 1 200 mm
Alpha 8S Vertical Furnace SOG CURE 1 200 mm
ALPHA 8S Vertical LPCVD Furnaces D POLY 1 200 mm
Alpha 8S Vertical Furnace -POLYSILICON 1 200 mm
Alpha 8S LPCVD TEOS Vertical Furnace- LPCVD TEOS 1 200 mm good
ALPHA-303i Anneal Vertical Anneal Furnace 1 300 mm
ALPHA-303i Anneal Vertical Anneal Furnace 1 300 mm
ALPHA-303i Anneal Vertical Anneal Furnace 1 300 mm
ALPHA-303i Anneal Vertical Anneal Furnace 1 300 mm
Cellesta+ Single Wafer Processing 1 300 mm
CLEAN TRACK LITHIUS Single Block (Coat/Develop) 1 300 mm excellent
CLEAN TRACK LITHIUS Pro i Multi Block (Resist Coater/Developer) 1 300 mm excellent
Sheet1
Page 70
Expedius Batch Wafer Processing 1 300 mm
Expedius Batch Wafer Processing 1 300 mm
Expedius Batch Wafer Processing 1 300 mm
Expedius Batch Wafer Processing 1 300 mm
Expedius Batch Wafer Processing 1 300 mm
Expedius Batch Wafer Processing 1 300 mm
Expedius Batch Wafer Processing 1 300 mm
Expedius Batch Wafer Processing 1 300 mm
Expedius Batch Wafer Processing 1 300 mm
Expedius Batch Wafer Processing 1 300 mm
Expedius Batch Wafer Processing 1 300 mm
Expedius Batch Wafer Processing 1 300 mm
Expedius Batch Wafer Processing 1 300 mm
Expedius Batch Wafer Processing 1 300 mm
Expedius Batch Wafer Processing 1 300 mm
Expedius Batch Wafer Processing 1 300 mm
Expedius Batch Wafer Processing 1 300 mm
Expedius Batch Wafer Processing 1 300 mm
Expedius Batch Wafer Processing 1 300 mm
Expedius Batch Wafer Processing 1 300 mm
Expedius Batch Wafer Processing 1 300 mm
Expedius Batch Wafer Processing 1 300 mm
Expedius Batch Wafer Processing 1 300 mm
Expedius wet clean and strip 1 300 MM
Expedius Wet Process Equipment BATCH PROCESSIN 1 300 MM
Expedius+ Batch Wafer Processing 1 300 mm
Expedius+ Batch Wafer Processing 1 300 mm
Expedius+ Batch Wafer Processing 1 300 mm
Expedius+ Batch Wafer Processing 1 300 mm
Expedius+ Batch Wafer Processing 1 300 mm
Expedius+ Batch Wafer Processing 1 300 mm
Expedius+ Batch Wafer Processing 1 300 mm
INDY + Vertical FURNACE ALD HfO2 1 300 MM
IndyPlus Vertical Furnace ALD -HfO2 1 300 MM
Jin BEOL dielectric etch processing 1 300 MM excellent
Lithius Photoresist coater and developer I line 1 300 MM
Lithius iLine I-line Photoresist coater and developer 1 300 MM
Lithius iLine I-line Photoresist coater and developer 1 300 MM
Lithius KrF Photoresist coater and developer- 248 nm 1 300 MM
MArk 8 Photoresist coater and developer 1 200 mm
Mark 8 Photoresist coater and developer I-LINE 1 200 mm
Mark 8 photoresist coater and developer -DUV 1 200 mm
Sheet1
Page 71
MB2 730 HT HT CVD SYSTEM, 2 CHAMBER WSi Process 1 200 mm good
MB2 730HT CVD SYSTEM, 3 CHAMBER WSi Process 1 200 mm excellent
NEXX Nimbus 314 Sputtering System 1 300 mm
P-12XL automatic wafer Prober 1 300 MM
P-12XL automatic wafer Prober 1 300 MM
P-12XL automatic wafer Prober 1 300 MM
P-12XL automatic wafer Prober 1 300 MM
P-12XL automatic wafer Prober 1 300 MM
P-12XL automatic wafer Prober 1 300 MM
P-12XL automatic wafer Prober 1 300 MM
P12XLN+ automatic wafer Prober 1 300 MM
P8i Wafer Prober 1 200 MM good
P8XL Fully Automatic Wafer Prober (Gold Chuck) 1 200 MM good
P8XL Prober 1 excellent
PR300Z Batch Wafer Processing 1 300 mm
PR300Z Batch Wafer Processing 1 300 mm
PR300Z Batch Wafer Processing 1
SCCM DRY ETCHER 1 200 MM excellent
Synapse Y Wafer Bonder 1 300 mm
TACTRAS Dry etch -POLY AND DIELECTRIC ETCH 1 300 MM
Tactras Vigus Dielectric Etch 1 300 mm
TE 5480 Nitride Plasma Reactive Ion Etch 1 150 mm for spares use
TE 8500PE dry etch oxide 1 200 mm
TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm
TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm
TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm
TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm
TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm
TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm
TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm
TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm
TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm
TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm
TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm
TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm
TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm
TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm
TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm
TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm
TELFORMULA ALD High-K Vertical LPCVD Furnace 1 300 mm
TELFORMULA ALD High-K Vertical LPCVD Furnace 1
TELFORMULA ALD High-K Vertical LPCVD Furnace 1
Sheet1
Page 72
TELFORMULA ALD High-K Vertical LPCVD Furnace 1
TELINDY ALD High-K Vertical LPCVD Furnace 1 300 mm
TELINDY ALD High-K Vertical LPCVD Furnace 1 300 mm
TELINDY ALD High-K Vertical LPCVD Furnace 1 300 mm
TELINDY ALD High-K Vertical LPCVD Furnace 1 300 mm
TELINDY IRAD ALD High-K Vertical LPCVD Furnace 1 300 mm
TELINDY Oxide Vertical LPCVD Furnace 1 300 mm
TELINDY Oxide Vertical LPCVD Furnace 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus ALD High-K Vertical Furnace - Other 1 300 mm
TELINDY Plus Nitride Vertical LPCVD Furnace 1 300 mm
TELINDY-B Vertical Anneal Furnace 1 300 mm
TELINDY-B Vertical Anneal Furnace 1 300 mm
Telius 308S SCCM DT, CHAM Deep trench Si Etch process chamber 1 300 MM
TELIUS SCCM ETCHER (2 SCCM CHAMBERS) 1 300 mm
Telius SP 304 poly Poly Etch 1 300 MM
Telius SP 305 DRM Dielectric Etch 1 300 mm
Sheet1
Page 73
Telius SP 305 DRM Dielectric Etch 1 300 mm
Telius SP 305 DRM Dielectric Etch 1 300 mm
Telius SP 305 DRM Dielectric Etch 1 300 mm
Telius SP-305 SCCM Dielectric Etch 1 300 mm
Telius SP-305 SCCM Dielectric Etch 1 300 mm
Telius SP-305 SCCM Dielectric Etch 1 300 mm
Telius SP-305 SCCM Dielectric Etch 1 300 mm
Telius SP-305 SCCM Dielectric Etch 1 300 mm
Telius SP-305 SCCM Dielectric Etch 1 300 mm
Telius SP-305 SCCM Dielectric Etch 1 300 mm
Trias Chemical Vapor Deposition Equipment, TiCl4 1 300 MM
Trias Modules, TEL, Trias, UVRF / High-K CVD / SP 1 300 MM
Trias SPA chamber 1 300 MM
Trias Chamber Parts/Peripherals 1 300 mm
Trias Chamber Parts/Peripherals 1 300 mm
Trias Chamber Parts/Peripherals 1 300 mm
Trias Chamber Parts/Peripherals 1 300 mm
Trias Chamber Parts/Peripherals 1 300 mm
Trias Chamber Parts/Peripherals 1 300 mm
Trias Chamber Parts/Peripherals 1 300 mm
Trias Chamber Parts/Peripherals 1 300 mm
Trias Chamber Parts/Peripherals 1 300 mm
Trias Chamber Parts/Peripherals 1 300 mm
Trias Chamber Parts/Peripherals 1 300 mm
Trias Chamber Parts/Peripherals 1 300 mm
Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 1 300 mm
Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 1 300 mm
Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 1 300 mm
Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 1 300 mm
Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 1 300 mm
Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 1 300 mm
Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 1 300 mm
Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 1 300 mm
Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 1 300 mm
Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 1
Unity e 85DD Dry Etcher 1 200 mm
Unity II, 855SS Dry Oxide etch, 2 chamber 1 200 mm
UNITY Vera85DPATC Oxide Etcher - 2 chamber 1 200 mm excellent
BJD1800 EVAPORATOR 1 200
CV-14 Electron Beam Power Supply 1
VES-2550 Evaporator 1 good
TS8403 Diffusion Furnace for POCL3 with 2 tubes 1 75 mm to 200 mmexcellent
Sheet1
Page 74
TP04300A Temperature Forcer 1 200 mm good
TPO 3215A-2300-2 TEMPERATURE FORCER 1 Facilities excellent
TPO3000A Prober chuck temperature controller and chiller 1 200 mm good
TP04000A-2B21-2 Temperature Forcer 1 Facilities
AlphaStep 300 Profilometer 1
M-Gage 300 Non-Contact Wafer Monitor for Sheet Resistanc 1
Surfscan 4000 Unpatterned Wafer Surface Inspection Tool - Pa1
Surfscan 4500 Unpatterned Wafer Surface Inspection Tool, 1
i-Flex Automated Test System 1 test good
J750EX Automated Test System 5 test good
J971SP (Spares) Boards from VLSI test system 1 Test for spares use
J994 Memory Tester 1 test excellent
Spare Boards Automated Test System (Spare Boards) 1 TEST - PARTS good
Ultra Flex SC Type Automated Test System 1 test good
1111-988 Desiccator Box 1
8 Tank Stainless Steel Sink with 8ea 14" X 14" X 12" ( 1
CHALLENGER ST PE CVD 1 300 mm good
Optiprobe 2600 DUV Interferometers 1 200 mm
TP420 0
10k vertical diffusion furnace 1 125 mm good
10k vertical diffusion furnace 1 125 mm good
BRC-10-1500N-3PH-TL1 15KW E-Gun Power Supply 1
VG Microlab 350 Scannin Auger Microscope 1 Laboratory good
T-2400R Temp Forcing System 1
Indy+ Furnace 1 200mm
Lithius iline Photoresist 1 200mm
Tactras Plasma 1 200mm
Unity 2E Plasma 1 200mm
Unity II 855SS Plasma 1 200mm
Unity ME SCCM Plasma 1 200mm
HS-830 Particle Counter 1 200mm
CC-S Injection Molding Press, 2ea Available 1 excellent
YP56F Mold Press (FBGA) 1 Assembly excellent
YP56F Mold Press (TSOP) 1 Assembly excellent
YP57F Mold Press (TSOP) 1 Assembly excellent
YP58F Mold Press (TSOP) 1 Assembly excellent
TUV 200 UV Irradiator 1 good
Minilock Single Wafer RIE Etcher with Loadlock, 200mm 1 200 mm excellent
Phantom II Reactive ion etcher 1 excellent
APM-90A Automatic Wafer Prober, for up to 200mm Wafe 1
1500 wafer stepper 1 125 mm
1500 wafer stepper 1 125 mm
Sheet1
Page 75
1500 wafer stepper 1 125 mm
1500 wafer stepper 1 125 mm
1500 wafer stepper 1 150 mm
1500 wafer stepper 1 150 mm
1500 wafer stepper 1 150 mm
1500 wafer stepper 1 150 mm
SATURN SPEC 300 GHI Lithography Exposure System 1 300 mm
SSP 300E2 GHI line stepper 1 300 mm excellent
CERAUS ZX-1000 PVD system 1 200 mm
Entron-EX PVD (Physical Vapor Deposition) 1 300 mm
ENVIRO I ASHER 1 200
G-100D Mechanical Vacuum Pump 1
PHOENIX ASHER 1 200
NE860 HDP Etcher for DE-layer 1 200 mm
1-124-05 Parallel Gap Welder with 2-152-02 Weld Head 1 good
US66-RA2610 WET PROCESS 1 200
SEMI PROCESS STATION WET PROCESS 1 200
3290ST Sputtering System 1 150 mm refurbished
936-70 SP Helium Leak Detector 1
936-71 Helium Leak Detector 1
E500 IMPLANT 1 200
EHP500 Medium Current Implanter 1 200 mm excellent
SD331 Mechanical Vacuum Pump, 2ea Available 1
Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type 2 Pump new unused
VIISta 810 Medium Current Ion Implanter 1 200 mm
VIISta 810XP Mid Current Implanter 1 300 mm
VIISta 810XP Mid Current Implanter 1 300 mm
VIISta PLAD High Dose Implant 1 300 mm
ES-50/8 Scrubber, Parts Only 1
Dektak Profilometer, Parts Tool Only 1
Dektak II Profilometer, Controller Only, Controller Tool 1
DIMENSION X1D AFM 1 300 MM
DIMENSION X3D AFM 1 300 MM
DIMENSION X3D AFM 1 300 MM
V220SI Atomic Force Microscope 1 200 mm
D9000 AFM 1 Reticle
X-3D, AFM Microscope 3 300mm
93000 PS1600 Automated Test System 2 TEST good
V93000 C200E Automated Test System 1 TEST for spares use
FLUOROCARBON RD4500 CLASRD 2 100 mm excellent
VcS-PPC-SAH Semi-Automatic Wet Process System 1
Dynascope Inspection Microscope 1 Assembly excellent
Sheet1
Page 76
Dynascope Projection Micrsoscope 1 excellent
WHRV-5067-2-134A Recirculating Heater/Chiller for Corrosive Liquid 1
WJ16CVD - 250 AP CVD system for flat panel display applicatio 1 400 mm x 500 m excellent
1500 Atmospheric Pressure CVD Tools 1 200 mm
1500 Atmospheric Pressure CVD Tools, TEOS 1 200 mm
TS130 Thermal shock testing chamber 1 Reliability good
HP 1616 UDVG coater 1 200mm
HP 1616 UDVG coater 1 200mm
MP-2300 Prober 1 200mm
MP-2300 Prober 1 200mm
HOP "Hands Off Probe" Micropositioner, 2ea Available 1
MP-2000 Analytical Wafer Prober, for up to 200mm Wafe 1
M420 Makrozoom Microscope 1 excellent
Orbita 160Xi X-Ray Inspection System 1
YV100XG SMT Machine 1 excellent
YES-5 wafer prime oven 1 150 mm
YES-5 wafer prime oven 1 150 mm
YES-5 wafer prime oven 1 150 mm
Aixtron II Microscope 2 200mm
Axiospect 300 Wafer Inspection Microscope 1 300 MM good
AXIOTRON 2 Wafer Inspection Microscopes 7 200 mm
CDC200 Reticle Cd control 1 Reticle
Stemi SV 6 Microscope 1 200mm
Axiotron Wafer inspection microscope 1 300 mm excellent
Sheet1
Page 77
Vintage Sales conditionsLead Time
01.01.2010 as is where is immediately
as is where is immediately
as is where is immediately
as is where is
inquire immediately
01.09.2007 as is where is immediately
inquire immediately
as is where is immediately
inquire immediately
inquire immediately
inquire immediately
01.01.2007 as is where is immediately
01.06.1999 as is where is immediately
01.06.1999 as is where is immediately
as is where is immediately
inquire immediately
inquire immediately
inquire immediately
inquire
as is where is immediately
inquire
inquire
as is where is
as is where is
as is where is
inquire immediately
as is where is
as is where is
as is where is
as is where is immediately
inquire immediately
01.12.1997 as is where is immediately
inquire immediately
01.06.2006 inquire
inquire immediately
01.06.2007 inquire
inquire immediately
inquire
as is where is immediately
inquire immediately
inquire
Sheet1
Page 78
01.06.1998 inquire
inquire immediately
01.06.2002 inquire
inquire immediately
inquire
inquire immediately
inquire
inquire
inquire immediately
inquire immediately
inquire immediately
as is where is immediately
01.10.2007 as is where is immediately
01.10.2008 as is where is immediately
01.06.2001 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
inquire
01.01.1997 as is where is immediately
01.01.1996 as is where is immediately
as is where is
as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
Sheet1
Page 79
as is where is
as is where is
inquire
as is where is immediately
as is where is
inquire immediately
as is where is immediately
as is where is
as is where is
as is where is
inquire immediately
01.05.1993 as is where is immediately
as is where is
as is where is
as is where is
as is where is immediately
01.01.2008 as is where is immediately
as is where is immediately
01.01.2007 as is where is
01.01.2007 as is where is immediately
as is where is
01.08.1995 as is where is immediately
01.06.1995 inquire immediately
01.11.2005 as is where is immediately
as is where is
inquire immediately
as is where is
as is where is immediately
as is where is immediately
as is where is
as is where is
as is where is
as is where is
01.06.1999 as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
Sheet1
Page 80
as is where is
as is where is
01.06.2012 as is where is
01.06.2012 as is where is
as is where is
as is where is
01.06.2008 as is where is immediately
01.06.2006 as is where is immediately
as is where is
01.06.2000 as is where is
as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2001 as is where is
as is where is
as is where is
inquire immediately
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2005 as is where is
01.06.2007 as is where is
01.06.2007 as is where is
01.06.2006 as is where is
as is where is immediately
01.04.2003 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
Sheet1
Page 81
as is where is
as is where is
as is where is
as is where is
01.06.2000 as is where is
01.06.2002 as is where is
as is where is
01.06.1997 as is where is immediately
01.06.2007 as is where is
as is where is
as is where is
01.06.2010 as is where is
as is where is
01.01.1994 inquire immediately
01.01.1994 inquire immediately
as is where is
01.06.1996 as is where is
as is where is
01.06.2000 as is where is
01.06.1997 as is where is
as is where is
as is where is
01.06.1995 as is where is
01.06.2013 as is where is immediately
01.02.2008 as is where is immediately
as is where is
as is where is
as is where is
as is where is
01.03.2010 as is where is immediately
as is where is
as is where is
01.06.2007 as is where is
01.06.2006 as is where is
01.06.2006 as is where is
as is where is
as is where is
01.06.2006 as is where is
as is where is
as is where is immediately
01.06.2004 as is where is
as is where is
Sheet1
Page 82
as is where is
as is where is
as is where is
01.05.2011 as is where is immediately
01.05.2011 as is where is immediately
as is where is
01.06.2005 as is where is
as is where is
as is where is
01.06.2001 as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2009 as is where is
01.06.2002 as is where is
as is where is
01.06.1997 as is where is immediately
01.06.1996 inquire immediately
as is where is
01.03.2008 as is where is immediately
as is where is immediately
as is where is immediately
01.06.2011 as is where is
as is where is
01.06.2011 as is where is
01.06.2011 as is where is
01.06.2011 as is where is immediately
as is where is
as is where is
as is where is
as is where is
Sheet1
Page 83
as is where is
as is where is
01.06.2005 as is where is
inquire
01.06.2000 as is where is immediately
as is where is
as is where is immediately
as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.07.2000 as is where is
as is where is
as is where is immediately
as is where is
as is where is
as is where is immediately
01.05.2011 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.01.1993 as is where is immediately
as is where is
as is where is
as is where is
01.06.1999 as is where is immediately
01.10.1995 as is where is immediately
as is where is immediately
as is where is
01.04.2012 as is where is immediately
01.12.2005 as is where is immediately
Sheet1
Page 84
01.06.2006 as is where is immediately
01.06.2007 as is where is immediately
01.11.2001 as is where is immediately
01.11.2001 as is where is immediately
01.06.2001 as is where is immediately
01.11.2001 as is where is immediately
01.06.2011 as is where is immediately
as is where is immediately
01.10.2006 as is where is immediately
as is where is
as is where is
as is where is
inquire
inquire
inquire
01.11.1998 inquire 2 months
inquire
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.01.2002 as is where is
01.01.2002 as is where is
as is where is
as is where is
01.10.2010 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.1996 as is where is immediately
as is where is
as is where is
01.06.2000 as is where is immediately
as is where is
as is where is
as is where is
Sheet1
Page 85
as is where is
as is where is
as is where is
01.06.2003 as is where is immediately
01.06.2001 as is where is immediately
01.06.2005 as is where is
01.06.2000 as is where is immediately
as is where is immediately
as is where is
as is where is immediately
01.06.1999 as is where is
as is where is
as is where is
as is where is
as is where is immediately
inquire
inquire
as is where is
inquire immediately
as is where is immediately
as is where is immediately
01.08.2005 as is where is immediately
as is where is immediately
inquire
inquire
01.06.1994 inquire immediately
as is all rebuilt 2 weeks
as is where is
as is where is immediately
as is where is
as is where is
01.06.2005 as is where is immediately
as is where is
as is where is
as is where is
01.06.2003 as is where is immediately
01.06.2002 as is where is
as is where is immediately
as is where is immediately
as is where is
as is where is
01.06.1994 as is where is immediately
Sheet1
Page 86
01.06.1992 as is where is immediately
01.06.2000 as is where is immediately
01.06.2007 as is where is immediately
01.04.2009 as is where is immediately
01.07.2009 inquire immediately
01.04.2004 inquire immediately
01.06.2010 as is where is immediately
01.06.2005 inquire immediately
as is where is immediately
01.06.2007 as is where is immediately
01.07.2011 as is where is immediately
01.05.2011 as is where is immediately
01.05.2011 as is where is immediately
01.05.2011 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.10.1992 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2008 as is where is immediately
01.06.2005 as is where is
01.01.2011 as is where is immediately
as is where is
Sheet1
Page 87
as is where is
as is where is immediately
01.06.2008 as is where is
inquire
inquire
inquire
as is where is immediately
as is where is immediately
as is where is immediately
as is where is immediately
as is where is immediately
as is where is
as is where is
01.06.2004 as is where is immediately
as is where is
as is where is
as is where is
as is where is immediately
as is where is
as is where is
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire immediately
as is where is immediately
01.06.1989 as is where is immediately
as is where is
as is where is immediately
01.06.2000 as is where is immediately
01.06.2000 as is where is immediately
01.04.2007 as is where is immediately
Sheet1
Page 88
01.04.2007 as is where is immediately
01.04.2007 immediately
01.06.2000 as is where is immediately
01.06.2000 as is where is immediately
01.06.2000 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is immediately
as is where is immediately
as is where is immediately
as is where is immediately
as is where is immediately
as is where is immediately
01.02.1998 as is where is immediately
as is where is
as is where is immediately
01.06.1995 as is where is immediately
01.06.2000 as is where is immediately
01.06.2014 as is where is
inquire
01.02.2015 as is where is immediately
as is all rebuilt immediately
as is where is
as is where is
Sheet1
Page 89
as is where is
01.08.2010 as is where is immediately
01.11.2004 as is where is immediately
01.06.2007 as is where is immediately
as is where is
01.06.2004 as is where is immediately
01.10.2004 as is where is
01.04.2005 as is where is
01.06.2005 as is where is
01.04.2005 as is where is
01.04.2005 as is where is
01.06.2005 as is where is
01.07.2006 as is where is
as is where is immediately
as is where is immediately
01.01.2008 as is where is immediately
01.06.2004 as is where is immediately
as is where is
as is where is immediately
as is where is
as is where is
as is where is
inquire immediately
inquire immediately
as is where is
01.06.2005 as is where is
as is where is
01.06.2006 inquire immediately
as is where is
as is where is
as is where is
as is where is
01.06.1998 inquire 2 weeks
01.12.2007 as is where is immediately
as is where is immediately
as is where is
01.06.2001 as is where is
01.05.1996 as is where is immediately
as is where is
Sheet1
Page 90
01.06.2000 as is where is immediately
01.02.2003 as is where is
01.06.2010 as is where is immediately
as is where is
as is where is
inquire
as is where is immediately
as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is immediately
as is where is
as is where is
01.06.2008 as is where is
as is where is
01.06.2000 as is where is immediately
01.07.2004 as is where is immediately
01.07.2004 as is where is immediately
01.07.2004 as is where is immediately
01.07.2004 as is where is immediately
01.09.2004 as is where is immediately
as is where is immediately
as is where is
01.06.2005 as is where is
01.06.2006 as is where is
01.06.2005 as is where is
Sheet1
Page 91
01.06.2006 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is immediately
as is where is immediately
01.02.2007 as is where is immediately
01.01.1995 as is where is immediately
as is where is
01.06.2012 as is where is
inquire immediately
as is where is
01.11.2004 as is where is
01.06.2007 as is where is immediately
01.06.2008 as is where is immediately
01.06.2001 as is where is
as is where is
01.06.2007 as is where is immediately
as is where is
as is where is
as is where is
as is where is
01.01.2007 as is where is immediately
as is where is
01.06.2005 as is where is
01.06.2005 as is where is
01.06.2006 as is where is
as is where is
01.06.1995 as is where is
as is where is
01.06.1998 as is where is
01.06.2000 as is where is
01.06.1999 as is where is
01.06.1996 as is where is
01.06.1994 as is where is
as is where is
Sheet1
Page 92
as is where is
01.06.2008 as is where is
01.06.2001 as is where is
01.06.2001 as is where is
01.06.2003 as is where is
as is where is immediately
inquire immediately
as is where is
inquire immediately
01.06.1995 as is where is
inquire immediately
01.06.1989 inquire immediately
01.06.1995 as is where is immediately
01.07.1996 as is where is immediately
01.06.2000 as is where is immediately
as is where is
01.12.2009 as is where is immediately
01.05.2006 as is where is immediately
as is where is
01.06.2007 as is where is
as is where is
as is where is
01.06.2000 as is where is immediately
as is where is immediately
as is where is immediately
as is where is immediately
01.06.2005 as is where is immediately
as is where is immediately
as is where is
01.06.1995 as is where is immediately
as is where is immediately
as is where is
inquire
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.1997 as is where is immediately
Sheet1
Page 93
01.06.1995 as is where is
01.01.2000 as is where is
01.01.2000 as is where is
01.06.2003 as is where is immediately
01.02.2010 as is where is immediately
01.06.2010 as is where is immediately
as is where is
as is where is
as is where is
as is where is 1 month
as is where is immediately
inquire
01.06.1998 as is where is immediately
as is where is immediately
as is where is immediately
as is where is
as is where is immediately
as is where is
as is where is
inquire immediately
inquire
inquire
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire
01.06.1991 inquire
inquire
inquire
as is all rebuilt immediately
as is where is
as is where is
as is where is immediately
as is where is
01.05.2011 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is immediately
Sheet1
Page 94
01.08.1995 as is where is immediately
01.06.1996 as is where is
01.06.1995 as is where is
01.06.2002 as is where is immediately
01.04.1999 as is where is immediately
01.12.2007 as is where is
01.02.1996 as is where is
01.12.1999 as is where is
as is where is
01.06.1999 as is where is
01.10.2003 as is where is immediately
as is where is
01.06.2006 as is where is
01.06.2002 as is where is
as is where is
as is where is
01.04.1992 inquire immediately
01.06.2003 as is where is
01.06.1997 as is where is
01.06.2004 as is where is immediately
01.06.2007 as is where is
01.09.2014 as is where is
01.09.2014 as is where is
01.06.2005 as is where is
01.06.2012 as is where is
01.06.2000 as is where is
01.06.1994 as is where is
01.06.1994 as is where is
as is where is
as is where is
as is where is
inquire
inquire
inquire
as is where is
01.06.1995 as is where is
as is where is
01.06.2006 as is where is immediately
as is where is
as is where is
as is where is
Sheet1
Page 95
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2008 inquire immediately
as is where is
as is where is
as is where is
01.06.2013 as is where is immediately
01.03.1998 as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
Sheet1
Page 96
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2001 as is where is
as is where is
as is where is
01.06.1997 as is where is
01.06.1996 as is where is
01.06.1997 as is where is
01.06.1997 as is where is
01.06.1996 as is where is
01.06.1998 as is where is
01.06.2003 as is where is immediately
01.03.2006 as is where is immediately
as is where is
Sheet1
Page 97
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.01.1995 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2005 as is where is
01.06.1996 as is where is
01.06.1998 as is where is
01.06.1996 as is where is
01.06.1996 as is where is
01.06.1996 as is where is
01.06.1996 as is where is
01.06.1996 as is where is
01.06.1996 as is where is
as is where is immediately
Sheet1
Page 98
01.07.2002 inquire immediately
as is where is
01.06.2011 as is where is
as is where is
as is where is
as is where is immediately
01.11.2009 as is where is immediately
as is where is immediately
inquire
as is where is
01.06.2007 as is where is
01.06.2003 as is where is
01.06.2004 as is where is
01.06.2003 as is where is
01.06.2003 as is where is
01.06.2006 as is where is
as is where is
as is where is
inquire
as is where is
01.01.2002 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
inquire
as is where is
as is where is
inquire
inquire
as is where is immediately
as is where is immediately
as is where is
as is where is immediately
as is where is
inquire
as is where is
as is where is
as is where is
as is where is
Sheet1
Page 99
as is where is
as is where is
01.08.2008 as is where is immediately
01.06.2006 as is where is immediately
01.06.2002 as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.1996 as is where is
01.05.2012 as is where is
01.06.1997 as is where is
as is where is
as is where is
01.06.1993 as is where is immediately
01.06.1993 as is where is immediately
01.06.2001 as is where is immediately
as is where is
01.07.2001 as is where is immediately
as is where is
01.06.1995 inquire immediately
as is where is
01.06.2000 as is where is
as is where is
as is where is
as is where is
as is where is immediately
as is where is
01.06.1992 as is where is
as is where is
as is where is immediately
as is where is
as is where is
as is where is
01.06.2005 as is where is immediately
01.06.2007 as is where is immediately
as is where is immediately
as is where is
inquire
Sheet1
Page 100
inquire
01.06.2011 as is where is immediately
01.06.2014 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is immediately
as is where is
as is where is
01.06.2009 as is where is
01.06.2006 as is where is
as is where is
inquire immediately
as is where is immediately
as is where is
01.03.2011 as is where is immediately
01.05.2005 as is where is immediately
inquire
inquire
inquire
inquire
as is where is immediately
as is where is
as is where is
01.12.2000 as is where is
as is where is
01.06.2011 as is where is
01.06.2005 as is where is
01.06.1995
as is where is
as is where is
as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2000 as is where is immediately
as is where is
as is where is
Sheet1
Page 101
as is where is
as is where is immediately
as is where is immediately
01.06.2002 as is where is immediately
as is where is
inquire
as is where is immediately
as is where is
as is where is
inquire
as is where is
as is where is
as is where is
01.05.2005 as is where is immediately
as is where is
as is where is
as is where is
01.06.1992 as is where is
as is where is
as is where is
01.06.2000 inquire immediately
as is where is
as is where is
01.06.2007 inquire immediately
inquire immediately
01.06.2000 inquire immediately
01.06.2000 inquire immediately
as is where is
as is where is
01.01.1993 as is where is immediately
01.06.2005 as is where is
as is where is
01.06.2012 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
Sheet1
Page 102
01.06.2009 as is where is
01.06.2008 as is where is
as is where is
as is where is
as is where is immediately
01.06.1995 as is where is
01.06.1995 as is where is
as is where is
as is where is immediately
01.06.2005 as is where is immediately
as is where is
as is where is
inquire
inquire
as is where is
01.06.2004 as is where is
as is where is immediately
as is where is
as is where is
01.12.1989 as is where is immediately
01.06.2006 inquire immediately
01.06.2013 as is where is
as is where is
as is where is
as is where is
as is where is immediately
as is where is
01.06.2000 inquire immediately
as is where is
01.06.2006 as is where is immediately
as is where is
as is where is
01.06.2013 as is where is immediately
as is where is immediately
01.11.1999 as is where is immediately
01.06.2005 as is where is immediately
as is where is
as is where is immediately
as is where is
as is where is
as is where is
01.06.2002 as is where is immediately
Sheet1
Page 103
inquire
01.05.1990 inquire
as is where is
01.06.2006 as is where is
as is where is
01.06.2005 as is where is
01.06.2007 as is where is
as is where is
as is where is
as is where is
as is where is immediately
01.01.2003 as is where is immediately
as is where is
as is where is
as is where is
01.06.2007 as is where is
as is where is
01.06.2005 as is where is immediately
as is where is
as is where is
01.06.2006 as is where is
01.06.2008 as is where is
as is where is
inquire
01.04.1994 as is where is immediately
as is where is
01.06.1994 as is where is immediately
01.06.1994 as is where is immediately
01.06.2011 as is where is
01.06.2011 as is where is
01.06.2005 as is where is immediately
01.06.2005 as is where is immediately
as is where is
01.06.2003 as is where is
01.06.2002 as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
Sheet1
Page 104
01.06.2001 as is where is
01.06.1999 as is where is
as is where is
01.06.2007 as is where is
01.06.2005 as is where is
01.06.2005 as is where is
as is where is
01.06.2004 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is immediately
as is where is
01.06.2000 as is where is
01.06.2005 as is where is
as is where is
as is where is
as is where is
as is where is
as is where is immediately
as is where is immediately
inquire
01.06.2006 as is where is immediately
01.06.2006 as is where is immediately
as is where is immediately
as is where is
01.11.1999 as is where is immediately
01.11.1999 as is where is immediately
01.02.2001 as is where is immediately
as is where is
01.07.2006 inquire immediately
01.06.2007 as is where is immediately
01.06.2005 as is where is
01.11.2007 as is where is immediately
01.06.1999 as is where is
as is where is immediately
as is where is immediately
01.06.2004 as is where is
as is where is immediately
as is where is immediately
as is where is immediately
Sheet1
Page 105
01.06.2012 as is where is
01.06.2001 as is where is
as is where is immediately
01.07.2007 as is where is
inquire
as is where is
as is where is
01.06.2011 as is where is
as is where is
as is where is
01.10.2004 as is where is
01.06.2007 as is where is immediately
01.06.2007 as is where is immediately
01.06.1998 as is where is immediately
as is where is immediately
as is where is
01.06.2005 as is where is
as is where is
01.06.1999 as is where is
01.06.2001 as is where is
as is where is
01.06.2000 as is where is
as is where is immediately
01.06.2007 as is where is
01.06.2005 as is where is
01.01.2011 as is where is immediately
01.10.1998 as is where is immediately
as is where is
as is where is immediately
as is where is
01.12.1994 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2000 as is where is
01.06.2000 as is where is
as is where is immediately
01.10.2007 as is where is immediately
01.10.2007 as is where is immediately
01.10.2007 as is where is immediately
Sheet1
Page 106
01.10.2007 as is where is immediately
01.10.2007 as is where is immediately
01.10.2007 as is where is immediately
01.10.2007 as is where is immediately
01.10.2007 as is where is immediately
01.06.2007 as is where is immediately
as is where is immediately
01.04.2007 as is where is immediately
as is where is
inquire immediately
01.06.1995 as is where is immediately
as is where is immediately
01.10.2011 as is where is immediately
01.06.2006 as is where is
01.06.2006 as is where is
01.06.2006 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is immediately
01.06.2000 as is where is
01.06.2000 as is where is
01.06.2000 as is where is
01.06.2006 as is where is immediately
01.06.2006 as is where is immediately
01.06.2003 as is where is immediately
01.12.2005 as is where is immediately
01.12.2005 as is where is immediately
inquire immediately
as is where is
as is where is immediately
01.06.2005 as is where is
as is where is
as is where is
as is where is
inquire
as is where is immediately
as is where is
01.05.1979 as is where is
Sheet1
Page 107
01.02.2001 as is where is immediately
as is where is immediately
01.06.2007 as is where is immediately
01.06.2006 as is where is immediately
as is where is
01.08.2007 inquire immediately
as is where is
as is where is immediately
as is where is
01.06.1997 as is where is
01.06.1997 as is where is
01.06.1997 as is where is
01.06.1997 as is where is
01.06.1997 as is where is
01.06.1997 as is where is
01.06.1996 as is where is
01.06.1999 as is where is
01.05.2003 as is where is immediately
01.05.2003 as is where is immediately
01.01.2004 as is where is immediately
01.11.2003 as is where is immediately
01.06.2007 as is where is
01.06.2000 as is where is
01.06.1999 as is where is immediately
01.01.2000 as is where is
01.06.1998 as is where is
01.11.1997 as is where is
01.06.1999 as is where is
01.06.1998 as is where is
01.06.1998 as is where is
as is where is
01.06.1997 as is where is
as is where is
as is where is
01.11.1997 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is immediately
as is where is immediately
Sheet1
Page 108
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2006 as is where is
01.06.2006 as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.01.2008 as is where is immediately
01.06.2007 as is where is
as is where is
as is where is
01.06.2007 as is where is
01.06.1997 as is where is
01.06.1998 as is where is
01.06.1998 as is where is
Sheet1
Page 109
01.09.1996 as is where is immediately
01.09.1996 as is where is immediately
as is where is
01.03.2004 as is where is
01.01.2005 as is where is
01.06.2005 as is where is
01.01.2005 as is where is
01.06.2005 as is where is
01.01.2005 as is where is
01.01.2005 as is where is
as is where is
01.09.1996 as is where is immediately
01.09.2000 as is where is immediately
inquire immediately
as is where is
as is where is
as is where is
01.06.2000 as is where is immediately
as is where is
01.06.2005 as is where is
as is where is
01.12.1992 inquire immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
Sheet1
Page 110
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2005 as is where is
as is where is
01.06.2007 as is where is
as is where is
Sheet1
Page 111
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2010 as is where is
01.06.2008 as is where is
01.06.2006 as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.02.1997 as is where is
01.06.2003 as is where is
01.03.1997 as is where is immediately
as is where is
as is where is
as is where is immediately
as is where is immediately
Sheet1
Page 112
as is where is immediately
01.06.2002 as is where is immediately
as is where is immediately
01.06.2008 as is where is
inquire
inquire
as is where is
inquire
as is where is immediately
as is where is immediately
01.02.1997 as is where is immediately
01.06.2000 as is where is immediately
as is where is immediately
as is where is immediately
as is where is
01.02.2010 as is where is immediately
01.06.1997 as is where is
as is where is
as is where is immediately
as is where is immediately
as is where is
01.10.2010 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.01.2000 as is where is immediately
as is where is immediately
as is where is immediately
as is where is immediately
as is where is immediately
01.06.2015 as is where is immediately
as is where is immediately
29.12.1899 as is where is immediately
as is where is
as is where is
as is where is
Sheet1
Page 113
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2004 as is where is immediately
as is where is
as is where is
as is where is
inquire
as is where is
01.06.2002 as is where is
as is where is immediately
as is where is
as is where is
01.06.1991 inquire immediately
as is where is
inquire
as is where is
inquire immediately
inquire
01.06.1999 as is where is immediately
01.06.2000 as is where is
as is where is
as is where is
as is where is
inquire
as is where is
inquire
01.06.2004 as is where is
01.06.2004 as is where is
01.06.2006 as is where is
01.06.2002 as is where is
as is where is
as is where is
as is where is immediately
inquire immediately
01.05.1986 as is where is immediately
as is where is
01.06.1995 as is where is immediately
Sheet1
Page 114
inquire immediately
as is where is
as is where is immediately
as is where is
01.06.2008 as is where is
01.06.1995 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is immediately
as is where is
01.01.2006 as is where is immediately
as is where is
as is where is
as is where is
as is where is
01.06.2007 as is where is immediately
as is where is
as is where is
as is where is
01.05.2008 as is where is immediately
Sheet1
Page 115
Comments
-Currently de-installed -Can be sold "as is", or with power up buy-off
-Currently de-installed -Can be sold "as is", or with power up buy-off
-Currently de-installed -Can be sold "as is", or with power up buy-off
-Currently de-installed -Can be sold "as is", or with power up buy-off
Will be available for purchase on 8/17/2017
Will be available for purchase on 8/17/2017
-Currently de-installed -Can be sold "as is", or with power up buy-off
-Currently de-installed -Can be sold "as is", or with power up buy-off
-FOR SPARES USE OLNLY
-FOR SPARES USE OLNLY
Fully operational Part Automated and Part Batch Process Monocrystalline Solar Cells Producing 17% efficiency CellThe equipment that we currently have for sale is:SDI-Fabsurplus is pleased to announce the sale via private treaty of the following partial line of 5 inch wafer fabrication equipment.
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and -de-installed-warehoused-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and -Currently de-installed-Can be sold "as is", or with power up buy-off
-Can be sold in "as is" conditions, or with power up testing and installation.-Still running in the line-Can be seen operational-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and TSK-UF3000EX prober with cold option Vintage: 2007WAREHOUSED AT SDI-FABSURPLUS WAREHOUSE IN AVEZZANO, ITALY.-8 inch (dia.) Temperature Controlled Nickel Plated Chuck-WAREHOUSED AT SDI-FABSURPLUS WAREHOUSE IN AVEZZANO, ITALY.-qty 7 availableEpi Metrology Spectromter, ONLINE 2110 Spectrometer Head, IRVINE OPTICAL NanoLoader II sold as fully functional-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and
Inspect to verify configuration. This handler was used with T5375-0088Software Version: 3.13P17 Electrical Power Configuration: Input -Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and -Boards from the test system have been removed and are available for sale.
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and
Sheet1
Page 116
-Currently de-installed -Can be sold "as is", or with power up buy-off
-Currently de-installed -Can be sold "as is", or with power up buy-off
-Currently de-installed -Can be sold "as is", or with power up buy-off
-FOR SPARES USE OLNLY
-FOR SPARES USE OLNLY
Gas Cabinet
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and -Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and -Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and De-installed ,warehoused.Location: Avezzano (AQ) 67051 Italy .-In Avezzano 67051 (AQ) Italy-CE marked-In Avezzano (AQ) 67051 Italy-CE marked
Config: HPSMU: 1Unit
SmarTest: Rev. 5.3.4+1Agilent 8510 100 GHz Sparm test system Agilent 8510 100 GHz Sparm test system HP 8510XF (E7350A) 100 GHz test system 100 GHz VNA Includes two Agilent V3300, Vera Tester Versa Tester for FZTAT (Memory portion) Tool ID: T-B01
Dual Gas Cabinet for Helium, 2ea Available with Auto-Switch & Auto Purge Dialog Operator Panel PCS 090 Gas Panel: N2 Purge, N2 Venturi, Vent Dual Gas Cabinet for Nitrogen Purge Unit Switchover System: Low Pressure, High Pressure Gas: Nitrogen/Helium N2/HE 220V 2.0A 50 Hz 1 Phase
* 3/4 HP UL listed motor, 115 VAC, 12 amp * Explosion proof switch installed and wired * 8" intake and exhaust flange * 1570 cfm free air
Akrion, UP V2 MP-2000, 200mm, Cu Clean Sink Akrion, UP V2 MP-2000, 200mm, Cu Clean Sink
Sheet1
Page 117
In warehouse, bagged and skidded.
De-installed, In an inspection facility. Can be inspected by appointment.
Will be available for purchase on 9/15/2017
Will be available for purchase on 8/11/2017
Will be available for purchase on 6/9/2017
Will be available for purchase on 11/3/2017
Process tank interior dimensions are 3 ea - 16 x 9 x 10 (d). 3 ea - QDR tank interior dimensions are 16 x 9 x 9 (d).
For sale: full TCT40 G6 PVD line ( G4.5 cleaner + G4.5 robot + G6 PVD).Now it is retained in the clean room. Heated & Water Cooled Platen for up to 200mm Wafers Dual Cassette Load/Unload Platforms MECS UTW-3100P Three Axis Wafer Robot MECS CS-1000
Set Size 150 mm Vacuum Chuck Gold Probe Card Adapter YES Description Wafer Prober Other Information * Serial Number R45H-6426-10 * ALESSI ECC01 * Volumetric Silver-Glass Dispense System With "Star Fish" Dispense Tool
AMI Presco 885 screen printer control boxWith a complete set of connecting cables, about 6' in length.De-installed, uncrated, in Boerne, TX warehouse See specifications sheets attached * Mark III Torsion Bar squeegee head with micrometer adjustment * Includes the following parts:
De-installed, crated and in our Boerne, TX warehouse Available for Inspection MSP-9155 screen printer, previously from our 10MW solar line in Flipper for Solar cells. AMI PRESCO wrapped, crated and located in Boerne, TX Loading/Reloading Modules Modules: MTF-SxF, x-magazine loader MTF-SxR,
CE MARK 380V , 11kW, 3 phase.SERIAL NUMBER 5573CE MARK 380V , 18A, 11kW, 3 phase. SERIAL NUMBER 5574 internal dimensions 100 cm x 69 cm x 85 cm hight temperature range +40 to +160 celcius external Supply Voltage: 3 phase N. PE. Nominal Voltage: 3 x 400 VAC 50 Hz 9A 3 KVA Ce marked
Part No 0020-0323 Rev H S/N 108401Located in Avezzano (AQ) 67051 Italy
Applied Materials, Etch, Centura Carina Chamber 300mm Chamber Only. Carina Etch Chamber. Chamber Materials: ADVANCED CERAMIC Lid Materials: AG 1000
Sheet1
Page 118
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
Bagged and Skidded
includes PVD W chamber and degas chamber only
Applied Materials, Centura HDPCVD, 200mm, Applied Materials, Centura HDPCVD, 200mm,
Applied Materials MxP+, Centura, Oxide Etch, 200mm Applied Materials MxP+, Centura, Oxide Etch, 200mm 2x MxP+, 1x Super E S/N: 305049 WITH SMIF FITTEDTxZ Chamber
Applied Materials, DPS II Metal W Etch, 300mm Manufactured in 2005; Status: Bagged and Skidded Bagged & Skidded:Applied Materials, Etch, DPS II POLY , 300mm Manufactured in 2007; Status: Bagged and Skidded, 300mm !!!MULTIPLE UNITS AVAILABLE. PLEASE INQUIRE!Applied Materials, Etch, DPS II POLY, 300mm Manufactured in 2007; Status: Bagged and Skidded 3x DPS II 1 x AXIOM MULTIPLE UNITS AVAILABLEApplied Materials, Etch, DT HART , 300mm Manufactured in 2006; Status: Bagged and SkiddedApplied Materials, Etch, e-MAX CT + 300mm Applied Materials, Etch, e-MAX CT + 300mm Oxide Etch.Will be available for purchase on 6/15/2017
Sheet1
Page 119
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
PECVD Passivation deposition
AMAT P5000-Mark II, 200mm, TEOS Dep- Etch Back
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
Will be available for purchase on 6/18/2017
Will be available for purchase on 7/3/2017
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
Will be available for purchase on 9/1/2017
De-installed, In an inspection facility. Can be inspected by appointment.
Will be available for purchase on 12/15/2017
Applied Materials, Mirra Track, 200mm, CMP Applied Materials, Mirra Track, 200mm, CMP Mirra 3400 (for CMP Polisher) & Ontrak (for CMP POST Cleaner). Applied Materials NanoSEM 3D, 300mm wafers, CD SEM MULTIPLE UNITS AVAILBLE. PLEASE INQUIRE. SEM - Critical Dimension (CD) Measurement Currently Applied Materials NanoSEM 3D, 300mm wafers, CD SEM Applied Materials NanoSEM 3D, 300mm wafers, CD SEM MULTIPLE UNITS AVAILABLE. PLEASE INQUIRE. -De-installed.-Warehoused.
REPORT ON THE REFURBISHMENT MADE ON THE P5000
REPORT ON THE REFURBISHMENT MADE ON THE P5000
AMAT P5000-Mark II, 200mm, TEOS Dep- Etch Back AMAT P5000-Mark II, 200mm, TEOS Dep- Etch Back
Applied Materials P5000 Etch-Depostion, 200mm, Applied Materials P5000 Etch-Depostion, 200mm Still in the Fab.
Producer GT, AMAT, 300mm, CVD,2 chambers CVD-De-installed-On skids in Plastic Wrap
Deinstalled, warehoused. Can be inspected by appointment.
Retired from Production June 2015 Was converted to 300mm from 200mm. Bottom Entry Utilities Low Backside Particle End Effector Quantum X Plus Ultralife Applied Materials, 300mm, Ion Implanter Quantum X Plus + Applied Materials 300mm Ion Implanter Quantum X Plus + Last date of operation August 5, 2015
Sheet1
Page 120
Applied Materials, Uvision 200, Bright Field Inspection, 300mm
De-installed, In an inspection facility. Can be inspected by appointment.
Will be available for purchase on 8/7/2017
Will be available for purchase on 6/28/2017
Will be available for purchase on 6/23/2017
Will be available for purchase on 12/6/2017
Will be available for purchase on 11/25/2017
Will be available for purchase on 8/7/2017
Will be available for purchase on 8/1/2017
Will be available for purchase on 6/30/2017
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
ASM A412 Vertical Furnace, 300mm, LPCVD SiN & SiN CE
Applied Materials, SEMVision CX, 200mm, Applied Materials, SEMVision CX, 200mm
-De-installed-On skids in Plastic Wrap-De-installed-On skids in Plastic Wrap
-Fully Refurbished-Currently installed an operational-DE-IInstalled-Can be inspected by appointment
Deinstalled, warehoused. Can be inspected by appointment.
1. Model is APT3245 tool has worked well to etch Al on 4 quartz wafers since installing in 2012.
ASM A412 Vertical Furnace, 300mm, LPCVD As Dpoed Poly / TiN ASM A412 Vertical Furnace, 300mm, LPCVD As Dpoed Poly / TiN Twin LPCVD As Doped ASM A412 Vertical Furnace, 300mm, LPCVD As Dpoed Poly / TiN ASM A412 Vertical Furnace, 300mm, LPCVD As Doped Poly / TiN Twin LPCVD As Doped -All the bonders available have the same configuration, hence the attached photos show only one of the bonders.ASML, 100D Lithography, 200mm. ASML, 100D Lithography, 200mm.OEM Deinstalled Nov 2016. Tool is in warehouse.
Sheet1
Page 121
Asyst, WMS2200, 200mm Asyst, WMS2200, 200mm
De-installed, In an inspection facility. Can be inspected by appointment.
Padox/Sacri-Ox
Padox/Sacri-Ox
Cu Anneal
LPCVD TEOS
Plain Poly
Plain Poly
NITRIDE PAD spcr
NITRIDE PAD spcr
Axcelis Fusion 200PCU 200mm UV Cure Axcelis Fusion 200PCU 200mm UV Cure
MISSING PARTS:-Parts Missing: qty. 1 SPARE ASSY, AUTO SHUTTER qty. 1 SPARE ASSY, BEAM REVERSER qty. 1 POWER SUPPLY ASSEMBLY, DC qty. 1 POWER SUPPLY,
WAREHOUSED.Located in Avezzano, Italy.
ASYST BROOKS 1150-V131S 150mm SMIF load portMissing some parts, see photosSold as working, used loaders, see photos
Asyst, WMS2200, 200mm Asyst WMS 2200, 200mm PRI Robot tool has 24V DC power supply inside
ATCOR, Ultra-6221, Box Cleaner, 200mm ATCOR, Ultra-6221, Box Cleaner, 200mm Aqueous-based Precision Cleaning System Automated Wash, Rinse, and Dry
ATMI DELATECH 859 CDO Gas Burner Serial Numbers CC03305 and CC03306 Delatech Process Controller EPO (emergency power off) Button 2ea Magnehelic
Used August/ Rudolph NSX 90 Wafer Automated Defect Inspection System for sale.
-De-installed-On skids in Plastic Wrap
SVG, AVP 8000 LP, 200mm Vertical Furnace SVG, AVP 8000 LP, 200mm Vertical Furnace LPCVD Nitride-ONO
Axcelis Fusion 200PCU 200mm UV Cure Axcelis Fusion 200PCU 200mm UV Cure Axcelis Fusion 200PCU 200mm Photostabilizer Bagged & skidded.
Axcelis Compact II, 300mm, H2 Reflow Furnace, Axcelis Compact II, 300mm, H2 Reflow Furnace, C4 ProcessingAxcelis Fusion 200PCU 200mm UV Cure Axcelis Fusion 200PCU 200mm UV Cure Axcelis Fusion 200PCU 200mm Photostabilizer Bagged & skidded.
1. No configurationsParts necessary for upgrading a GSD200E implanter from 80 KeV to 160 KeV.Please refer to the attached excel spreadsheet for a list of which parts -Deinstalled-Warehoused
Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.
De-installed and warehoused in March 2012.Location: Port Klang, Malaysia.
Sheet1
Page 122
Water Cooled Power Requirements 208/230 V 50/60 Hz
Overlay Metrology Tool
Overlay Metrology Tool
Overlay Metrology Tool
Blue M Electric Oven, DCC-1406CY Blue M Electric Oven, DCC-1406CY
Liquid Dispenser
Parts tool. Note Missing parts in configuration.
Parts tool. Note Missing parts in configuration.
Parts tool. Note Missing parts in configuration.
THIS MODULE: Electrical tester.Operational prior to de-installationChip and Crack Camera for incoming wafer inspection at the front end of the line before the printing step .De-installed and warehoused in March 2012.BACCINI DRYER 1De-installed and warehoused in March 2012.BACCINI DRYER 2Location: The warehouse, Port Klang, Malaysia.Integrated printers and dryers for the production of 156 mm square solar Integrated printers and dryers for the production of 156 mm square solar cells.-This system was completely re-manufactured in 2011 by a 3rd party engineering company ("APSy").
2 Tube horizontal PECVD100 pcs/run per tube
* Fully Operational * Date of Manufacture: November 1, 1998 ? 2ea Send/Receive Cassette Elevators for 75mm ? 200mm Wafers ? KENSINGTON XYZ
Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.
Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.BTU, Ovens / Furnaces, 300mm, Controlled Atmosphere Furnace BTU, Ovens / Furnaces, 300mm, Controlled Atmosphere Furnace Status: Removed Controlled
Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.
High NA covers 0.18 um to 0.15 um design rules using 248 KrF illumination. The wafer size can easily be changed between 200 mm and 300 mm with a
Sheet1
Page 123
Microwave Ashing System
Cressington, Sputtering System
Helium Compressor
Microtester with LC200 Die Shear Load Cell
De-installed, In an inspection facility. Can be inspected by appointment.
Delta Design Turbo Flex, IC handler , LN2 option
Delta Design Turbo Flex, IC handler , LN2 option
Not working
Canon, Lithography, FPA-5500iZa 300mm Manufactured in 2007; Status: Bagged and Skidded
This item is included with the 35 MW Baccini line, ID 54859 This item is included with the 35 MW Baccini line, ID 54859Location: Port Klang, Malaysia
AUTOCLAVE MOD. UG 50 EPOWER SUPPLY 3X380V+N+T KW 16The OLED 3.5G line is available as attached.The tools will be moved out of the fab in the end of this year.
-A set of boards only which came from a Credence Duo SX test system
CTI Cryogenics Model ON-BOARD 10FRebuilt with 1 year Performance Warranty
Cymer excimer laser electrode paddle of the chamber, it can be used on 5x00, 6x00 and 7x00 laser .-Shut down in the fab.Removed July 2017. Sold "as is"
-Was used with an ASML-In working conditionCYMER ELS-5400 Laser System.AS-IS Where is Dage Precision Model 2400PC PC Control System StereoZoom Microscope Year of Manufacture 1995 AP011A Die Shear Workholder Vacuum Plate
0-200gm LC200 Load Cartridge BT24-APF6 Workholder BAUSCH & LOMB StereoZoom 7 Microscope w/0.5X Adapter Oblique Illumination Stand Alone Control 50gm or 200gm Wire Pull Load Cells Available (1ea is Included with the Equipment, customers choice) (2nd can be Purchased if Needed) BAUSCH & LOMB
Daitron / EMTEC, CVP - 80, Edge Grinder, Daitron / EMTEC, CVP - 80, Edge Grinder, T-see attached photos for details-located in Avezzano (AQ) 67051 Italy
Was operational when deinstalled.When installed, it was producing solar cells with an efficiency of 17%. De-installed, uncrated, in Boerne, 78006 TX warehouseModel PH10 Adjustment system
Inspection available
Sheet1
Page 124
Will be available for purchase on 9/1/2017
Will be available for purchase on 8/1/2017
De-installed, In an inspection facility. Can be inspected by appointment.
Inspection available
Inspection availableInspection availablevintages 2000/2003/2007
-de-installed-Can be sold "as is'< or with power up buy-off.-Inspection available.-This tool is currently de-installed and stored in a demo. facility.Inspection available.Power up available.
-in great condition-see attached photos for detailsInspection available.Inspection available.Power up available.Inspection available.Power up available.
-De-installed-On skids in Plastic Wrap-De-installed-On skids in Plastic Wrap-De-installed-On skids in Plastic Wrap-De-installed-On skids in Plastic Wrap
DNS, SK-200, Coater Developer, 200mm DNS, SK-200, Coater Developer, 200mm S/N: 56700 Missing Many Parts. Known Missing Parts. Location on Tool
DNS SK-W80A-BVP Dual Photoresist Coater/Developer · 8 Wafer Handling · 4ea Cassette Elevators · 2ea Develop Spin Stations · 2ea Photoresist Develop
DNS, Clean / Strip, SPW 813A 200mm SPW 813A Manufactured in 2008 Tool ID: E-O02
DNS, Clean / Strip, SU3000 300mm SU3000 Manufactured in 2005; Status: Bagged and SkiddedNew system, still installed, used only slightly
Sheet1
Page 125
DEINSTALLED, BAGGED AND SKIDDED
Dry Vacuum Pump, 127 CFM, 6 Pump Stages, 10ea Avaiable
Dry Vacuum Pump, 300 CFM, 6 Pump Stages
Will be available for purchase on 6/7/2017
Location: Avezzano (AQ) 67051 Italy
* For Scribing & Breaking of up to 4 Wafers * Chip Free" Breaking Mechanism Uses Impact Breaking System * Minimum Die Size: 0.005 Square E-SUN System, SEMI AUTO POD CLEANER , 200mm SEMI AUTO POD CLEANER Manufactured in 2008; Status: Cold ShutdownDry Vacuum Pump, 42 CFM, 5 Pump Stages, Ultimate Pressure of 30 m Torr, 2ea Available
DRY PUMP CC 1 MODEL A10SSETTING PRESSURE 0.04-0.07DRY PUMP CC 1 MODEL A10SSETTING PRESSURE 0.04-0.07DRY PUMP CC 1 MODEL A10SSETTING PRESSURE 0.04-0.07Manufacturer: EbaraModel: A30WManufacturer: EbaraModel: A30WEbara, 300mm, Plating Plater, PbSn, Lead Tin, Electroplate UFP-200/300A Ebara, 300mm, Plating Plater, PbSn, Lead Tin, Electroplate UFP-200/300A Ebara, 300mm, Plating Plater, Ni Cu PbSn, Lead Tin, Electroplate UFP-300A Ebara, 300mm, Plating Plater, Ni Cu PbSn, Lead Tin, Electroplate UFP-300A Ebara CMP - WMissing HDD
POWER SUPPLY MODULE FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.
DRIVER MODULE FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.DRIVER MODULE FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.DRIVER MODULE FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.DRIVER MODULE FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.DRIVER MODULE FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.DRIVER MODULE FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.DRIVER MODULE FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.DRIVER MODULE FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.DRIVER MODULE FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.DRIVER MODULE FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.DRIVER MODULE FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.UBTS DIAGNOSTIC BOARD FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.EXTENDER CARD FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.NEW UNUSED.FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.
Motor Horse Power 3.0 HP Other Information * 36.7 cfm * 3.0 HP * 2 Stage Motor * 208 V 50/60 Hz 3PhaseWith outlet oil mist filter.See attached photos.
p/n 810-08442R s/n RS 0000815 Was used for Nitride process. Has been in contact with N2, NH3, DCS.QMB1200 S/N Q810 IQDP80 S/N 97212782 Was used for Nitride process. Has been in contact with N2, NH3, DCSp/n 810-08442R Was used for doped Poly processLocation: Avezzano (AQ) 67051 Italy
Sheet1
Page 126
Location: Avezzano (AQ) 67051 Italy
Location: Avezzano (AQ) 67051 Italy
Location: Avezzano (AQ) 67051 Italy
Electroglas, EG4090u, Wafer Prober, 200mm Electroglas, Bad Power Supply
Electroglas, EG4090u, Wafer Prober, 200mm No Monitor & No Power Supply
Vintage 1993
p/n 810-08442R Was used for doped Poly process Stock photos for illustrative puposes only.p/n 810-08442R Was used for doped Poly process.Location: Avezzano (AQ) 67051 Italy
Electroglas, 2001X, Wafer Prober, 200mm, Electroglas, 2001X, Wafer Prober, 200mmElectroglas, 2001X, Wafer Prober, 200mm, Electroglas, 2001X, Wafer Prober, 200mmElectroglas, 2001X, Wafer Prober, 200mm, Electroglas, 2001X, Wafer Prober, 200mmElectroglas, 2001X, Wafer Prober, 200mm, Electroglas, 2001X, Wafer Prober, 200mmElectroglas, 2001X, Wafer Prober, 200mm, Electroglas, 2001X, Wafer Prober, 200mmElectroglas, 2001X, Wafer Prober, 200mm, Electroglas, 2001X, Wafer Prober, 200mmElectroglas, 2001X, Wafer Prober, 200mm, Electroglas, 2001X, Wafer Prober, 200mmElectroglas, 2001X, Wafer Prober, 200mm, Electroglas, 2001X, Wafer Prober, 200mmElectroglas, 2001X, Wafer Prober, 200mm, Electroglas, 2001X, Wafer Prober, 200mmElectroglas, 2001X, Wafer Prober, 200mm, Electroglas, 2001X, Wafer Prober, 200mmElectroglas, 2001X, Wafer Prober, 200mm, Electroglas, 2001X, Wafer Prober, 200mmElectroglas, 2001X, Wafer Prober, 200mm, Electroglas, 2001X, Wafer Prober, 200mmElectroglas, 2001X, Wafer Prober, 200mm, Electroglas, 2001X, Wafer Prober, 200mmElectroglas, 2001X, Wafer Prober, 200mm, Electroglas, 2001X, Wafer Prober, 200mmElectroglas, 2001X, Wafer Prober, 200mm, Electroglas, 2001X, Wafer Prober, 200mmElectroglas, 2001X, Wafer Prober, 200mm, Electroglas, 2001X, Wafer Prober, 200mmElectroglas, 2001X, Wafer Prober, 200mm, Electroglas, 2001X, Wafer Prober, 200mmElectroglas, 2001X, Wafer Prober, 200mm, Electroglas, 2001X, Wafer Prober, 200mmElectroglas, 2001X, Wafer Prober, 200mm, Electroglas, 2001X, Wafer Prober, 200mm
Electroglas, EG4090, Wafer Prober, 200mm Electroglas, EG4090, Wafer Prober, 200mm
Manufacturer: Electroglas
Electroglas, SVTR, Wafer Prober, 200mm, Electroglas, SVTR, Wafer Prober, 200mmIn good working conditionLocation: Avezzano, ItalyIn good working conditionLocation: Avezzano, ItalyQty 8 available-Switching power supply with auto-rangingVOCUS EMI Mobile Chem/Slurry Delivery Cart for supporting CMP Tools !!MULTIPLE UNITS AVAILABLE - PLEASE INQUIRE!!! Tools are in warehouse
REV 1IN ORIGINAL PACKAGING.Unit is a standard Spectrum 3013
Sheet1
Page 127
Die Attacher, 3ea Available
Will be available for purchase on 6/30/2017
De-installed, In an inspection facility. Can be inspected by appointment.
FEI Tecnai TF20 FE-TEM, FEI Tecnai TF20 FE-TEM
Will be available for purchase on 6/30/2017
EKC, DIW, DILUTE HF BACK END PROCESS
- Has CE marking certification.- De-installed, warehoused.DEINSTALLEDWAREHOUSED 1. The system full detail configuration as follows:
-de-installedDeinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.
De-installed, can be inspected by appointment in the warehouse.
-A total molecular base real-time monitor.-In excellent , operational condition
* Configured for 6 Wafers * 25 Wafer Load/Unload * Boat to Boat Transfer * Cassette to Cassette Transfer * Approximately 34 Second Transfer
-Refurbished condition-Can be sold with power up, support etc.
-Refurbished condition-Can be sold with power up, support etc.
FEI, Micrion, Vectra 986, FIB FEI, Micrion, Vectra 986, FIB FEI Company Vectra 986+ FlipChip (Backside) Circuit Edit Focused Ion Beam (FIB) Fully -Refurbished condition-Can be sold with power up, support etc.-Refurbished condition-Can be sold with power up, support etc.-Refurbished condition-Can be sold with power up, support etc.
"The S from 400S designates the STEM option which includes:o Flip stage for S/TEM prep
FEI XL835 Dualbeam Workstation (Photo's attached) (XL835 means it has a Magnum ion column which is better than the ion column in a XL830) Sample Deinstalled, warehoused. Can be inspected by appointment.
We also have a FEI 820 dual-column focus-ion beamtool. This tool has been running until recently, but
Metron, Fluoroware, HTC-8020-FE, Box Pod Cleaner, 200mm Metron, Fluoroware, HTC-8020-FE, Box Pod Cleaner, 200mm-inspection is welcomed by appointment-This equipment is still installed
Sheet1
Page 128
belt type robot
belt type robot
belt type robot
belt type robot
not working
- FSI polaris 3500 spares for immediate sale as follows :-
FSI ZETS, TEL, 200mm, Surface Preparation, CoSi FSI ZETS, TEL, 200mm, Surface Preparation, CoSi-De-installed-On skids in Plastic WrapFSM, 128, Film Stress Measurement Tool, 200mm FSM, Frontier Semiconductor Measurement, 128, Film Stress Measurement Tool, 200mm
FUSION SEMICONDUCTOR SYSTEMS M200PCU UV Curing System
Gatan, 691 - PIPS, Precision Ion Polishing System, Gatan, 691 - PIPS, Precision Ion Polishing System,
Fringe Sensitivity Range: 0.5 to 10.0 micrometers per fringe System Accuracy: 0.25 micrometers Maximum Test Area: 5.0 x 4.5 inches Maximum GeMeTec, Munich Metrology, Elymat II, 200mm, Electrolytical Metal Analysis Tool The ELYMAT-technique (ElectrolyticalMetal Analysis Tool) determines GeMeTec, Elymat III, 300mm, Electrolytical Metal Analysis Tool GeMeTec, Elymat III, 300mm, Electrolytical Metal Analysis Tool The ELYMAT-technique
-De-installed-WarehousedDe-installed.Warehoused.De-installed.Warehoused.De-installed.Warehoused.De-installed.Warehoused.De-installed.Warehoused.DEINSTALLEDWAREHOUSEDDeinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.
Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.
Sheet1
Page 129
Will be available for purchase on 12/8/2017
Will be available for purchase on 12/8/2017
Will be available for purchase on 11/10/2017
Will be available for purchase on 11/10/2017
Will be available for purchase on 11/10/2017
Will be available for purchase on 10/13/2017
Will be available for purchase on 10/13/2017
Will be available for purchase on 10/13/2017
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
10 nm resolution, 2 load ports. Bagged and Skidded
10 nm resolution, 2 load ports. Bagged and Skidded
10 nm resolution, 2 load ports. Bagged and Skidded
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.
GSI, Lumonics, WaferMark, Sigma Clean, 200mm, Wafer Scriber GSI, Lumonics, WaferMark, Sigma Clean, 200mm, Wafer ScriberThe C7103 is a computerised IC backside polishing system for use when preparing a-Still installed-In excellent, operational conditionDeinstalled, warehoused. Can be inspected by appointment.
Harmotec, WPO-67-B, Wafer Packing, 200mm MaWPO-67-B. nufactured in 2008; Status: UnhookedHMI, eScan 320, 300mm, ebeam Inspection HMI, eScan 320, 300mm, ebeam Inspection eScan 320 eBeam defect inspection and review system Integrated -Refurbished condition-Can be sold with power up, support etc.
Hitachi High-Tech DE, BIST - BT1064 , Memory BIST Tester Hitachi High-Tech DE, BIST - BT1064 , Memory BIST Tester Sort Tester-deinstalled-warehoused-de-installed-Can be sold "as is' or with power up buy-off.
De-installed, warehoused. Can be inspected by appointment. Price: Please make best offer.Hitachi, LA-3100, Registration Analyzer, 200mm. Hitachi, LA-3100, Registration Analyzer, 200mm. Many Missing Parts. KNOWN Missing Parts.
In-line Defect Review SEM for Next-generation Device Production:RS-4000
Hitachi, S-4500, SEM, Failure Analysis SEM Hitachi, S-4500, SEM, Failure Analysis SEM Quartz PCI USB V9.5 (for Image Capture) System is powered up
Sheet1
Page 130
Hitachi, S-7800 CD-SEM, 200mm Manufactured in 2008
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
DEINSTALLED, BAGGED AND SKIDDED
bagged and skidded
DEINSTALLED, BAGGED AND SKIDDED, BUT TOOL IS REPORTED IN WORKING CONDITION
ELECTRON GUN NEEDS REPLACING. 200 KV,
De-installed, In an inspection facility. Can be inspected by appointment.
-Please refer to the attached photos for details.-Price is open to best offers.-Refurbished condition-Can be sold with power up, support etc.
-Refurbished condition-Can be sold with power up, support etc.
Hitachi, S-5000, SEM, 200mm Hitachi, S-5000, SEM, 200mm Running. Power ON, Under Vacuum. DOM 1995-Refurbished condition-Can be sold with power up, support etc.
" Cassette-to-Cassette Handling for 4, 5 & 6 Wafers " 100X ~ 100,000X Magnification " 0.1 ~ 200 µm Measurement Range " 15 nm Guaranteed (at 1 kV) Wafer size is 150mm open cassette.
Wafer size 200mm open cassette
-this part will turn a S8820 into an S8840.-new unused.new optical system and the improved graphite furnace power supply circuit ensure high sensitivities. Using an ordinary hollow cathode lamp, the Deinstalled, warehoused. Can be inspected by appointment.
Deinstalled, warehoused. Can be inspected by appointment.
Hugle, UPC-12100 FOUP Cleaning, 300mm UPC-12100 Manufactured in 2007; Status: Bagged and Skidded
PTF-1 = Portable Test Floor 1.
Acculine/Accubath Quartz Tank, Laminated Heating for Dual 200mm Cassettes " For Dual 200mm Cassettes " P/N 99-000-1282 " Laminated Heating " 208 VAC " For Dual 125mm Cassettes " P/N 10-000-0126 " Laminated Heating " 234 VAC Max Volts " 2372W (NOM) @ 208 VAC " 50/60 Hz, 1 PhaseInnolas LaserCurrently in storage in Port Klang, Malaysia.Still installed, working in fab
200 Wafer Size Single Wafer Polishing; Polish Arm/Wafer Carrier; Load/Unload Station; Primary/Final Polish Table (22.5"/20.625"); Carrier Wafer Inspection Station with Nikon Optiphot, DIC Optics, Isolation Table # Automatic Handling of 3 6 Wafers # NIKON Brightfield/Darkfield DIC Irvine Optical, UL208, 200mm, Wafer Mapper Irvine Optical, UL208, 200mm, Wafer Mapper Some components are missing.
CD-SEM Scanning Electron Microscope Wafer Size Range Minimum 100 mm Maximum 200 mm Resolution 80.00 Å Cassette to Cassette YES Other Information *
Sheet1
Page 131
FITTED WITH SMIF INTERFACE
in cold shutdown. ASYST SMIF LOADER
FITTED WITH SMIF INTERFACE
Automatic Hybrid Wedge Bonder, 100mm x 100mm Travel
Wire Bonder, 2ea Available
De-installed, unknown condition.
2001
1999
2001
2001
1999
1995
1995
1995
1991
1989
1997
MODEL: Q2 WHD A serial number 40-020-11/1-De-installed-On skids in Plastic Wrap-In Avezzano (AQ) 67051 Italy-CE marked
* K&S 943 Pattern Recognition System * Operating System Software Revision 9.46-A46 * 2ea 5 ¼ Floppy Disc Drives * Bausch & Lomb StereoZoom 4-ST Gold Wire Bonder, 4ea Available: * K&S 870 Pattern Recognition System, Revision 1.65-0-08Semi-Automatic Epoxy Die Bonder with PRS Can be operated in both Manual and Semiautomatic Modes Capable of Stamper or Dispenser Systems for Adhesive
* Manufactured in June, 1998 * Currently in Operation * Pattern Recognition System, Resolution of 0.8 microns * Cut Placement Accuracy of 4 microns and Karl Suss RA-120M - PART TOOL ONLY Auto Wafer Scriber, Parts Tool, Missing ControllerCurrent condition: De-installed, warehoused, crated.Location: Avezzano, (AQ) 67051 Italy
-Still installed and operational-Located in Avezzano Italy
THE PRE-ALIGNER IS INCLUDED, BUILT INTO THE ROBOT. The cable is included. Lead time: 3 days following payment.
Vertical Measurement Ranges:
Good condition, sold as-isCan be tested prior to shipping-De-installed-On skids in Plastic Wrap
Deinstalled, barrier bagged, warehoused.Located at our Boerne TX Warehouse.
Sheet1
Page 132
bagged and skidded
Tool is powered on in the fab.Fitted with dual 200 mm SMIF loaders
Qty 3 x 200 mm smif loaders
KLA-TENCOR, AIT 1, Surfscan, Darkfield Defect Inspection, 200mm SMIF
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
KLA-Tencor, E-beam Inspection, 300mm
Benchtop version.DOS software. Network connection
Benchtop version.DOS software. Network connection
Patterned Wafer Surface Inspection Tool
Patterned Wafer Surface Inspection Tool
Patterned Wafer Surface Inspection Tool with Autofocus Review
Serial number W21XX639 can be sold "as is" or operational to oem specs. Deinstalled by KLA, Warehoused, barrier bagged. The system was deinstalled KLA-Tencor, 2132 Bright Field Inspection, 200mm Manufactured in 1996; Status: Bagged and Skidded
De-installed, In an inspection facility. Can be inspected by appointment.-Dual ASYST 300FL 300 mm SMIF LOADERSLocated in Avezzano, Italy. CE Marked warehoused can be demonstrated in excellent condition This is a 5105 that has been upgraded to XP.
Deinstalled, warehoused, crated.Location: Avezzano, Italy
KLA Tencor AIT XUV Laser Scanning Wafer Inspection 300mm Dual 300 mm loaders
10 mm Scan Length 2 to 250 µm/sec Scan Speed 50/sec nominal Sampling Rate Vertical Resolution 1Å Resolution ±6.5 µm 25Å Resolution ±150µm 1.0-100 mg
KLA-Tencor, CRS1010 Defect Review, 200mm Manufactured in 1997; Status: Bagged and Skidded
bagged and skidded.config. Available on request. Inspection available by appointment in the warehouse.KLA-TENCOR, eS810, e-beam inspection, 300mm Voltage Contrast and Large Physical Defect Inspection, Electron Beam Inspection (EBI). Most updated KLA-TENCOR, eS810, e-beam inspection, 300mm Voltage Contrast and Large Physical Defect Inspection, Electron Beam Inspection (EBI). Most updated Accommodates Wafer Sizes from 100mm 200mm Measures Single & Multilayer Films 410nm 800nm Reflectivity Range Contour, Die and 3-D Mapping COGNEX bagged and skidded.config. Available on request. Inspection available by appointment in the warehouse. SMIF fittedKLA-Tencor, LMS IPRO5, Photomask Registration and CD Metrology System 6 by 0.25 inch photomask and EUV masks Static Positional Repeatability 3s (short bagged and skidded.config. Inspection available by appointment in the warehouse.
KLA Tencor UV 1050, 200mm, Thin Film Thickness Measurement SMIF loader. Bagged and skidded. * Wafer Chuck for up to 200mm Wafers * Dual Platforms for up to 200mm Open Cassette * Maker : KLA-Tencor
Sheet1
Page 133
Will be available for purchase on 6/30/2017
Will be available for purchase on 6/30/2017
ALD-TiN process
New in box, never installed, ALD-TiN process
Will be available for purchase on 8/1/2017
Will be available for purchase on 7/1/2017
-Sold In Working condition , with a power up demo available.-Please refer to the attached photo.ALD TIN process. Basic Tool Configuration - Mainbody and Axes Included - Wafer Transfer Robot Included - OHT(I/O) Stage Included - Clean Unit Module
Kokusai Quixace Ultimate, 300mm, MT-TiN, DJ-1236VN-DF Kokusai Quixace Ultimate, 300mm, MT-TiN, DJ-1236VN-DF TiCl4, NH3 - Process for TiN - Prior Kokusai, 200mm, DJ-853V, LPCVD SiN S. MISSING PARTS. DEINSTALLED, BAGGED, SKIDDED
Sheet1
Page 134
Will be available for purchase on 8/3/2017
Will be available for purchase on 11/23/2017
Will be available for purchase on 8/18/2017
Will be available for purchase on 7/28/2017
Will be available for purchase on 8/18/2017
Will be available for purchase on 2/15/2018
Will be available for purchase on 12/14/2017
low temperature steam anneal process for SOD
Will be available for purchase on 7/1/2018
Will be available for purchase on 7/1/2017
Will be available for purchase on 6/1/2018
Will be available for purchase on 5/1/2018
Will be available for purchase on 2/1/2018
Will be available for purchase on 9/22/2017
LesKer, Aluminum Evaporator, 200mm, KJLC Custom Deposition System Kurt J. Lesker, Aluminum Evaporator, 200mm, manual loading
LAM RAINBOW 4428, 200mm, POLY/NITRIDE ETCHER, smif dual loaders fitted. ENVISION S/WLAM, TCP 9608 METAL ETCHER, 200mm LAM, TCP 9608 METAL ETCHER, 200mm In the Warehouse, Bagged & Skidded DUAL 200 MM SMIF LOADERSLAM, Etch, TCP9408SE 200mm Manufactured in 1997; Status: Bagged and Skidded in Warehouse .dual 200 mm smif loadersLAM, Etch, TCP9408SE 200mm Manufactured in 1997; Status: Bagged and Skidded in Warehouse .dual 200 mm smif loadersLAM, Etch, TCP9408SE 200mm Manufactured in 1996 Bagged & Skidded in Warehouse. DUAL 200 MM SMIF LOADERSLAM, Etch, TCP9408SE 200mm Manufactured in 1998 Bagged & Skidded in Warehouse. DUAL 200 MM SMIF LOADERS
Deinstalled, warehoused. Can be inspected by appointment.
Sheet1
Page 135
Will be available for purchase on 9/22/2017
Will be available for purchase on 8/18/2017
Will be available for purchase on 7/14/2017
Will be available for purchase on 10/27/2017
Will be available for purchase on 10/13/2017
Will be available for purchase on 9/15/2017
Will be available for purchase on 7/5/2017
Will be available for purchase on 7/28/2017
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
WcoP electrodeless deposition
WcoP electrodeless deposition
WcoP electrodeless deposition
Qty 2 available serial numbers:- 17750-1-b-1581183 17750-1-b-1651246 *®," Lam Research
Number of Scrub Stations
LAM, 2300, 300mm, Poly etch & Wet Processing LAM, 2300, 300mm, Poly etch & Wet Processing 2300 Stretch ATM + VTM In the Fab. Cold Shutdown. EXELAN LAM, RAINBOW, 4428XL, Nitride Etch, 200mm LAM, RAINBOW, 4428XL, Nitride Etch, 200mmLAM, RAINBOW 4428XL, 200mm , Poly Nitride Etcher LAM, RAINBOW 4428XL, 200mm , Poly Nitride EtcherLAM, RAINBOW, 4428XL, Nitride Etch, 200mm LAM, RAINBOW, 4428XL, Nitride Etch, 200mmLAM, RAINBOW, 4428XL, Nitride Etch, 200mm LAM, RAINBOW, 4428XL, Nitride Etch, 200mmLAM, TCP 9608 METAL ETCHER, 200mm LAM, TCP 9608 METAL ETCHER, 200mm In Warehouse, Bagged & Skidded PARTS TOOL.LAM, TCP 9608 METAL ETCHER, 200mm LAM, TCP 9608 METAL ETCHER, 200mm In Warehouse, Bagged & Skidded Aluminum EtcherLAM, TCP 9608 SE, 200mm, Al Etch System TCP 9608 SE ALUMINIUM ETCHING SYSTEMLAM, TCP 9608SE METAL ETCHER, 200mm LAM, TCP 9608SE METAL ETCHER, 200mm Bagged & Skidded in WarehouseDe-installed, In an inspection facility. Can be inspected by appointment.
Sheet1
Page 136
De-installed, In an inspection facility. Can be inspected by appointment.
Defect Review System
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
StereoZoom Microscope on Boom Stand with 10X WF EPs
De-installed, In an inspection facility. Can be inspected by appointment.
Our Leybold/Heraeus NT 1000/1500 is included with our TMP 1000
Sold in good working condition
Wafer Transfer Tool for 200mm Wafers, 2ea Available
-sw version 2.793s -Average power 40W
We have a quantity of four INM 200 Leica inspection microscopeswith bright field, dark field and differential interference contrast, andDeinstalled, warehoused. Can be inspected by appointment.Leica INS 1000 cassette to cassette inspectionmicroscope with the following features:-
Qty 3 availableGood condition
Manufacturer: Liebherr Model: FKV 3610 S/N: 77.451.737.7Description: Bottle Chill cabinet, Upright
Transfers Wafers Between one 25-slot Carrier and One 50 Slot Quartz Boat Carriers/Boats can be Plastic, Teflon, Quartz, Silicon Carbide, Polysilicon
Sheet1
Page 137
bagged and skidded, 2 chamber aspen strip
ASYST 2200 INDEXER QTY 2, ROBOT ATM 200
smif loaders
Metron, Amine Extraction
Wafer Transfer Station, 2ea Available
De-installed, Warehoused. Can be inspected by appointment.See attached photos for details.
Mattson, Steag, TiW Wet Etch Tool, 300mm, TiW Wet Etch Tool, Steag, Mattson, 300mm This tool had two heated TiW Etch tanks, with a quick dump
Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.
-Deinstalled, warehoused.-In working condition-Deinstalled, warehoused.-In working conditionELES Semiconductor Equipment SpaMazzali SystemAnalytical Probing System w/B/L MicroZoom Microscope, 2.25X, 8X, 25X ObjectivesMDA Scientific System 16 toxic gas monitor, In very good condition.Uncrated and located in our Boerne, TX warehouse.
Deinstalled, barrier bagged, warehousedLocation: Avezzano (AQ) 67051 Italy.Mega Kinetics, Megapure 6001 HC, Chemical Delivery System, Electoetch Mega Kinetics, Megapure 6001 HC, Chemical Delivery System, Electoetch This mix
Micro Control Co., Abes III (10X), Burn-in Oven Burn-in Oven to test both VLSI and memory devices
With Cooling exhaust.-Deinstalled, warehoused.
-In our warehouse at Avezzano (AQ) 67051 Italy.-CE marked-In our warehouse at Avezzano (AQ) 67051 Italy-CE markedDE-INSTALLED WAREHOUSED.CAN BE INSPECTED BY APPOINTMENT.
Sheet1
Page 138
MKS, ASTeX AX8550, Ozone, O3, Generator
DEINSTALLED, BAGGED, SKIDDED. HAS CE MARK
DEINSTALLED, BAGGED, SKIDDED. HAS CE MARK
Screen Printer
CD Measurement Tool
Film Thickness Measurement System
Refurbished
BAGGED AND SKIDDED
Recirculating Water Chiller w/Analog Temp Ctlr, Water Cooled
Recirculating Water Chiller w/Analog Temp Ctrl, Water Cooled
Recirculating Water Chiller w/Digital Temp Ctlr, Water Cooled
MKS, Liquozon, Ozone, O3, Generator, PrimO3 MKS, Liquozon, Ozone, O3, Generator, PrimO3,
Mosaid, MS4205 , Manual Memory Tester, 300mm 200mm Model MS4205 General memory testers 200/400MHz, 16x16y addressing, 36 data Wafer probe
w/Cantilever Loaders, 150mm Wafers.------ Max Temperature 1200ºC 3ea MRL Black Max 400-95705 Furnace Tubes with Spike Thermocouples Furnace Tube
5 Probe Heads, 3060 MPIII AFP Core 05/06/09 - 1ea 3020 MPII Head Group04/27/09 - 5ea 2715 MPIII Digital Control and Power Box04/27/09 - 1ea MULTIPROBE MP1, Atomic Force Prober (AFP), 300mm tool for micro probing of transistors at contact level 3 Probe heads, MP1-system with semiauto
This is a dual temp MT9308 with quad site and SO209 change kit.
-Currently de-installed -Can be sold "as is", or with power up buy-off
Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.
Film Thickness Measurement System with Irvine Optical Optistation 200 Automatic Wafer LoaderFilm Thickness Measurement System with 125mm/150mm Dual Wafer Sliding Stage * Model 7200-1400, Rev. S Computer
Q240AT 200mm Overlay Metrology Control. Fitted with dual 200 mm smif loaders The Q2x0AT series provides leading edge performance for overlay
WMM-40-101 MID TEMPERATURE HEATER, INTEGRATED 200 MM SMIF, ROBOTIZED HANDLING
Temperature Control Control Stability ± 1.000 ºC Heat/Cool Controls Control Type Digital Setpoint
Capacity --10K Watts @ 20d C Capacity --34k BTU/hr @20d C Temp range-- +5C to +35C Stability --+/-0.1d C Reservoir Volume-- 15 Gallons
Inspection available.Power up available.
Sheet1
Page 139
Wafer Inspection Microscope
Cassette to Cassette YES Automatic. Parts Tool only
Cassette to Cassette YES
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
Will be available for purchase on 10/1/2017
De-installed, In an inspection facility. Can be inspected by appointment.
stage has a full 6 inch x 6 inch movement wafer chuck is 5 inch size Manufacturer Nikon Model Metaphot Microscope Type Upright Eyepieces Model Nikon, Lithography, NSR 2205i14E, 200mm Nikon, Lithography, NSR 2205i14E, 200mm.De-installed, warehoused.This tool can be inspected by appointment.
NIKON Optiphot-150 Wafer Inspection
Automatic Wafer Inspection Systems for 75mm- 150mm Wafers, Auto Focus, 4ea Available
Deinstalled, warehoused. Can be inspected by appointment.
Scoring Chuck for 4 6 Wafers For Flatted Wafers Thickness of Wafer 400 ~ 700 µm STATIC CONTROL SERVICES AB 250 AutoBalance PulseFlow Controller
Inspection available
For 4 inch 6 inch Wafers For Flatted Wafers SHISHIDO ELECTROSTATIC LTD. Eliminostat Checker Dual Cassette to Cassette Operation 25 Wafers per power possible by appointmentInspection available
power possible by appointmentInspection availableInspection available
Inspection available
See configuration file attachedImmediately availableNovellus C3 SPEED Configuration
-De-installed-On skids in Plastic Wrap
Sheet1
Page 140
Cu Barrier Seed SMIF FITTED TOOL
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
Wafer Inspection Microscope with NeoSPlan 5X, 10X, 20X & 50X Objectives
N2 Generator with 100 Gallon Storage Tank
Bagged and skidded at the warehouse
TBD
Cu Barrier seed process. This tool is in poor condition we recommend only for spare parts useNovellus, SabrexT, Cu Plating system, 200mm. QNX software 4.71 B19 proteous software 2.085 B15 System FECP*03 sabre Tool Generation Sabre xT Input
Cassette to Cassette YES Other Information Macro Inspection with Adjustable Speed of Rotation Macro Back Tilt Angle Orientation of Flat can be set in DEINSTALLEDWAREHOUSED
Olympus SZ3060 Microscopes described as follows: Eyepieces Model: GSWH20X/12.5 Magnification Range: 9X 40X Zoom Range: 0.9X to 4X
-The system has a defective CPU board and is not currently in working condition
The equipment used for thin film deposition was a commercial Plasma Technology DP-80 parallel chamber system. S1H4 and NH3 was used as reactant Partial Line of Reliability and device testing equipment for immediate sale.PMS, LASAIR-110, Airborne Particle Counter PMS, LASAIR-110, Airborne Particle Counter
SPECIFICATIONS Instrument TGA design A vertical design with a high sensitivity balance and quick response furnace. The balance is located
* Serial Number DY591 * Manufactured in 2000 * PHILIPS PW2592/15 Xray Tube * Rhenium Target * Maximum Voltage: 60kV * Maximum Load: 3kW * 5ea PHILIPS
Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.
Picosun, Sunale R-200, Advanced ALD Reactor, 200mm Picosun, Sunale R-200, Advanced ALD Reactor, 300mm Configured with three canisters and chemicals
Detects non-volatile residue in ultrapure water. Allows continuous monitoring in real time. Main features:- -measures impurity levels in PPD Heated storage chamber for quartz boats from vertical furnaces. Overall dimensions 375 cm (l) x 75 cm (w) x 187 cm (h) Chamber size (4 chambers)
Very good, clean condition, see photos
Prior Scientific Instruments, Ltd., H105/2, Motorized Stage, 200mm. Prior Scientific Instruments, Ltd., H105/2, Motorized Stage Prior 200-mm
Sheet1
Page 141
Film Thickness Measuring Tool
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
Screw Type Air Compressor
1Raytex RXW-1226SFI 300mm Raytex RXW-1226SFI 300mm
Cryogenic Freezer
REVERA, XPS, 300mm Manufactured in 2006; Status: Bagged and Skidded
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
TBD
TBD
TBD
Will be available for purchase on 6/12/2017
* anual Loading of Wafer Sizes from 50mm 200mm * 1ea Probe Head Type (TBD)
Qualitau, EM system-X PC Board Test, 300mm Manufactured in 2007; Status: Bagged and Skidded Modular Integrated Reliability Analyzer (Rack 1 ) 4 x
Canon Temperature Control Unit, for FPA 5000 ES2+Rasco BCU-750 Chiller Rasco SO 1000T Handler- 4 Sites
Tabletop Vacuum Free Horizontal Transfers Transfers Performed by a Mono Transfer Finger Automated Mapping of the Wafers within the Cassette Wafers
Recif, SPP200S Wafer Handling, 200mm Manufactured in 2007; Status: Bagged and Skidded 3 x 200 mm SMIF PODS
Machine number:050119/10582The equipment is designed using the principle of cascade to minimize the
Revera Veraflex, 300mm, XPS, HKMG Measurement Revera Veraflex, 300mm, XPS, HKMG Measurement System X-Ray Photoelectron Spectroscopy (XPS) 2008 Vintage
SINGLE CASSETTE.LOCATED IN USA.
RORZE RV201 F05 300mm Wafer Load Port Rorze RV201-F05-202CE-1 FOUP Opening Load Port Great condition. Ready for use.RORZE RV201 F05 300mm Wafer Load Port Rorze RV201-F05-202CE-1 FOUP Opening Load Port Great condition. Ready for use.De-installed, on pallet, located in our Texas warehouse.Was used with a R&R Sina toolOne (1) SINA, a modular in-line system manufactured by Roth and Rau for deposition of silicon nitride, or similar silicon based layer, on wafers
Sheet1
Page 142
DEINSTALLED, WAREHOUSED. WAS WORKING BEFORE IT WAS DE-INSTALLED
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
in the fab, running, SMIF type
DEINSTALLED, BAGGED AND SKIDDED
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
Rudolph, 200XL, Spectral Ellipsometer, 200mm Rudolph, 200XL, Spectral Ellipsometer, 200mm Has dual 200 mm smif loadersRudolph, 200XL, Spectral Ellipsometer, 200mm Rudolph, SE200XL, Spectral Ellipsometer, 200mm
Rudolph Macro Defect S300 inspection system, 300mm Rudolph Macro Defect S300 inspection systemRudolph, WV320 Macro Defect, 300mm Manufactured in 2005; Status: Bagged and Skidded Multiple Units Available. Please inquire!Rudolph, WV320 Macro Defect, 300mm Manufactured in 2005; Status: Bagged and Skidded
-Deinstalled, warehoused.-In working condition
LOCATED AT AVEZZANO ITALYDEINSTALLED WAREHOUSED
* Contact and Non-Contact Surface Photo Voltage Test Capability * For Analyzing 200mm & 300mm Wafers * Light Acvtivation Module * Designed for * COCOS, SILC & EPI-t Test Capabilities * For Analyzing 200mm & 300mm Wafers * 300mm (dia.) Anodized Aluminum Hot Chuck * 300mm (dia.) Gold
-de-installed-Can be sold "as is' or with power up buy-off.-de-installed-Can be sold "as is' or with power up buy-off. Working condition, video available
S/N 000001878 LOCATED IN AVEZZANO, ITALY 67051 (NEAR ROME) USEDCONTROLLER ALSO AVAILABLE IF REQUIREDS/N 0000014025 LOCATED IN AVEZZANO, ITALY 67051 (NEAR ROME) USEDREQUIRES REFURBISHMENT AND CLEANING PRIOR TO USE.LOCATED IN AVEZZANO, ITALY 67051 (NEAR ROME) USEDREQUIRES REFURBISHMENT AND CLEANING PRIOR TO USE.
-Designed for storage and transport -The boxes can also be used not only for silicon wafer but also for DEINSTALLED WAREHOUSEDFOR CONDITION PLEASE REFER TO ATTACHED PHOTOS
Regarding details of this product, they can be found on the OEM's website at the following location:-SemiTest Epimet 2, 200mm, Thin Film Epi measurement SemiTest Epimet 2, 200mm, Thin Film Epi measurement SemiTest ( now owned by SemiLab) EpiMet 2 Semitool SRD RotorUsed,good conditionSemitool SRD RotorUsed,good conditionSemitool, 300mm, Electro Etch, Raider, R 310 FMC2, Semitool, 300mm, Electro Etch, Raider, R 310 FMC2No StandSemitool ST-240 SRD, with controller, powers up, seems to be working.Coming soon, qty 2 availableLocated in our Texas warehouse
Sheet1
Page 143
200 mm asyst smif loaders
Chemistries O2 CF4 Cl2 Ar
4 NM SPOT SIZE AT 30 kEv, Sem resolution 3 nm at 5 KeV
LPCVD Nitride-Pad/SPCR (Gen Nit) Bagged & Skidded in warehouse
deINSTALLED, BAGGED AND SKIDDED. 2 coaters
Speedfam Auriga CMP 200mm Oxide CMP
Speedfam Auriga CMP 200mm Oxide CMP
SEMSYSCO, GALAXY, 300mm, Batch Resist Strip Tool, Solvent Strip Tool 2 x CRD-Chambers (Chemistry Rinse & Dry) Chambers 2 x SRD-Chambers (Spin, Rinse
2 process chambers with 3 media in each chamber and 1 DI . 4 x SMIF Load ports. CPU is defective and needs replacing.
Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.-Removed from operational July 2017-in the warehouse-de-installed from working condition.-In the warehouse-Deinstalled, warehoused.-In working condition-Deinstalled, warehoused.-In working condition
SVG, AVP 8000 AP, 200mm Vertical Furnace SVG, AVP 8000 AP, 200mm Vertical Furnace Padox/Sacri-Ox Bagged & Skidded in Warehouse
SVG Micrascan II+, 200mm, Wafer Stepper SVG Micrascan II+, 200mm, Wafer Stepper Multiple Units AvailableSVG, AVP 8000 AP, 200mm Vertical Furnace, Forming Gas Anneal process alloy -dual boatRefurbished with 3-months warranty
DEINSTALLED, BAGGED AND SKIDDED. COT (PDS pump) DEV (Slit Scanning Nozzle) 2-1 2-2 2-3 2-4 2-5 3-1 3-2 3-3 3-4 3-5 R1~R5 R1~R5 R1~R5 X X SSN SSN SSN
Solar Cell Manufacturing Line
- Model: 5110 - Can process wafers up to 6"/150mm in diameter. - Control Panel has 5 potentiometers for speed setting with individual 0-99.9s
Please see attached config. The unit can be powered up and it comes with 6 transducers, thru-scan arm, manuals, backup software.
Sopra EP12, 300mm, optical porosity measurement Dielectric Porosity measurment system.
PACKING LIST:-
EPR 16 3 BAIETeste VERDIEPR 16 3 BAIETeste VERDIEPR 16 3 BAIETeste VERDI
Sheet1
Page 144
Oil Filtration Unit
Polymide cure process. Stand alone track with smif loaders
Polymide cure process. Stand alone track with smif loaders
Confocal Microscope
Will be available for purchase on 7/17/2017
EPR 16 3 BAIETeste VERDIEPR 16 3 BAIETeste VERDIEPR 16 3 BAIETeste VERDIEPR 16 3 BAIETeste VERDIEPR 16 3 BAIETeste VERDILTX Test Head Included.-Deinstalled, warehoused.EPR 16 3 BAIETeste VERDIST Memory Test System
SALES CONDITIONS: "AS IS, OPERATIONAL" Up graded in 2001 Pentium II PC Based Control System CES-GSW-CONFIG Software Upgrade Enables Gas Line -Deinstalled, warehoused.-In working conditionI currently have a STS Multiplex for sale. Below is the description and attached are a few photos. The unit was functional before it was taken -De-installed-warehousedSuss Microtec BA300-MIT, 300mm Automated inspection tool inspects glass plates 13" x 14" molds do determine fillingSuss Microtec HVMMFT, Mold Fill Tool, 300mm, C4, Suss Microtec HVMMFT, Mold Fill Tool, 300mm, C4, solder bumps Suss Microtec HVMMFT, Mold Fill Tool, Suss Microtec HVMMFT, Mold Fill Tool, 300mm, C4, solder bumps Suss Microtec HVMMFT, Mold Fill Tool, 300mm, C4, automated injection molded solder mold
SVG, AVP 8000 LP, 200mm Vertical Furnace, LPCVD SiN SVG, AVP 8000 LP, 200mm Vertical Furnace LPCVD Nitride-Pad/SPCR (Gen Nit)Location: Our warehouse, Boerne, TXTool is in good condition and has been repaired to working condition and SX3100 Ambient/Hot Temp. HandlerFull Auto. Input Tray Stacker (300mm)System General T9600 Universal Device Programmer with EPD (Extended Pin Driver) Adapter.
Sytrama
SytramaInspection available
Tec-sem, Practor Wafer Handling, 300mm Manufactured in 2005; Status: Bagged and Skidded Tool dual 300 mm load ports and a 4 axis scara robot
" Model # 62-111 " Dimensions 72 x 35 1/2 x 32 Tall " Breadboard Top " Holes on 2 Centers " 4 Thick
Sheet1
Page 145
DEINSTALLED, BAGGED AND SKIDDED
DEINSTALLED, BAGGED AND SKIDDED
DEINSTALLED, BAGGED AND SKIDDED
DEINSTALLED, BAGGED AND SKIDDED
DEINSTALLED, BAGGED AND SKIDDED
DEINSTALLED, BAGGED AND SKIDDED
very good condition, fitted with SMIF I/f
Configuration 2SCT, 4 loadport, 4 CPL, 2 LHP, 2HHP, 2DLB,
TS400Z CONTROLLER HEATER TYPE VMM-40-101
TS400Z CONTROLLER HEATER TYPE VMM-40-101
Will be available for purchase on 10/1/2017
-deinstalled and warehoused.-Located in Avezzano (AQ) 67051 Italy-250 MS/s-GPIBThe PS280 DC power supply is a multifunction bench top or portable instrument. It is a regulated power supply that provides fixed 5 V output -In Italy-CE marked
Tektronix TDS694CProduct number: 071-0473-00
3281-000008-14 2 1 Pincette Interconnect3281-000042-12 3 2 Bridge interconnect
Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.
left to right wafer flow, 2 coaters and 2 developers, wee, adh, cup wash, 5 cp, 6 lhp, 2 hhp-ASML interface-wafer flow: right to leftEquipment Configuration Eqpt ID NITDE-08 Tool Status Cold shutdown No General Configuration 1 Safety Specification TEL Standard 2 System Layout
2. General Information 2.1 Wafer Size 8" 2.2 Process Wafer QT'y 150 PC 2.3 Flat Or Notch Norch(Modify) 2.4 Signal Tower Color 3(R,Y,G) 2.5 SMIF Or I/O 2. General Information 2.1 Wafer Size 8" 2.2 Process Wafer QT'y 150 PC 2.3 Flat Or Notch Norch(Modify) 2.4 Signal Tower Color 3(R,Y,G) 2.5 SMIF Or I/O
1. Manufacturer TEL 2. Model Alpha 8S 3. Process Name SOGCU-06 4. General Configuration 1 Safety Specification TEL Standard 2 System Layout Type
Safety Specification TEL Standard System Layout Type U/Box Type(L) System Hand LL N2 Load Lock Not installed Heater Type WMM-40-101 Mid Temp Torch 2.1 Wafer Size 8" 2.2 Process Wafer QT'y 150 PC
Will be available for purchase on 12/31/2017Configuration
Sheet1
Page 146
process flow: sd2, sd2, cw, pou, sc1, of, bhf/dhf,hqdr,spm
Will be available for purchase on 8/18/2017
2c 5d left to right flow in cold shutdown
2c 5d left to right flow in cold shutdown
2 c 3d right to left flow, 3 x 200 mm smif loaders, wee x 2, adh x 2
2 c 2 d left to right flow, with 3 x 200 mm smif loaders, wee, adh
TEL, Clean / Strip, Expedius 300mm Expedius Manufactured in 2006; Status: Crated
-De-installed-On skids in Plastic WrapTEL, Lithius Standard I-Line Photoresist, 300mm Manufactured in 2007; Status: Bagged and Skidded Multiple Units available. Inquire if you need
1 Sub-Fab Component a. Coater Cabinet b. Developer Cabinet c. AC Power Box - 208V 3 Phase d. Cup THC (Shinwa) 2 Loadports 4 Loadports with FOUP
TEL MARK 8 DUV Track, 2C/2D, 200mm Photoresist Coater TEL MARK 8 DUV Track, 2C/2D, 200mm Photoresist Coater Q1. Is 2C2D Right to Left track? A1: Yes.
Sheet1
Page 147
TBD
Will be available for purchase on 9/22/2017
Will be available for purchase on 7/14/2017
Will be available for purchase on 10/27/2017
Will be available for purchase on 10/13/2017
Will be available for purchase on 12/29/2017
Will be available for purchase on 11/3/2017
CAN BE OFFERED "AS IS" OR OPERATIONAL TO OEM SPECIFICATIONS Condition: very clean and completely decontaminated. No acid or corrosion is present. SN MC1068LOCATION: AVEZZANO, ITALY
Single Foup Load Port Clean (FFU) Gold Chuck Electric Heated, No Chiller, Tri-Temp Ready VIP 3 or 3A Tool is currently "Split" for Shipping S/N: TEL P-12XL Auto Wafer Prober 300mm TEL P-12XL Auto Wafer Prober 300mm Dual FOUP Load Port Clean (FFU) Gold Chuck Electric Heated, No Chiller, Tri-Temp TEL P-12XL Auto Wafer Prober 300mm TEL P-12XL Auto Wafer Prober 300mm Dual FOUP Load Port Clean (FFU) Gold Chuck Electric Heated, No Chiller, Tri-Temp TEL P-12XL Auto Wafer Prober 300mm TEL P-12XL Auto Wafer Prober 300mm Dual FOUP Load Port Clean (FFU) Gold Chuck Electric Heated, No Chiller, Tri-Temp TEL P-12XL Auto Wafer Prober 300mm TEL P-12XL Auto Wafer Prober 300mm Dual FOUP Load Port Clean (FFU) Gold Chuck Electric Heated, No Chiller, Tri-Temp TEL P-12XL Auto Wafer Prober 300mm TEL P-12XL Auto Wafer Prober 300mm Dual FOUP Load Port Clean (FFU) Gold Chuck Electric Heated, No Chiller, Tri-Temp TEL P-12XL Auto Wafer Prober 300mm TEL P-12XL Auto Wafer Prober 300mm Dual FOUP Load Port Clean (FFU) Gold Chuck Electric Heated, No Chiller, Tri-Temp
TEL P8
TEL P-8XL
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and
Tokyo ElectronTE-5480
Sheet1
Page 148
Will be available for purchase on 4/19/2018
Will be available for purchase on 2/22/2018
Will be available for purchase on 11/30/2017
Will be available for purchase on 8/3/2017
Will be available for purchase on 7/1/2017
Will be available for purchase on 6/13/2017
Will be available for purchase on 3/15/2018
Will be available for purchase on 12/28/2017
Will be available for purchase on 12/14/2017
Will be available for purchase on 12/1/2017
Will be available for purchase on 12/1/2017
Will be available for purchase on 11/30/2017
Will be available for purchase on 9/1/2017
Deep Trench Si etch chamber position 2
Will be available for purchase on 10/1/2017
Status: Bagged and Skidded . Description Qty Model Remark Load port 4 SELOP 12F25-30A-13 SHINKO Load module 3 304 SCCM Robot(LM) 1 SBX92101286-2 SHINKO
Sheet1
Page 149
config. TiCl4 Ti: 2 TiCl4 TiN: 2 Transfer: 1 Loadlock: A,B
DEINSTALLED, BAGGED AND SKIDDED
deINSTALLED, BAGGED AND SKIDDED. Details available on request
Will be available for purchase on 7/14/2017
De-installed, In an inspection facility. Can be inspected by appointment.
TEL Unity 2, 85DD, Oxide Etcher, 200mm, 2 chambers, oxide etch, 2 x 200 mm smif pods fittedTEL Unity 2, 855SS, Oxide Etcher. Tool is in storage and missing some minor partsDeinstalled.Crated
Two-tube Horizontal diffusion furnace for POCl3
Sheet1
Page 150
De-installed, In an inspection facility. Can be inspected by appointment.
Unpatterned Wafer Surface Inspection Tool, for 75mm-150mm Wafers
Stainless Steel Sink with 8ea 14" X 14" X 12" (d) Tanks
Automatic Wafer Prober, for up to 200mm Wafers
De-installedWarehousedTEMPTRONIC TPO3000A-1100-1Deinstalled, warhused, crated.
* Mfg: 4/92 * ±10 mm Scan Length
-currently installed-Can be sold "as is' or with power up buy-off.-de-installed-Can be sold "as is' or with power up buy-off.The items which are available are all the boards from the system,.The mainframe of the system has been scrapped.
Qty 1 available. Configured with 2 x test heads were used with TSK APM 90A probers Pin count 144 I/O, 640 Address/Clock Single Patgen Max freq. 60MHz Spare Boards available for Teradyne test systems as per the following list-The boards are tested-de-installed-Can be sold "as is' or with power up buy-off.Portable Desiccator Box, 6ea Available - 56129 Desiccator Box, 12"x11"x12" - 56145
Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.THERMAWAVE Optiprobe 2600 DUV, 200mm THERMAWAVE Optiprobe 2600 DUV, 200mm -smif loading
Deinstalled, warehoused. Can be inspected by appointment.
Precision Temperature Forcing System (PTFS) are used for localized, hot and cold temperature testing of semiconductor, hybrid and other components or
TowaModel CC-S-currently operational in the line
-currently operational in the line
-currently operational in the line
-currently operational in the lineInspection available.
Trion Minilock RIE Plasma Etcher RIE Single Wafer Plasma Metal Etcher 8 (dia.) Chuck RFX-600 RF Generator, 13.56 MHz Automatic RF Matching Network
Sheet1
Page 151
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
Mechanical Vacuum Pump
Helium Leak Detector:
Mechanical Vacuum Pump, 2ea Available
Will be available for purchase on 8/11/2017
Will be available for purchase on 6/9/2017
De-installed, In an inspection facility. Can be inspected by appointment.
-FOR SPARES USE OLNLY
Vintage 1997 Condition: Good
Unaxis-Nextral NE860 High Density Plasma Etcher, 200mm Unaxis-Nextral NE860 High Density Plasma Etcher, 200mm De-layer for Rel/FA on Semiconductors. Parallel Gap Welder with 2-152-02 Weld Head & 9-001-01 XFMR
VARIAN 3290ST SPUTTERING SYSTEM
Model
-De-Installed-Can be inspected by appointment
New in original packaging.The packaging has been opened in order to take photos.Software V810 07 10 101 HardDisk Size 80G RAM 1GHz Hardware Cooling System Heat Exchanger/Closed loop de-ionized water cooling system Wafer Loader 2
VEECO (Bruker Nano), X-3D, AFM, 300mm VEECO (Bruker Nano), X-3D, AFM, 300mm Unhooked Park PositionVEECO (Bruker Nano), X-3D, AFM, 300mm VEECO (Bruker Nano), X-3D, AFM, 300mm Warm Idle
-De-installed-Can be sold as is, or with power up
CAN BE OFFERED "AS IS" OR OPERATIONAL TO OEM SPECIFICATIONS WAFER SIZE- 4" OR 100mm POWER- 110V, 60 Hz SEPARATE VOLTAGE TRANSFORMER FROM 220 V TO 110
-In Italy-CE marked
Sheet1
Page 152
90 day parts warranty
Micropositioner, 2ea Available
2006 YV100XG without feeder.
Dual 300 mm smif loaders
DEINSTALLED, IN THE WAREHOUSE
-Currently in storage-Located in the USABagged and Skidded ild bpsg config.Equipment Configuration E Tool Status Tool Type (model) WJ1500 Release 6.0 Process ILD Recipe FI024/025 & STM Bagged and Skidded TEOS DEPOSITION Equipment Configuration Eqpt ID FILD-06 Tool Status Tool Type (model) WJ1500 Release 6.0 Process ILD Recipe -Removed from service in 2014-Warehoused
Deinstalled, warehoused. Can be inspected by appointment.