wave pipelined global interconnect · challenges for wave pipelined interconnect ! interconnect...

29
Lizheng Zhang Lizheng Zhang YuHen YuHen Hu Hu Charlie Chen Charlie Chen Wave Pipelined Global Interconnect Wave Pipelined Global Interconnect

Upload: others

Post on 28-Jul-2020

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

Lizheng ZhangLizheng ZhangYuHenYuHen HuHu

Charlie ChenCharlie Chen

Wave Pipelined Global InterconnectWave Pipelined Global Interconnect

Page 2: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

OutlineOutline

! Background  and Motivation ! Wave-pipelined global interconnect! Design Challenges in a wave-pipelined global interconnect

! Performance Evaluation! Conclusion

Page 3: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

Global Interconnect MicroGlobal Interconnect Micro--ArchitectureArchitecture

! Conventional Interconnect Designï Single clock cycle delay:ï Buffer insertion 

! High-speed Interconnect Design (GHz range)ï Flip Flop/Latch insertionï Wave Pipelining

Page 4: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

MotivationMotivation

! Promises of Wave-pipelining Global Interconnectï High Speed

"Flip flop has setup time and CLK-Q delay overhead

ï Low Power Consumption"No flip flop in the middle of the interconnect

ï Minimum Error Rate"Cascaded flip flop stages is sensitive to noises

ï No Need for Globally Synchronized Clock"With phase lock loop based receiver

Page 5: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

OutlineOutline

! Background and Motivation! Wave-pipelined global interconnect! Design Challenges in a wave-pipelined global interconnect

! Performance Evaluation! Conclusion

Page 6: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

WaveWave--Pipelined ComputationPipelined Computation

! Data take multiple clocks to propagate from FFI to FFO! Each clock cycle FFI issues a set of data and FFO catches a set of results

! Multiple sets of data are propagating through the logic block simultaneously

! The timing constraints are very stringentï Consecutive data sets should not overlap 

Page 7: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

Clocking OutputClocking Output

! Multiple data paths from input to output! Logic Depth becomes larger

ï difference between  Tmax and Tmin becomes larger! Limits the number of logic levels that can be wave pipelinedï Shaded area overlaps

Page 8: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

Internal NodesInternal Nodes

! Multiple-input gates! All inputs of any gate have to arrive at the same timeï Very difficult to achieve for large logic blocks

Page 9: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

WaveWave--Pipelined InterconnectPipelined Interconnect

! Only Uniform Buffer Insertionï No Multiple Signal Propagation Pathsï No Multiple Input Gates

! Except noise, No intrinsic time constraints

Page 10: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

OutlineOutline

! Motivation and Background ! Wave-pipelined global interconnect! Design Challenges in a wave-pipelined global interconnect

! Performance Evaluation! Conclusion

Page 11: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

Challenges for Wave Pipelined Interconnect Challenges for Wave Pipelined Interconnect

! Interconnect delay uncertainty caused by noises:ï Process variationï Thermal Noise, Supply Voltage fluctuation, Coupling noise

! Large number of wave pipelined logic levelsï Global Interconnect: long wire

! Synchronization is needed in the Receiverï Delay uncertainty cumulatesï Smaller clock cycle to get high throughput

! Dynamically change the phase of the receiver clockï Phase lock loop

! Challenge for On-Chip Global Interconnectï Fully digital to be easily integratedï High speed, low power and area overhead

Page 12: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

Phase Lock LoopPhase Lock Loop

! Din is Sampled by CLKS at rising edge! Falling edge of CLKS is dynamically aligned with transitions in Din

! CLKS will be significantly skewed/jittered from the expected receiving clock CLKRï FIFO re-timer is used to transfer data between clock domains

Page 13: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

Alexander Phase DetectorAlexander Phase Detector

Challenges! High Speed makes it difficult for FF1 to register the output of FFN

! Intentional clock skewï FFN & FF2 use same clockï FF0 & FF1 use delayed clockï S2 is also delayed before it gets into XOR

Page 14: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

Loop FilterLoop Filter

! Next phase adjustment cannot happen before the current one takes effectï Guarantee Feedback loop stability 

! Digital Counterï Shifting Register: High Speed

Page 15: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

FourFour--Phase VCOPhase VCO

! Four Phases Steps for CLKSï Reduce the power consumption: ï Generated from a digital phase generator (PD)

! Four Counter states(C1C0) are coded as Gray Codesï To eliminate the glitches when multiplexing between neighboring clock phases

ï Digital counter is also implemented as a shifting register: highspeed

Page 16: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

Digital Phase Generator (PD)Digital Phase Generator (PD)

Page 17: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

FIFO ReFIFO Re--TimerTimer

! Cyclic FIFO queue buffers off phase difference between sampling clock CLKS and receiving clock CLKR

! 4 Entries are sufficientï Maximum phase difference between CLKS and  CLKR is 180o

Page 18: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

PLL Layout PicturePLL Layout Picture

VCOVCO

PGPG PDPD LFLF

MUXMUX CounterCounter

0.180.18µµm Technologym Technology

Cadence ToolsCadence Tools

Page 19: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

FIFO LayoutFIFO Layout

FIFOFIFOQUEUEQUEUE

Deq

ueue

Deq

ueue

Poin

ter

Poin

ter

Enq

ueue

Enq

ueue

Poin

ter

Poin

ter

0.180.18µµm Technologym Technology

Cadence ToolsCadence Tools

Page 20: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

Simulation WaveformsSimulation Waveforms

0.180.18µµm Technologym Technology

Cadence ToolsCadence Tools

UpUp

DownDown

DDoutout

DDinin

Page 21: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

OutlineOutline

! Motivation and Background ! Wave-pipelined global interconnect! Design Challenges in a wave-pipelined global interconnect

! Performance Evaluation! Conclusion

Page 22: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

Performance AnalysisPerformance Analysis

! When will wave pipelined interconnect system generate a bit error?ï Signals Propagating through buffered wire are subjecting to noises"Waveform Distortion

ï Receiver can only tolerate the waveform distortion within some limit "Maximum Tolerable Waveform Distortion

Page 23: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

Waveform DistortionWaveform Distortion

! Received waveform could have larger or smaller pulse width than the Transmitted waveform

! Distortion Rate = 

Data TransmittedData Transmitted

Data ReceivedData Received

ind

indoutd

WWW

,

,, −

Page 24: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

Noise ToleranceNoise Tolerance

! Current data transition is located within Lock Region! Next data transition has to be within Sample Region to correctly register current data

! Maximum Tolerable Waveform Distortion 25% :ï 0.75CLK < Wd < 1.25CLK

Page 25: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

Monte Carlo Simulation (1) Monte Carlo Simulation (1)

! The probability to have Waveform Distortion larger than 25%ï Once per 5 days

! Bit Error Rateï Once per 5 days

Waveform distortion Waveform distortion of Wave Pipelining Wireof Wave Pipelining Wire

(1.4mm/segment, 2GHz)(1.4mm/segment, 2GHz)

Page 26: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

Flip Flop PipeliningFlip Flop Pipelining

! One flip flop pipelined stage has the same wire length as one wave pipelined wire segment

! Clock cycle TCLK >  Tstage +  CLKï Tstage = Tprop + Tdrive + Twire + Tacpt + Tsetupï CLK is uncontrollable clock skew and jitter

Page 27: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

Monte Carlo Simulation (2) Monte Carlo Simulation (2)

260 280 300 320 340 360 3800

2

4

6

8

10

12

77OCm=319.6pss =26.4ps

Delay [ps]240 260 280 300 320 340 360

0

2

4

6

8

10

12

27OCm=298.3pss =24.1ps

Delay [ps]

To achieve same bit errorrate: 1 bit error per 5 days! Maximum clock rate of  DFF pipelining: 1.7GHz

! Wave pipelining works with 2.0GHz clockï 17% speed up 

DFF Pipelining Stage DelayDFF Pipelining Stage Delay

Page 28: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

Power and Area ComparisonPower and Area Comparison

! Short Interconnectï DFF Pipelining is more power/area efficient

! Long Interconnectï Wave pipelining is more power/area efficient

Layout AreaLayout AreaPower Power

ConsumptionConsumption

Page 29: Wave Pipelined Global Interconnect · Challenges for Wave Pipelined Interconnect ! Interconnect delay uncertainty caused by noises: ïProcess variation ïThermal Noise, Supply Voltage

ConclusionConclusion

! Short Interconnectï DFF Pipelining is more power efficient

! Long Interconnectï Wave pipelining is more power efficient

! Same reliability requirementï Wave pipelining has higher performance 

! No globally synchronous clock is needed