vlsi education in india

74
May 13, 2005 VLSI Education in India Dr. Partha Pratim Das Interra Systems (India) Pvt. Ltd.

Upload: ppd1961

Post on 19-Jan-2015

5.823 views

Category:

Education


6 download

DESCRIPTION

Presented at Inauguration of Ganapati VLSI Laboratory at BESU, Kolkata

TRANSCRIPT

Page 1: Vlsi Education In India

May 13, 2005

VLSI Education in India

Dr. Partha Pratim DasInterra Systems (India) Pvt. Ltd.

Page 2: Vlsi Education In India

“India does not need a fabrication facility, but it does need a training program for chip design.”

– Jaswinder S. Ahuja, Corporate VP & MD, Cadence India.

EETimes, Nov 03, 2004

Page 3: Vlsi Education In India

13-May-05 33

Agenda

• VLSI Chronology

• India Advantage

• Government Initiatives

• Jaipur Workshop on VLSI Education

• Actors for Change

Page 4: Vlsi Education In India

13-May-05 VLSI Education in India - Chandra Shekhar, CEERI Pilani. VDAT 2003

44

VLSI Education and R&D – A Chronology

• 1979-80– “Introduction to VLSI System Design” by

Mead and Conway in 1980– “VLSI Design” courses based on MC by some

IITs.– Adoption of the book’s methodology by TIFR

and CEERI for their design R&D work.– Concurrently, MOS technology development

related R&D work was being pursued at TIFR, CEERI and IITs.

Page 5: Vlsi Education In India

13-May-05 VLSI Education in India - Chandra Shekhar, CEERI Pilani. VDAT 2003

55

VLSI Chronology

• 1980-81– Setting up of SCL and the “VLSI Task Force” by

GoI.

• 1981-82– First commercial interactive layout design system

(among academic and R&D institutes) installed at CEERI’s Delhi Centre under UNDP support.

• Mid 1980s– Evolution of focused integrated electronics and circuits

oriented ME/MTech degree programmes at IITs.

Page 6: Vlsi Education In India

13-May-05 VLSI Education in India - Chandra Shekhar, CEERI Pilani. VDAT 2003

66

VLSI Chronology

• 1985-86– First multinational company, TI, sets up its R&D

Centre in India (for EDA tool development and software verification).

• 1986-87– First real application of Mead-Conway methodology to

design a full custom LSI processor – the PWM processor for variable frequency AC drives at CEERI, Pilani together with UCL, Belgium (under UNDP support).

Page 7: Vlsi Education In India

13-May-05 VLSI Education in India - Chandra Shekhar, CEERI Pilani. VDAT 2003

77

VLSI Chronology

• 1987-88– Setting up of Academic and R&D VLSI Design Centres

at IITs and CEERI under an initiative of DoE.– Academic Centres equipped with

• Sun workstations • VTI tools (an integrated tool-set for full-custom and semi-

custom logic, circuit and layout design and verification) • Semi-custom design tool “Vinyas” developed by ITI that ran

on a particular brand of PC (the OMC PC-286 and PC-386).

– 10 industrial VLSI Design Centres were also set up by DoE – 5 under the charge of SCL and 5 under the charge of ITI.

Page 8: Vlsi Education In India

13-May-05 VLSI Education in India - Chandra Shekhar, CEERI Pilani. VDAT 2003

88

VLSI Chronology

• 1987-88– Start of VLSI Design Workshop and

International Conference.

• 1989– VSI Formed to foster education and research in

VLSI

Page 9: Vlsi Education In India

13-May-05 VLSI Education in India - Chandra Shekhar, CEERI Pilani. VDAT 2003

99

VLSI Chronology

• Early 1990s– Successful chip design-developments by

academic-R&D design centers :• CEERI (for C-DoT) using VTI tools and VTI

foundry.• IIT-Kharagpur and Jadavpur University using

Vinyas tools and ITI foundry.

• 1994– Introduction of VHDL in the Indian academia

and R&D.

Page 10: Vlsi Education In India

13-May-05 VLSI Education in India - Chandra Shekhar, CEERI Pilani. VDAT 2003

1010

VLSI Chronology

• 1997– Start of the first industry-sponsored MTech

programme – “VLSI Design, Tools and Technologies” (VDTT) programme at IIT-Delhi sponsored by Philips and co-sponsored by a number of other industries.

– Subsequently, TCS supports a MTech degree programme at IIT-Bombay.

Page 11: Vlsi Education In India

13-May-05 1111

VLSI Chronology

• 1998– DoE/MIT project – “Special Manpower

Development for VLSI Design and Related Software” (SMDP): 9th plan.

– Start of VLSI Design & Test Workshop (VDAT).

• Around 2000 & Beyond– Boom in Design Industry with every major

setting up or trying to set up shops in India

Page 12: Vlsi Education In India

13-May-05 1212

VLSI Chronology

• 2002– Advanced VLSI Laboratory at IIT Kharagpur in

collaboration with Natsem, Intel, Synopsys.

• 2004– ISA Launched

• 2005– Ganapati VLSI Laboratory at BESU, Kolkata– VLSI Mtech Program at Radio Physics, CU,

Kolkata

Page 13: Vlsi Education In India

13-May-05 VLSI Education in India - Chandra Shekhar, CEERI Pilani. VDAT 2003

1313

VLSI Challenges – Evolution of VLSI Design Scenario

Year Team Size (At Peak)

Effort (Man-

Years)

Nature of Product

MDI*

1985 2-3 3-5 ASICs for

Glue Logic

0.2

1990 10-12 10-15 ASP, Chip-sets 0.4

1995 30-50 30-50 ASP, Analog,

Mixed-Signal

0.7

2000 100-200 100-200 RF, MEMS, SoC 0.9

2005+ 200 500 Mix of all into a Complex SoC

1.0

Page 14: Vlsi Education In India

13-May-05 1414

Where are we today?

• Many major design companies (count the subsidiary industry as well) have an India Center

• Many more are working on a plan to setup

• Every India Center has a very aggressive growth plan

Page 15: Vlsi Education In India

13-May-05 1515

Why India?

• India Operations were fuelled by – Cost Advantages– Availability of an English speaking, electron-

aware technical community

• India Operations have been supported by– Positive Policy adoption – Improving service attitude

Page 16: Vlsi Education In India

13-May-05 1616

Why not India?

• India Operations are being deterred by – Spiraling Costs– Weakening Infrastructure– Aggressive poaching

• India is failing to deliver in– Quality Man-Power– Quantity Man-Power

Page 17: Vlsi Education In India

Government Initiatives

Page 18: Vlsi Education In India

13-May-05 1818

SMDP: Phase I

• Started 1998

• Goals– Market share for VLSI design from 0.5%

to 5%

Page 19: Vlsi Education In India

13-May-05 1919

SMDP: Phase I

• Salient Characteristics– 19 Participating Institutes

• 7 Resource Center (RC), 12 Participating Institutes (PI)

– Rs. 15 Crores budget for 5 years– Training of Faculty at PI’s– Setting up VLSI Labs– Development of learning material– Teaching courses

Page 20: Vlsi Education In India

13-May-05 2020

SMDP I: Man-Power• Type-I:

– PhD in Microelectronics.

• Type-II: – MTech (VLSI Design / Microelectronics) graduate from

PIs – 250-300 / yr.

• Type-III: – MTech graduate of other electronics disciplines

(communications, control, . . . ) with at least two relevant VLSI courses.

• Type-IV: – BTech of EE/ECE/CS exposed to two basic VLSI design

courses.

Page 21: Vlsi Education In India

13-May-05 2121

SMDP I: RC & PI

• IIT, Chennai• IIT, Delhi• IIT, Kanpur• IIT, Kharagpur• IIT, Bombay• IISc, Bangalore• CEERI, Pilani

• North

• BHU-IT, Varanasi

• IIT, Roorki

• Thapar Institute of Tech. Patiala

• South

• KREC, Surathkal

• REC, Warangal

• PSG College of Technology, Coimbatore

• West

• MREC, Jaipur

• VREC, Nagpur

• Shri G.S. Inst. Of Tech. & Sc., Indore

• East

• Bengal Engineering College, Howrah

• Jadavpur University, Kolkata

• REC, Rourkela

Page 22: Vlsi Education In India

13-May-05 2222

SMDP: Phase II

• Report prepared by TCS & IIT Bombay

• Promoting Microelectronic Education – The Indian Imperative

• 32 Institutes Identified in report – 7 RC– 25 PI

• Budget: Rs. 50 Crores / 5 Years

Page 23: Vlsi Education In India

13-May-05 2323

SMDP: Phase II

• Resource Centers (7)– IIT Chennai, Delhi, Kharagpur, Mumbai &

Kanpur, IISc Bangalore, CEERI Pilani

• Participating Institutes (25)– IIT Roorkee & Guwahati, Warangal, Surathkal,

Tiruchirapalli, Rourkela, Motilal Nehru REC, Allahabad, B.R. Ambedkar REC, Jalandhar, Surat Nagpur, Hamirpur, Silchar, Kurukshetra, Calicut, Jaipur, Durgapur, Bhopal, Srinagar, Jamshedpur BEC, Jadavpur, G.S. I.T.S, Indore, Thapar, Patiala BHU-IT, PSG, Coimbatore

Page 24: Vlsi Education In India

13-May-05 2424

SMDP: Phase II

• Salient Characteristics – Continued VLSI Lab setup support (EDA SW,

HW)– Support for hiring 2 faculty members / institute– Travel support for presenting papers– Leverage SCL India Chip program– Model Course Curriculum– Access to IEEE Explore– Plan for national website for public domain

EDA software

Page 25: Vlsi Education In India

13-May-05 2525

Academic Estimates

• Institutes offering ME/MTech degree in VLSI / Microelectronics discipline – 6 (IITs and IISc)– 10 (NITs and Other) Institutes.

• Estimated Man-Power– Total Core Faculty Pool Size : 60-70– Type-I Manpower/year : 8-12– Type-II Manpower/year : 250-300– Type-III Manpower/year : 150-200– Type-IV Manpower/year : 1,000-1,200

Page 26: Vlsi Education In India

Widening Gap

Page 27: Vlsi Education In India

13-May-05 VDAT yahoogroups.com. As on 11-May-05 2727

VSI Opinion Polls

• How many B.Tech/B.E. students with specialization in Semiconductors/VLSI do you think will be needed on an annual basis by 2010?– Less than 5000– 5000 – 7500– 7500 – 10000– 10,000 or more

Page 28: Vlsi Education In India

13-May-05 VDAT yahoogroups.com. As on 11-May-05 2828

VSI Opinion Polls

• How many B.Tech/B.E. students with specialization in Semiconductors/VLSI do you think will be needed on an annual basis by 2010?– Less than 5000: 23% – 5000 – 7500: 35%– 7500 – 10000: 16%– 10,000 or more: 24%

Page 29: Vlsi Education In India

13-May-05 VDAT yahoogroups.com. As on 11-May-05 2929

VSI Opinion Polls

• How many PG students with specialization in Semiconductors/VLSI do you think will be needed (annually) by 2010?– Less than 500– 500 – 1000– 1000 – 2000– 2000 – 3000– More than 3000

Page 30: Vlsi Education In India

13-May-05 VDAT yahoogroups.com. As on 11-May-05 3030

VSI Opinion Polls

• How many PG students with specialization in Semiconductors/VLSI do you think will be needed (annually) by 2010?– Less than 500: 1%– 500 – 1000: 12%– 1000 – 2000: 26%– 2000 – 3000: 16%– More than 3000: 43%

Page 31: Vlsi Education In India

13-May-05 VDAT yahoogroups.com. As on 11-May-05 3131

VSI Opinion Polls

• What is the number of B.Tech students graduating today with some specialization in Semiconductors/VLSI to take up a profession in the VLSI area?– Less than 1000– 1000 – 2000– 2000 – 3000– 3000 – 4000– More than 4000

Page 32: Vlsi Education In India

13-May-05 VDAT yahoogroups.com. As on 11-May-05 3232

VSI Opinion Polls

• What is the number of B.Tech students graduating today with some specialization in Semiconductors/VLSI to take up a profession in the VLSI area?– Less than 1000: 52% – 1000 – 2000: 13%– 2000 – 3000: 23%– 3000 – 4000: 2%– More than 4000: 7%

Page 33: Vlsi Education In India

13-May-05 VDAT yahoogroups.com. As on 11-May-05 3333

VSI Opinion Polls

• What is the number of M.Tech students graduating today with specialization in Semiconductors/VLSI to take up a profession in VLSI?– Less than 500– 500 – 1000– More than 1000

Page 34: Vlsi Education In India

13-May-05 VDAT yahoogroups.com. As on 11-May-05 3434

VSI Opinion Polls

• What is the number of M.Tech students graduating today with specialization in Semiconductors/VLSI to take up a profession in VLSI?– Less than 500: 66% – 500 – 1000: 27%– More than 1000: 6%

Page 35: Vlsi Education In India

Jaipur Workshop on VLSI Education

Compiled by: Dr. C P Ravikumar, TI & Secy, VSI

March 12, 2005

Page 36: Vlsi Education In India

13-May-05 Jaipur Workshop on VLSI Education (Mar 12, 2005). Compiled by: Dr. C P Ravikumar, TI & Secy, VSI

3636

What constitutes “Talent in VLSI” ?

• Device Physics, VLSI Technology, Fabrication

• Transistor-level Circuit Knowledge

• Analog and mixed signal design, RF

• Design Digital Design (HDL)

• Synthesis

• Verification (Simulation, Formal Verification, …)

• EDA

• DFT

• Applications – Signal Processing

– Networks

– Embedded Systems

Page 37: Vlsi Education In India

13-May-05 Jaipur Workshop on VLSI Education (Mar 12, 2005). Compiled by: Dr. C P Ravikumar, TI & Secy, VSI

3737

Goals of University – Industry Interaction

• Talent Pool Generation – growing the right kind of talent– VLSI is a fast growing field and curriculum

updates cannot keep pace

• Research Collaboration – Funded projects – Start-ups – Papers – Patents

Page 38: Vlsi Education In India

13-May-05 Jaipur Workshop on VLSI Education (Mar 12, 2005). Compiled by: Dr. C P Ravikumar, TI & Secy, VSI

3838

What is Industry saying?

• Insufficient talent pool – quality is lacking – Graduating students are not “industry-ready” – Productivity Issue – Related to attrition – Hiring experienced persons from outside India – Motivation factor – Should we rework the curriculum?

Page 39: Vlsi Education In India

13-May-05 Jaipur Workshop on VLSI Education (Mar 12, 2005). Compiled by: Dr. C P Ravikumar, TI & Secy, VSI

3939

What is Industry saying?

• Public-domain tools are enough • Emphasize small projects and assignments

in the course • Placement is disorganized – students

interested in electronics are getting placed in software jobs – Target M.Tech and Ph.D. programs? – Students graduating from M.Tech programs are

not industry ready

Page 40: Vlsi Education In India

13-May-05 Jaipur Workshop on VLSI Education (Mar 12, 2005). Compiled by: Dr. C P Ravikumar, TI & Secy, VSI

4040

VSI Surveys

• Numbers – where are we today and where are we headed? – Electronics and Communications– Computer Science/Engineering

• Both B.Tech level and Specialized man power (M.Tech)

• Quality of man power • Survey results available from vdat

yahoogroups

Page 41: Vlsi Education In India

13-May-05 Jaipur Workshop on VLSI Education (Mar 12, 2005). Compiled by: Dr. C P Ravikumar, TI & Secy, VSI

4141

Projected Requirements

• 3000 persons required in 2006 – 500 experienced

– 2500 fresh engineers (100 companies) • 150 M.Techs from IIT • 150 B.Techs from IIT

Page 42: Vlsi Education In India

13-May-05 Jaipur Workshop on VLSI Education (Mar 12, 2005). Compiled by: Dr. C P Ravikumar, TI & Secy, VSI

4242

What’s “Industry Ready”?

• Fundamentals – Frequently not answered questions: setup and

hold delay, RC circuit operation, …

• Ability to grasp concepts – If the student has understood what was taught

in the curriculum, (s)he can be trained – Training is different from education – Industry does not expect VHDL and Verilog

knowledge from students! That would be a bonus.

Page 43: Vlsi Education In India

13-May-05 Jaipur Workshop on VLSI Education (Mar 12, 2005). Compiled by: Dr. C P Ravikumar, TI & Secy, VSI

4343

What’s “Industry Ready”?

• Applying concepts

• Basic computer skills – At least one programming language, OS skills,

… – Bonus: Exposure to TCL/TK, Perl, etc.

• Soft skills (team work, …)

Page 44: Vlsi Education In India

13-May-05 Jaipur Workshop on VLSI Education (Mar 12, 2005). Compiled by: Dr. C P Ravikumar, TI & Secy, VSI

4444

What should be emphasized, what should not

• To be emphasized – CMOS circuit design – Electronic Design Flow – Effect of Interconnects – Design Timing – Test and Verification

• Emphasize less – BJT can be emphasized less

• Electives

Page 45: Vlsi Education In India

13-May-05 Jaipur Workshop on VLSI Education (Mar 12, 2005). Compiled by: Dr. C P Ravikumar, TI & Secy, VSI

4545

Debate – “Talent that is coming out of the Universities is not industry-ready”

• Strongly Disagree – The curriculum is already strong on

fundamentals

Page 46: Vlsi Education In India

13-May-05 Jaipur Workshop on VLSI Education (Mar 12, 2005). Compiled by: Dr. C P Ravikumar, TI & Secy, VSI

4646

Debate – “Talent that is coming out of the Universities is not industry-ready”

• Strongly Agree – Curriculum cannot be changed too often – Less resources are available for faculty recruitment, lab

infrastructure, tools – Exposure to circuit design and semiconductors lacking

(both students and faculty) – Students see more glamour in software/There are more

opportunities in software – “Readymade kits” – Less industry interaction (visits from industry and

faculty internship programs) – Lack of motivation (device physics is less attractive) – Exams give little choice of learning

Page 47: Vlsi Education In India

13-May-05 Jaipur Workshop on VLSI Education (Mar 12, 2005). Compiled by: Dr. C P Ravikumar, TI & Secy, VSI

4747

Academia’s Concerns

• If industry wants high quality, let them pay for it • Indian semiconductor/VLSI industries are not

coming forward for Project training, ideas, data, guidance

• Take faculty for deputation • Need long-term projects • Does any Indian semiconductor industry even

want anything from the academia (other than students?)

• Make this a win-win situation for all concerned (students, industry AND faculty)

Page 48: Vlsi Education In India

Actors for Change

Page 49: Vlsi Education In India

13-May-05 Private Communication - Dr. G D Gautama, IT Secy, WB

4949

Actors for Change

• Government• Industry• Academia• VSI – VLSI Society of India• ISA – India Semiconductor Association

Page 50: Vlsi Education In India

13-May-05 Private Communication - Dr. G D Gautama, IT Secy, WB

5050

IT WB

• VLSI Design Park – Near Kharagpur IIT Campus, Kolkata – 100 to 150 acres of land – 20 to 30 million dollar in investment. – To house companies in development, manufacturing and assembly

line.– Directly linked with R&D at IIT – Joint Proposer - Mr. Deb Gupta, CTO of APSTL advanced

Packaging & System Technology Laboratories, USA and an IIT Alumni.

– The state government is facilitating for • Funds - Meetings with Consul General of Japan, Kolkata and

Embassy of Japan, Delhi (by APSTL, US, IIT, Kharagpur and IT WB) have been held.

• Land – considering favorably

Page 51: Vlsi Education In India

13-May-05 5151

Academia-Industry JV

• BITS-RIT APEX (Applied research and professional Excellence): – BITS Pilani – New York based Rochester Institute of Technology (RIT) – Indian Semiconductor Association (ISA). – Applied research lab in Bangalore– Focus on cutting edge semi-conductor research and would also

have basic and advanced courses. – BITS is investing around Rs 1.5 crore into the center– Come up in July.

Indicative – Several other initiatives coming upIndicative – Several other initiatives coming up

Page 52: Vlsi Education In India

VSI – VLSI Society of India

Page 53: Vlsi Education In India

13-May-05 5353

VSI: VLSI Society of India• The purpose of VSI is to contribute and promote the advancement of

all aspects of VLSI technology, primarily in India:– To promote all areas relating to VLSI field - materials, technology,

process, design, application CAD/Design Automation, VLSI architectures, education, policies, etc.

– To bring wide class of professionals from process technologies to specialists in VLSI architectures on one platform.

– To provide impetus to infrastructural growth for technology development.  – To provide impetus to human resources development. – Conduct periodic seminars/conferences/workshops in this area. – To bring out quality publications. – To continually formulate national goals for a sustained and vibrant VLSI

industry. – To evolve standards and frameworks for achieving effective synergy. – To establish relations with other similar associations, national or

international.

Page 54: Vlsi Education In India

13-May-05 5454

VSI Activities

• Regular Activities– VLSI Design Conference (every Jan)

– VLSI Design and Test Symposium (every Aug)

– VLSI Education Day (every Aug)

• Other Activities– Curriculum Discussions, Surveys

– Focused workshops (Low Power, Memory, DFT, ...)

– VLSI Education Workshops

• Publications– VSI Newsletter

– Journal of the VSI

Page 55: Vlsi Education In India

ISA – India Semiconductor Association

Page 56: Vlsi Education In India

13-May-05 ISA Website - http://www.isaonline.org 5757

ISA: India Semiconductor Association

• Setup in Nov. 2004 at IT.com at Bangalore• ISA is the premier national-level body for the

semiconductor technology-driven industry in India. It’s a new entity and truly a global body with the active participation of semiconductor companies from the leading markets, including the US, EU and Asia.

• Vision– To establish India as the preferred global hub for

excellence in creation of semiconductor products through technology leadership

Page 57: Vlsi Education In India

13-May-05 ISA Website - http://www.isaonline.org 5858

ISA: Mission & Objectives• The primary objective of ISA is to act as a catalyst for the growth

of the semiconductor industry in India. Other objectives include:• Create global awareness for Indian semiconductor industry outside of

the generic “IT” umbrella • Create a win-win interaction amongst Semiconductor product and

services companies, Government, Academia, VCs and Industry bodies

• Create an enabling ecosystem that catalyzes industry’s growth and leadership

• Enhance Operational Efficiency • Identification of Investment opportunities • Foster active collaboration between Industry and Universities to

further expand the available world-class Semiconductor talent pool • Drive technology vision for the Semiconductor industry

Page 58: Vlsi Education In India

13-May-05 Private Communication - Dr. Uma Mahesh, Insilica & Secy ISA

5959

ISA: University Gateway Initiative (UGI)

• Objectives– Invigorate research in

semiconductors• Technology Leadership

– Create sustainable tread-mill for talent generation• Growth of India Semiconductor Industry

Page 59: Vlsi Education In India

13-May-05 Private Communication - Dr. Uma Mahesh, Insilica & Secy ISA

6060

ISA: UGI: Focus

• Focus Areas– Research– Design Support & Fab access– Student projects– Faculty training, support, exchange– Placement– Curriculum / Course ware development– VSI, MCIT collaboration

• Membership• Mentorship• Other Items

Page 60: Vlsi Education In India

13-May-05 Private Communication - Dr. Uma Mahesh, Insilica & Secy ISA

6161

ISA: UGI: Research

• Research papers in international conferences– An award system to create incentives– Travel grants for international conferences

• Create a SRC like forum– Participation from Industry & Universities– Identify key thrust areas of research– Invite & fund research proposals

• Publish a list of interesting research problems– Similar to top 10 problems in Physical Design from ISPD

• Arrange visits / talks from leading researchers

Page 61: Vlsi Education In India

13-May-05 Private Communication - Dr. Uma Mahesh, Insilica & Secy ISA

6262

ISA: UGI: Research

• Technnovation Initiative– ‘ISA-Technnovation Shield’

• Awarded every year to an Academic Institution that excels in Technology Innovations in semiconductors and related areas

– ‘ISA-Technnomentor of the Year’• Awarded every year to a faculty member for outstanding

contribution in Technology Innovations in semiconductors and related areas

– ‘ISA-Technnovators of the Year’• Awarded every year to top 5 students in the country with

outstanding performance in technology innovation in semiconductors and related areas

• Patents and Research Publications as the yard sticks for technology innovation

Page 62: Vlsi Education In India

13-May-05 Private Communication - Dr. Uma Mahesh, Insilica & Secy ISA

6363

ISA: UGI: Research

• Technnovation Initiative– ‘ISA-Technnowhizkids of the Year’

• Semiconductor Industry aims to emerge as future for our nation and so are the young children in the school

• The idea is to catch them young• ISA will partner with leading assessment institutions to create a

nationwide contest on ‘innovative thinking’ • Top 5 school children will be awarded ISA Technnowhizkids of

the Year Award

– ‘ISA PhD Fellowships’• An incentive program to support top talent to pursue research in

India• Will help fund the core research and provide financial

scholarships to PhD students and their guides• Will facilitate research collaboration with other nations in the

world

Page 63: Vlsi Education In India

13-May-05 Private Communication - Dr. Uma Mahesh, Insilica & Secy ISA

6464

ISA: UGI: Research

• Technnovation Initiative– Life Time Achievement Award for

Technnovation• Awarded to a distinguished academician / researcher for

significant contribution to Technology Innovations in India in the field of semiconductors and related areas

Page 64: Vlsi Education In India

13-May-05 Private Communication - Dr. Uma Mahesh, Insilica & Secy ISA

6565

ISA: UGI: Design Activity – Support

• EDA Software– Leverage Infrastructure created by SMDP/MCIT– Work with MCIT to create a web portal for public domain tools– ISA Facilitate EDA software acquisition for members– (60+ universities already have access to EDA tools)

• Design kits– Cell Libraries, I/O’s, memory compilers, process models

• Fabs (SCL, TSMC), I/P (Artisan, Virage)

– Design flows & Methodology– Training to use the infrastructure– Quarterly reviews

• Fab Access– SCL, India Chip Program– TSMC Shuttle

Page 65: Vlsi Education In India

13-May-05 Private Communication - Dr. Uma Mahesh, Insilica & Secy ISA

6666

ISA: UGI: Academic Interaction• Student Projects

– One of the Most frequent request

– Create a database of student projects topics• Cover wide variety of topics of interest

• Solicit Ideas from member companies / Universities

• Short duration projects to support course curriculum

• Long duration projects used for practical training– Need mentoring from industry (Technical, Financial)– Active participation from faculty– Background material for the projects

Page 66: Vlsi Education In India

13-May-05 Private Communication - Dr. Uma Mahesh, Insilica & Secy ISA

6767

ISA: UGI: Academic Interaction• Faculty & Student exchange

– Internship programs for students– Faculty exchange programs

• Sponsored Sabbatical for summer in industry• Visiting faculty from industry for short duration (1 week)

• Placement– Major key incentive for the universities– Lack of information about activities in universities– Advanced placement (1 year ahead)– Facilitate placement activities for member

universities

Page 67: Vlsi Education In India

13-May-05 Private Communication - Dr. Uma Mahesh, Insilica & Secy ISA

6868

ISA: UGI: Academic Interaction• Curriculum / Courseware

– SMDP/VSI/Univ. have put together a good curriculum– Need to support curriculum with courseware

• Augment with practical & Projects

– Courseware available electronically for wider usage

• MCIT / VSI– MCIT & VSI have been doing a lot of work in this area– Create partnership with MCIT to leverage infrastructure– Partner & support VSI for conferences / workshops

Page 68: Vlsi Education In India

13-May-05 Private Communication - Dr. Uma Mahesh, Insilica & Secy ISA

6969

ISA: UGI: Membership for Universities

• Awareness / Value Proposition– Create awareness for opportunities in

semiconductors– Publicize benefits for ISA membership– Create criteria for becoming ISA member

Page 69: Vlsi Education In India

13-May-05 Private Communication - Dr. Uma Mahesh, Insilica & Secy ISA

7070

ISA: UGI: Membership for Universities

• Membership – Category-B– Membership Fee – Rs. 10,000 / year

• Access to Design kits• Support for student projects• Possible mentoring relationship with ISA companies

• Membership – Category-A– Membership Fee – Rs. 25,000 / year– Additional Benefits

• Technovation Initiative• Possible access to Fab-Shuttle program (Future)• Summer Sabbatical program for faculty

Page 70: Vlsi Education In India

13-May-05 Private Communication - Dr. Uma Mahesh, Insilica & Secy ISA

7171

ISA: UGI: Mentorship

• Facilitate Mentor Relationship– Enlist ISA Industry members with

commitment for• Faculty hosting at their site• Support & guidance of student projects• Providing expert visiting faculty (Short-term)• Help with course-work & curriculum• Providing placement support for eligible

universities

– ISA Plays a role of Facilitator based on needs

Page 71: Vlsi Education In India

13-May-05 Private Communication - Dr. Uma Mahesh, Insilica & Secy ISA

7272

ISA: UGI: Other Items

• Create & Maintain database of university activities in India

• Survey to project talent generation requirements over next 5 years

• Work with MCIT on– Hiring & Supporting additional faculty under

SMDP-II program (2 per institutes)– Extend IEEE-Explore facility to member

universities– Work with publishers to provide Indian edition of

books

Page 72: Vlsi Education In India

13-May-05 7373

Summary

• We have a strategic position that ‘happened’ to us

• The opportunity is immense• We are ahead - yet, competition is fast

catching up• We need to deliver through the production

of abundant quality man power • We need to build a momentum around VSI

and ISA to scale up to the required level

Page 73: Vlsi Education In India

13-May-05 7474

Contributors

• Dr. C P Ravikumar, TI– As Secy, VSI

• Dr. G D Gautama, IT Secretary, WB Govt.• Dr. Pradip Dutta, Synopsys• Ms. Reena Mishra, Interra Systems • Dr. Uma Mahesh, Insilica

– As Secy, ISA

Page 74: Vlsi Education In India

13-May-05 7575

Thank You