types of eda tool set
DESCRIPTION
it has all eda tool company andits productsTRANSCRIPT
Contents
[hide]
1 Existing companies
2 EDA Companies that no longer exist
3 See also
4 References
5 External links
Existing companies[edit source | editbeta]
This list is incomplete; you can help by expanding it.
Company EDA products
Agilent
Technologies EEsof EDA
division
Platforms:
Advanced Design System – high frequency and high
speed design
EMPro (formerly Antenna Modeling Design System) - 3D
EM platform
GoldenGate (supersedes RF Design Environment) -
RFIC/RF mixed signal simulator (Xpedion acquisition)
IC-CAP, Model Builder Program (MBP), Model Quality
Assurance (MQA), Advanced Model Analysis - Device
modeling and validation (MBP, MQA, AMA from Accelicon
acquisition)
Genesys - RF and microwave design (Eagleware-Elanix
acquisition)
SystemVue - Electronic system-level design (Eagleware-
Elanix acquisition)
EM solvers:
Momentum – 3D planar, frequency domain, available with
the ADS, Genesys, and GoldenGate platforms
FEM Element – full 3D, frequency domain, available with
the ADS and EMPro platforms
FDTD – full 3D, time domain, available with the EMPro
platform
Agnisys, Inc. IDesignSpec Register Management tool
IVerifySpec Verification Management tool
Aldec, Inc.
ActiveHDL
Riviera
A-Lint
Altium Altium Designer (Step up from Protel)
P-CAD (Ceased. Former Accel EDA from Accel Technologies)
Ansys
Ansoft HFSS - High-Frequency Structure Simulation
Apache Design, Inc. products:
PowerArtist: RTL Design for Power Platform
RedHawk: Full-chip Dynamic SoC Power Integrity Solution
Totem: Analog and Mixed-Signal Power & Noise Platform
Sentinel: Chip-Package-System Co-design/Co-analysis
Solution
PathFinder: Layout-based ESD Integrity Solution
Atoptech
Aprisa - Complete netlist to GDSII Place and Route solution.
Apogee - Hierarchical Design - Floorplanning, Prototyping,
Partioning, Chip Assembly
Cadence Design Systems System Development Suite with Verification Computing
Platform, Virtual System Platform, Incisive Verification Platform,
and Rapid Prototyping Platform
C-to-Silicon
Verification IP Catalog
Design IP
Chip Planning Solution
Virtuoso - IC Artist
Virtuoso - IC Layout
Virtuoso - Layout Migrate
Encounter - Digital IC design
Encounter - Conformal-LEC
Encounter - Conformal Low Power
Encounter - Conformal ECO Designer
Encounter - Conformal Constraint Designer
Encounter - RTL Compiler
Encounter - RTL Compiler Physical
Encounter - Test ATPG
Encounter - Test Daignostics
Encounter - Design Implementation
Encounter - QRC (Extraction & Checking)
Encounter - Nanoroute
Allegro - PC/MCM design
Incisive - functional verification
Design for Manufacturing
SPECCTRA Autorouter
Orcad
Denali Software products
Spectra
PureSpec
MMAV
Databahn
Blueprint
Sigrity products
OptimizePI
PowerDC
XtractIM
PowerSI
Broadband SPICE
SPEED2000
Channel Designer
XcitePI
OrbitIO Planner
Unified Package Designer (UPD) acquired from Synopsys
CadSoft Computer EAGLE - PCB Design
DAFCA ClearBlue
Dolphin Integration
SLED - Schematic entry and netlisting
SMASH - Mixed-signal, mixed-language modeling and
simulation
SCROOGE TLA - Mixed-signal power consumption estimation
SoC GDS - Layout viewer and processor
GDS Reticle - Test pattern frame generator
Schindler & Schill
GmbH(EasyLogix) PCB-Investigator - Professional ECAD Workstation for PCB
development
GerberLogix - Free Gerber Viewer
Online-Gerber-Viewer - Free Gerber Viewer (no installation
required)
Forte Design Systems Cynthesizer
gEDA
gschem: schematics editor
pcb: PCB layout editor
gerbv: Gerber file viewer
Ing.-Büro FRIEDRICH
TARGET 3001! PCB Layout CAD Software
Schematic editor
Simulation pSpice compliant
PCB design
Front panel design
SQL component database
3D model design, STEP export
PCB assembly price calculator
Electra: High speed auto router
Intellitech
Nebula Silicon Debugger - Interactive program which connects
to Synopsys VCS and Cadence Design Systems NCSim for
pre-silicon validation with cross-reference during connection to
real silicon
JEDA Technologies
C/C++/SystemC Model Validation Tool Suite
OCP Validation Suite
TLM2.0 Validation Suite
KiCad (GPL)
eeschema - schematic capture
PCBnew - PCB layout
gerbview - Gerber viewer
Lauterbach
in-circuit debuggers (JTAG and non-JTAG) with
optional trace functionality
in-circuit emulators
front-ends to debug virtual platforms for embedded
software development (ESL)
Mentor Graphics ADiT - Nanometer IC Design: fast SPICE
Questa ADMS - Nanometer IC Design: mixed-signal simulator
Board Station - PCB design software
Calibre - physical verification
Catapult Synthesis - ESL Design: high-level synthesis
Catapult Library Builder - ESL Design: high-level synthesis
Design Architect-IC - Nanometer IC Design
Eldo - Nanometer IC Design: SPICE simulator
Eldo RF - Nanometer IC Design: SPICE simulator
Expedition - PCB design software
IP - intellectual property (now part of embedded systems
division)
ModelSim LE - Nanometer IC Design: digital design and
simulation. Linux-based simulator with Dataflow Window and
Waveform Compare.
ModelSim PE - Nanometer IC Design: digital design and
simulation. Windows-based simulator for VHDL, Verilog, or
mixed-language simulation environments.
ModelSim SE - Nanometer IC Design: digital design and
simulation. Tri-lingual simulator with VHDL, Verilog, and
SystemC.
Nucleus EDGE - embedded systems development tools
Inflexion - embedded systems application platform tools
Nucleus OS - embedded systems OS
Olympus-SoC - place and route
PADS - PCB design software
Platform Express Professional - ESL Design: platform-based
design
Platform Express Integrator's Kit - ESL Design: platform-based
design
Platform Express Client - ESL Design: platform-based design
Questa - digital and mixed-signal simulation
System Architect - ESL Design: system analysis
SystemVision - Mechatronic Design: system analysis
Vista - ESL Design: system debug
Visual Elite - ESL Design: system integration
Through LogicVision Acquisition
Dragonfly - Embedded test IP insertion tool for logic, memory
and mixed-signal testing
Silicon Insight - Interactive program that works with 3rd party
testers for controlling and logging of data on the device for at-
speed testing
Yield Insight - Yield analysis program which looks at foundry
and performance data to diagnose possible problems
NanGate
Library Creator - Standard Cell Library Platform (IP creation
and migration)
Design Optimizer - Concurrent analysis and optimization of
design, constraints and libraries
Design Services - Standard Cell Library IP and Performance
Extension IP
National Instruments
National Instruments Electronics Workbench Group
NI Multisim - schematic capture and simulation
NI Ultiboard - printed circuit board layout editor
AWR Corporation products
Nimbic, Inc. (formerly
Physware)
PhysWAVE
PhysAPEX
Silvaco InternationalAnalog & mixed signal:
UTMOST III
UTMOST IV
SPAYN
Gateway
SmartSpice
SmartSpiceRF
Harmony
Custom IC CAD:
Expert
Guardian
HIPEX
Interconnect modeling:
QUEST
CLEVER
STELLAR
EXACT
Digital CAD:
SILOS-X
HyperFault
AccuCell
AccuCore
Solido Design Automation
Variation Designer - analog/mixed-signal and custom IC design
Monte Carlo+ package
PVT+ package
Proximity+ package
Sunstone Circuits PCB123 PCB Design Software (FREE))
Synopsys, Inc. Astro - place and route
Cosmos Scope
Custom Designer
Design Compiler
DFT Compiler
DFTMAX compression
Formality
Hercules - physical verification
HSIM
HSPICE
IC Compiler - place and route
IC Validator
NANOSIM
Physical Compiler
Proteus OPC
Protocol Analyzer (Debugging tool)
PrimeTime - static timing analysis
Saber
Sentaurus TCAD
Spice explorer
Star-RCXT
Synphony C Compiler - high-level synthesis
TetraMAX ATPG
VCS
VIP
XA
Yield Explorer - yield management
Teklatech FloorDirector - Dynamic Power and Power Noise Integrity
optimization for digital ASICs and SoCs
Ucamco Develops the Gerber format, PCB CAM software
Xilinx ISE Design Suite
Zuken, Inc.
Cabling Designer
Cadstar
CR-5000
CR-8000
Visula
EDA Companies that no longer exist[edit source | editbeta]
EDA companies that no longer exist (as of 2011)
Ansoft Corporation acquired by Ansys Inc.
Applied Wave Research
acquired by National Instruments
Microwave Office
Analog Office
Visual System Simulator
APLAC
AXIEM
Automated Systems, Inc.
acquired by Cadence Design Systems[1] in 1990.
PRANCE GT - Placement, Routing and Numerical Control Editing for PCB design and layout.
Avant! Corporation acquired by Synopsys[2] in 2002. Avant! itself resulted from the merger of ArcSys and Integrated Silicon Systems on November 27, 1995. It acquired Anagram on September
27, 1996, Meta-Software on October 29, 1996, FrontLine Design Automation on November 27, 1996 and Nexsyn Design Technology on December 31, 1996.
Azuro
acquired by Cadence[4] in 2011.
PowerCentric - Clock tree synthesis and automatic clock gating
Rubix - Physical optimization and automatic useful skew
Calma merged into Valid, then Cadence Design Systems[5]
CoFluent Design
acquired by Intel[6] in 2011.
CoFluent Studio
CoFluent Reader
Coware acquired by Synopsys in March 2010.
Daisy Systems merged with Cadnetix in 1988
Denali Software acquired by Cadence Design Systems in Q2 of 2010
ECAD, Inc. merged with SDA Systems in 1987 to create Cadence
Gateway Design Automation
acquired by Cadence Design Systems in 1989
Verilog HDL
Verilog-XL
Interactive Image
Technologies or Electronics Workbenchacquired by National Instruments in 2005
LogicVision Inc. acquired by Mentor Graphics in 2009
Magma Design Automation, Inc. acquired by Synopsys in 2012
OrCAD acquired by Cadence in 1999
Protel renamed as Altium
SpringSoft, Inc.
acquired by Synopsys[7]
Verdi - automated debug system
Debussy - debug modules
Siloti - visibility enhancement solutions
Certitude - Functional Qualification System
Laker - Custom Layout System
Synplicity acquired by Synopsys[8]
Valid Logic Systems merged into Cadence[9]