thesis of-rajesh-gps

61
A Project Report On Design & Implementation of Multi-Channel Pseudolite GPS Baseband Module Under the supervision of Dr. Kota Solomon Raju Scientist “E1” Reconfigurable Computing Systems Lab Digital System Group Submitted in partial fulfillment of the requirement for the degree of M.Tech (IT with specialization in Satellite Communication & Space Systems) Work carried out at Central Electronics Engineering Research Institute (CEERI) Pilani, Rajasthan 333031 Jan2011-Jun2011 Submitted By V Rajesh Chowdhary M.Tech (IT with specialization in Satellite Communication & Space System) I2IT, Pune

Upload: lakshmi610

Post on 22-Nov-2014

2.763 views

Category:

Technology


4 download

DESCRIPTION

 

TRANSCRIPT

Page 1: Thesis of-rajesh-gps

A Project Report

On

Design & Implementation of Multi-Channel Pseudolite GPS Baseband

Module

Under the supervision of

Dr. Kota Solomon Raju

Scientist “E1”

Reconfigurable Computing Systems Lab

Digital System Group

Submitted in partial fulfillment of the requirement for the degree of M.Tech (IT with

specialization in Satellite Communication & Space Systems)

Work carried out at

Central Electronics Engineering Research Institute (CEERI)

Pilani, Rajasthan 333031

Jan2011-Jun2011

Submitted By

V Rajesh Chowdhary

M.Tech (IT with specialization in Satellite Communication & Space System)

I2IT, Pune

Page 2: Thesis of-rajesh-gps

CENTRAL ELECTRONICS ENGINEERING RESEARCH INSTITUTE

(Council of Scientific & Industrial Research)

Pilani - 333031 (Rajasthan), India.

CERTIFICATE

This is to certify that Project Report entitled ―DESIGN AND IMPLEMENTATION OF

MUTLI-CHANNEL PSEUDOLITE GPS BASEBAND MODULE” is being submitted

by Mr. V RAJESH CHOWDHARY for the partial fulfilment of the requirement for the

award of degree ― Master’s of Technology in Information Technology with

specialization in Satellite Communication & Space Systems” awarded by

International Institute of Information Technology, Pune embodies work carried out

by him under my supervision at Central Electronics Engineering Research Institute,

Pilani (Rajasthan) during January, 2011 to June, 2011.

Dr. Kota Solomon Raju

Scientist “E 1”

Reconfigurable Computing Systems Lab

Digital System Group

CEERI, Pilani 333031

Page 3: Thesis of-rajesh-gps

CEERI Profile

Central Electronics Engineering Research Institute (CEERI), Pilani , is a pioneer research

institute in the country and a constituent laboratory of Council of Scientific and Industrial

Research (CSIR), New Delhi, established in 1957, for advanced research and development

(R&D) in the field of electronics. Since its inception it has been working for the growth of

electronics in the country and has established the required infrastructure and well experience

manpower for under taking R&D in the Electronics;

Mandate

Major R&D programmed

Significant achievements

Main facilities

Main technologies transfer and ready to transfer to industry

Mandate:

To carry out R&D in electronic devices and systems.

To assist industry in technology absorption, up gradation and diversification To provide R&D services to industry and users in design, fabrication and testing. To provide technical services for specific needs towards product development,

precision and quality

Page 4: Thesis of-rajesh-gps

Major R & D Programs:

The main thrust of the R & D efforts traditionally carried out by CEERI has been

directed towards the collaborative, grant- in-aid and S & T service, private industries research projects, funded by Government Departments, Government funded user agencies and to a lesser extent, towards in-house development projects resulting in technological know-how which can

be transferred to Indian industries. With the dwindling support for capital resources needed for state -of-the-art research, it has now become much more difficult to find the support for

developmental activities, which can lead to competitive products or process of interest to industry. The R & D activities of CEERI can be broadly classified into three major areas viz.

Semiconductor devices, electronics system and microwave tubes. There are over 12 groups working on various projects on the frontiers of knowledge in these three trust areas. The major trusts areas in which CEERI are presently concentrating its efforts are:

Electronic system:

Areas of Research

1. Agri-Electronics 2. Embedded Systems

3. Digital System 4. Power Electronics

Semiconductor devices:

Areas of research

1. Hybrid Micro Circuits

2. IC Design

3. MEMS & Micro Sensors

4. Sensors & Nanotechnology

5. Optoelectronic Devices

Microwave tubes Areas of Research

1. Gyrotron

2. Klystron

3. Magnetrons

4. Plasma Devices

5. Traveling wave tubes

The activities of microwave tubes and semiconductor devices areas are done at Pilani,

where as the activities of electronic system areas are under taken at Pilani as well as at Chennai.

The institute has excellent computing facilities with many Pentium computers and SUN/DEC

workstations interlinking with Internet and e-mail facilities. The institute has a well-maintained

library with a good collection of books, references and current periodicals published all over the

world.

Page 5: Thesis of-rajesh-gps

Significant achievements:

Space qualification of hybrid microcircuits for use by ISRO Design of serial data controller chip

Development of high voltage deflection transistor for TV applications Development of space-qualified metal sized alumina substrates and Ku band down

converter Development of hybrid PIN/FET for long haul optical communication system

Main Facilities:

Facilityfor computer-aided design (CAD) of ICs and discrete semi-conductor devices and microwave tubes

Fabrication facility for semiconductor devices and microwave power tubes Instruments and control systems design, testing and microprocessor development

facilities

Mechanical workshop, computers, testing and calibration facilities Library

Page 6: Thesis of-rajesh-gps

Acknowledgement

This acknowledgement is intended to thanks all those involved in my project directly and

indirectly. When expressed in words, feeling of gratitude is partially conveyed.

It gives great pleasure to express my sincere gratitude to Dr. Kota Solomon Raju, Scientist ‗E I‘,

Digital Systems Group of Central Electronics Engineering Research Institute, Pilani, for his ever

encouraging attitude, valuable guidance and keen interest during the period of present work and

unforgettable co-operation for making me submit this report . I shall ever remain indebted to

him. I am also thankful to my colleagues in the Digital Systems Group, CEERI, Pilani for

sharing their knowledge and the much needed support which has been very helpful for me.

I am extremely grateful to Dr. Chandra Shekhar, Director, Central Electronics Engineering

Research Institute, Pilani for allowing me to do my project in this esteemed institute.

I would like to thank Prof. Rabinder Henry for allowing me to do my M.Tech project training at Central Electronics Engineering Research Institute (CEERI) , Pilani, Rajasthan.

I wish to acknowledge Dr. P. Bhanu Prasad, Head, Digital System Group and Mr. Vinod Kumar Verma , Planning and Coordination cell CEERI.

Lastly I thank my family for their encouragement and support which helped me.

V Rajesh Chowdhary Registration Number 105344327

International Institute of Information Technology, Pune

Page 7: Thesis of-rajesh-gps

Abstract

Recently, some software based and ASIC based GPS receivers have been developed and software based receivers are often implemented on PC under Windows and C language

environments. Even though these receivers could successfully track GPS signals and calculate user fix in real time, the computational time for the signal acquisition and tracking is still immense for entirely software only GPS receiver. If additional signal processing is required for

multipath mitigation, interference cancellation, and weak signal detection etc, more computational power are implemented in ASICs. To customize the receivers they must be

provided using FPGA, DSP and combination of both is one of the promising solutions for the advanced multi channel GPS receiver.

During the past few years a lot of efforts have been exerted to make the inner working of the GPS receiver visible, clear and easy to learn and modify either on the level of software or

hardware. This dissertation throws a light on multi-channel GPS software receiver using the C/A code on the L1 carrier. Simulink block approach from Matlab software has been used in the implementation of multi channel pseudolite GPS software receiver, thereby introducing a new

look for the SDR approach and can be accomplished via a graphical user interface environment. FPGA-based software GPS receiver using a high level design tool is developed. Matlab Simulink

and Xilinx System Generator have been utilized for the GPS receiver baseband signal processing design. The components like acquisition, code and carrier tracking blocks, correlator, C/A code generator, DDS etc, which requires huge computations are designed by the Xilinx FPGA block

and implemented on FPGA board. The other parts are implemented on DSP processor.

Page 8: Thesis of-rajesh-gps

Table of contents

1. Chapter : Introduction to software GPS receivers

1.1. Introduction

1.2. Motivation

1.3. Outline of this dissertation

2. Chapter : Introduction to GPS

2.1 Introduction

2.2 Overview of GPS

2.3 GPS Segments

2.4 GPS Basic Idea

2.5 GPS Signal Structure

2.6 GPS Signal Scheme

2.7 C/A Code

2.7.1 Gold Sequence

2.7.2 Gold Sequence Generation – Overview

2.7.4 Correlation Properties

2.8 Doppler Frequency Shift

2.9 Pseudorange Measurements

2.10 Navigation Data

2.10.1 Telemetry & Handover Words

2.10.2 Data in Navigation Message

Page 9: Thesis of-rajesh-gps

3. Chapter : Differential and Augmented GPS

3.1 Differential GPS

3.1.1 Differential GPS

3.1.2 Local – Area Differential GPS

3.1.3 Wide – Area Differential GPS

3.1.4 Wide – Area Augmentation System

3.2 Space – Based Augmentation System

3.2.1 Historical Background

3.2.2 Wide – Area Augmentation System

3.2.3 European Geostationary Navigation Overlay System

3.2.4 Japan‘s MTSAT Space Based Augmentation System

3.2.5 Canadian Wide –Area Augmentation System

3.2.6 China‘s Space Navigation Augmentation System

3.2.7 Indian GPS and GEO Augmentation System

3.3 Ground Based Augmentation System

3.3.1 Local-Area Augmentation System

3.3.2 Joint Precision Approach System and Landing System

3.3.3 Long – Range Navigation

3.4 Inmarsat Civil Navigation

3.5 Satellite Overlay

3.6 Future Satellite Systems

4. Chapter : Pseudolite Concept And Considerations

4.1 Pseudolite Concept

4.2 Pseudolite Signal Structure

4.3 Pseudolite Signal Design Approaches

4.4 Pseudolite Characteristics

4.5 Separation of Pseudolite Using the Same Code

4.6 Receiver Design for Pseudolite Compatibility

Page 10: Thesis of-rajesh-gps

5. Chapter : Receiver And Antenna Design

5.1 Receiver Architecture

5.2 Radiofrequency Stages

5.3 Frequency Down Conversion and IF Amplification

5.4 Signals to Noise Ratio

5.5 Digitization

5.6 Receiver Design Choices

5.6.1 Number of Channels and Sequencing Rate

5.6.2 Receivers with Channel Time Sharing

6. Chapter : Acquisition and Tracking of the GPS Signals

6.1 Acquisition of GPS Signals

6.2 Serial Search Acquisition

6.2.1 PRN Sequence Generation

6.2.2 Carrier Generation

6.2.3 Integration and Squaring

6.3 Carrier and Code tracking of GPS Signals

6.3.1 Demodulation

6.3.2 Carrier Tracking

6.3.3 Code Tracking

6.3.4 Complete Tracking Block

7. Chapter : SDR Technology and Complete Software Receiver

7.1 Software Defined Radio

7.2 Lyrtech‘s Small Form Factor – SDR Development Platform

7.3 Parameter settings for various GPS blocks.

7.4 Pseudolite GPS software Receiver.

7.5 Conclusion and Results of GPS Simulink Model.

8. References

Page 11: Thesis of-rajesh-gps

Chapter 1 Introduction

1.1 Introduction:

Recently, Software GPS receiver has been developed on the Window or Linux operating system. GPS

receiver development based on SDR has more advantages than the conventional GPS receiver because the

software GPS receiver can process the future satellite signal such as Galileo, GPS L5 and GLONASS

without any extra hardware. Software Defined Radios (SDR) are gaining popularity because of the

capability of reprogramming them to work under different standards with minimum hardware change.

A software radio can be programmed to acquire data from a GPS satellite as well as a GLONASS

satellite. This thesis describes the implementation of a non-real time GPS software receiver. The receiver

was verified to decode a set of non-real time raw GPS data bin on the L1 carrier and the civil navigation

message using the C/A code that was stored on a hard drive.

The small form factor SDR development platform is employed for verifying the real-time bit streaming of

the FPGA/DSP partitions. Xilinx has introduced system generator software which can implement the

FPGA designs using the matlab simulink. In case of using this software, although one can design

simulink block without the FPGA programming knowledge, the system generator generate the VHDL

code to download to FPGA. In this dissertation, design and implementation of multi-channel pseudolite

GPS baseband receiver using the SFF-SDR development platform board and System Generator is

performed.

1.2 Motivation:

In today‘s competitive environment, with product lifetimes now measured in months, getting it right the first time takes on new importance. Designs are increasingly complex and often comprise hybrid technologies including RF, high-speed signal processing (50-200 mega samples per second [MSPS]), as well as lower speed signal processing and control. More often than not, it is unclear at the outset of the design process where the optimal positions of the technology boundaries will be. System designers and implementers often make educated guesses as to the partitioning. Only near the end of the design process will they know if their guess was accurate, and that

Page 12: Thesis of-rajesh-gps

is obviously the worst time to discover faults. The concept of model-based design addresses this as well as other design challenges. Model-Based Design having one set of cost-effective integrated tools that one can use to design, verify, partition, and automatically generate code for both FPGAs and DSPs is now a reality. The Mathworks calls this process ―model-based design.‖ The concept is quite simple. First, one has to create a functional implementation independent model of the system. This is an ―executable specification,‖ a model that forms the basis of all that is to follow. Then model is verified to achieve the required system objectives, and after that one can incorporate further detail, such as adding fixed-point effects, RF/ADC non-idealities, and partitioning the design between high-speed fixed-point hardware (an FPGA) and lower speed hardware (a DSP). At every step of the process, one has to verify that the model achieves the performance goals. The final step is to use the automatic code generation capability to flawlessly implement the model on hardware.

1.3 Outline of this Dissertation:

This dissertation begins with the basics of GPS satellites and its signal schemes. Chapter 3 describes

about the various differential and augmented GPS systems. Chapter 4 gives brief introduction to concepts

of pseudolites and its considerations in the design aspects. Chapter 5 describes in some detail about the

designs issues of front-end software receiver. Chapter 6 concentrates on signal acquisition and tracking

block of the GPS software receiver. Chapter 7 contains the concept of SDR technology and design and

implementation of the complete software GPS receiver and presents the results and conclusions of this

research, with some proposals for future investigation.

Page 13: Thesis of-rajesh-gps

Chapter 2 Introduction to GPS

2.1 Introduction:

Human‘s zeal to accurately know one‘s location over the surface of the earth, lead to the discovery of ―Global Positioning System‖ (GPS). Many navigation techniques were exploited and few of them are still in use e.g. compass, celestial navigation, radio navigation, inertial navigation etc. The scope of this thesis is limited to satellite–based navigation system, which comes under radio navigation. Navigation is defined as the process of planning, reading, and controlling the movement of a craft or vehicle from one place to another. The word navigate is derived from the Latin root navis, meaning ―ship,‖ and agree meaning ―to move‖ or ―to direct.‖ All navigational techniques involve locating the navigator‘s position by comparing it to known locations or patterns [1]. The Global Positioning System was firstly developed by U.S. Department of Defense (DoD) in early 1970‘s. Initially the whole system was dedicated to U.S. Military applications but later on observing the needs of common man, it is extended to civilian users also.

2.2 Overview of GPS:

The heart of Global positioning system is a set of 24 atomic clocks on satellites revolving around the

earth. These clocks use nuclear physics to tell time accurately that each one would gain or lose scarcely a

second in a million years. To ensure continuous worldwide coverage, GPS satellites are arranged so that

four satellites are placed in each of six orbital planes. With such constellation geometry, four to ten

satellites are visible anywhere in the world. GPS orbits are nearly circular, with an inclination of 550

to

the equator .The semi major axis of a GPS orbit is about 26,560 Km(i.e. The satellite altitude is about

20,200Km above the earth‘s surface).The corresponding GPS orbital period is about 12 sidereal

hours(~11 hours,58 minutes)[2].

2.3 GPS Segments:

GPS consists of three segments: the space segment, the ground segment and the user segment as shown in

figure. 1.1.

1. The space segment consists of the 24-satellite constellation as discussed earlier. Each GPS satellite

transmits a signal, which has a number of components: two carrier waves, two digital codes and a

navigational data. The codes and navigational data are added to the carrier as binary Bi-Phase

modulations (BPSK) [3]. The carriers and codes are used mainly to determine the distance from the user‘s

Page 14: Thesis of-rajesh-gps

receiver to the GPS satellite. The navigational data contains, along with other information, co-ordinates of

the satellites as a function of time. Comprehensive details about GPS signal characteristics are mentioned

in the further sub topics.

2. The control segment of the GPS system consists of a worldwide network of tracking stations, with

master control station (MCS) located in the United States at Colorado Springs, Colorado [4]. The primary

task of the operational control segment is tracking the GPS satellites in order to determine and predict

satellite locations, systems integrity, and behavior of the satellite atomic clocks, atmospheric data, the

satellite almanac and other considerations. This information is packed and uploaded into the GPS

satellites through the S-Band link. Also, there are five monitor stations, located in Colorado Springs (with

the MCS), Hawaii, Kwajalein, Diego Garcia and Ascension Island. The positions of these monitor

stations are known very preciously. Each monitor station is equipped with high-quality GPS receivers and

a cesium oscillator for the purpose of tracking of all the GPS satellites in view.

3. The user segment includes all military and civilian users. With a GPS receiver connected to GPS

antenna, a user can receive GPS signals, which can be used to determine his or her position anywhere in

the world. GPS is currently available to all users worldwide with no direct charge.

Space Segment

Ground Segment

User Segment

Upload(S-Band)

2-4GHz

Download (L-Band)L1 =1575.42MHz

L2 =1227.6MHz

Download (L-Band)L1 =1575.42MHz

L2 =1227.6MHz

Figure 1.1 GPS Segments

2.4 GPS Basic Idea: The idea behind GPS is rather simple. If the distances from a point on the Earth (a GPS receiver) to three GPS satellites are known along with the satellite locations, then the location of the point (or receiver) can be determined by simply applying the well-known concept of resection [5]. That is all! But how can we get the distances to the satellites as well as the satellite locations? As mentioned before, each GPS satellite continuously transmits a microwave radio signal composed of two carriers, two codes, and a navigation

Page 15: Thesis of-rajesh-gps

message. When a GPS receiver is switched on, it will pick up the GPS signal through the receiver antenna. Once the receiver acquires the GPS signal, it will process it using its built-in software. The partial outcome of the signal processing consists of the distances to the GPS satellites through the digital codes (known as the pseudoranges) and the satellite coordinates through the navigation message. Theoretically, only three distances to three simultaneously tracked satellites are needed. In this case, the receiver would be located at the intersection of three spheres; each has a radius of one receiver-satellite distance and is centered on that particular satellite (Figure 1.2). From the practical point of view, however, a fourth satellite is needed to account for the receiver clock offset [6].

Earth

Satellite 1

Satellite 2

Satellite 3

Pseudorange

Figure 1.2 Basic idea of GPS Positioning

Other uses of GPS include the determination of the user‘s velocity, which could be determined by several methods. The most widely used method is based on estimating the Doppler frequency of the received GPS signal. It is known that the Doppler shift occurs as a result of the relative satellite-receiver motion. GPS may also be used in determining the attitude of a rigid body, such as an aircraft or a marine vessel. The word attitude means the orientation, or the direction, of the rigid body, which can be described by the three rotation angles of the three axes of the rigid body with respect to a reference system. Attitude is determined by equipping the body with a minimum of three GPS receivers (or one special receiver) connected to three antennas, which are arranged in a non-straight line [7]. Data collected at the receivers are then processed to obtain the attitude of the rigid body.

2.5 GPS Signal Structure:

In order to design a software-defined GPS receiver it is necessary to know the characteristics of the signal and data transmitted from the GPS satellites and received by the GPS receiver antenna. Thus, an overview of the GPS signals generation scheme and the most important properties of the various signals and data are presented. The GPS signals are transmitted on two radio frequencies in the UHF band. The UHF band covers the frequency band from 500MHz to 3 GHz. These frequencies are referred to as L1 and L2 and are derived from a common frequency, f0 = 10.23MHz: fL1 = 154 f0 = 1575.42 MHz (1.1) fL2 = 120 f0 = 1227.60 MHz (1.2)

Page 16: Thesis of-rajesh-gps

Table 1.1: GPS signal Characteristics.

The signals are composed of the following three parts: Carrier -The carrier wave with frequency fL1 or fL2, Navigation data- The navigation data contain information regarding satellite orbits. This information is uploaded to all satellites from the ground stations in the GPS Control Segment. The navigation data have a bit rate of 50 bps. Spreading sequence- Each satellite has two unique spreading sequences or codes. The first one is the coarse acquisition code (C/A), and the other one is the encrypted precision code (P(Y)). The C/A code is a sequence of 1023 chips. (A chip corresponds to a bit. It is simply called a chip to emphasize that it does not hold any information.) The code is repeated each ms giving a chipping rate of 1.023 MHz .The P code is a longer code (≈ 2.35·10

4 chips) with a chipping rate of 10.23 MHz It repeats itself each week starting

at the beginning of the GPS week which is at Saturday/Sunday midnight. The C/A code is only modulated onto the L1 carrier while the P(Y) code is modulated onto both the L1 and the L2 carrier [8]. The table 1.1 shows the GPS signal characteristics.

2.6 GPS Signal Scheme: In the following a detailed description of the signal generation is given. Figure 1.3 is a block diagram describing the signal generation. The block diagram should be read from left to right. At the far left, the main clock signal is supplied to the remaining blocks. The clock signal has a frequency of 10.23MHz. Actually, the exact frequency is 10.22999999543MHz to adjust for relativistic effects giving a frequency of 10.23MHz seen from the user on Earth. When multiplied by 154 and 120, it generates the L1 and L2 carrier signals, respectively. At the bottom left corner a limiter is used to stabilize the clock signal before supplying it to the P(Y) and C/A code generators. At the very bottom the data generator generates the navigation data. The code generators and the data generator are synchronized through the X1signal supplied by the P(Y) code generator. After code generation, the codes are combined with the navigation data through modulo-2 adders. The exclusive OR operation is used on binary sequences represented by 0‘s and 1‘s, and its properties are shown in Table1.2.If the binary sequences were represented by the polar non-return-to-zero representation, i.e., 1‘s and −1‘s, ordinary multiplication could be used instead. The corresponding properties of the multiplication with two binary non-return-to-zero sequences are shown in Table 1.3.

Signal Modulation Central Frequency Bandwidth L1 QPSK 1575.42 MHz ~20MHz (C/A Code

2MHZ + P-Code 20 MHz)

L2 BPSK or QPSK 1227.6 MHz ~20MHz(P-Code 20MHz or P-Code + C/A Code)

Page 17: Thesis of-rajesh-gps

X 120

X 154

Limiter

BPSK

Modulator

P(Y) Code

Generator

Switch

BPSK

Modulator

BPSK

Modulator

C/A Code

Generator

Data Generator

Clock

Frequency,

f= 10.23MHz

Data

Information

50Hz

100Hz10

20

1227.6 MHz

1575.42MHz

L2

L1

Phase Shifter

Figure: 1.3 Generation of GPS Signal at satellite.

The C/A code ⊕ data and the P(Y) code ⊕ data signals are supplied to the two modulators for the L1 frequency. Here the signals are modulated onto the carrier signal using the binary phase shift keying (BPSK) method. Also, the two codes are modulated in-phase and quadrature with each other on L1. That is, there is a 90◦ phase shift between the two codes. After the P(Y) part is attenuated 3 dB, these two L1 signals are added to form the resulting L1 signal. The so-called standard positioning service (SPS) is based on C/A code signals alone.

Page 18: Thesis of-rajesh-gps

Table 1.2: Output of exclusive OR operation. Table 1.3: Output of ordinary multiplication.

Input Input Output 0 0 0

0 1 1 1 0 1

1 1 0 It follows that the signal transmitted from satellite k can be described as

(Ck(t))⊕Dk(t))cos( fL1t) + PPL1(Pk(t) ⊕Dk(t))sin( fL1t) + PPL2(Pk(t)

⊕Dk(t))sin( fL2t) (1.3) where PC, PPL1, and PPL2 are the powers of signals with C/A or P code, C

k is the C/A code sequence

assigned to satellite number k , Pk is the P(Y) code sequence assigned to satellite number k , D

k is the

navigation data sequence, and fL1 and fL2 are the carrier frequencies of L1 and L2, respectively. Figure 2.2 shows the three parts forming the signal on the L1 frequency. The C/A code repeats itself every ms, and one navigation bit lasts 20ms. Hence for each navigation bit, the signal contains 20 complete C/A codes.

2.7 C/A Code: In this section, the spreading sequences used in GPS are described. We restrict ourselves to the C/A code sequences, as we deal only with L1 signals in this project. The spreading sequences used as C/A codes in GPS belong to a unique family of sequences. They are often referred to as Gold codes, as Robert Gold described them in 1967.They are also referred to as pseudo-random noise sequences, or simply PRN sequences, because of their characteristics.

2.7.1 Gold Sequence: The pseudorandom noise (PRN) codes transmitted by the GPS satellites are deterministic sequences with noise like properties. Each C/A code is generated using a tapped linear feedback shift register (LFSR). It generates a maximal-length sequence of length N = 2

n −1 elements. A Gold code is the sum of two

maximum-length sequences. The GPS C/A code uses n = 10. The sequence P(t) repeats every ms so the chip length is 1ms/1023 = 977.5 ns ≈ 1 μs, which corresponds to a metric length of 300m when propagating through vacuum or air. The auto correlation function for this C/A code is rP(τ) ={(1/NTc )∫ P(t) P(t +τ) dt} The sequence would have 512 ones and 511 zeros, and these would appear to be distributed at random. Yet the string of chips so generated is entirely deterministic. The sequence is pseudorandom, not random.

Input Input Output

-1 -1 1

-1 1 -1 1 -1 -1

1 1 1

Page 19: Thesis of-rajesh-gps

2.7.2 Gold Sequence Generation— Overview: The generation of the Gold codes is sketched in Figure 1.6. The C/A code generator contains two shift registers known as G1 and G2. These shifts registers each have 10 cells generating sequences of length 1023. The two resulting 1023 chips long sequences are modulo-2 added to generate a 1023 chip-long C/A code, only if the polynomial is able to generate code of maximum length. Every 1023rd period, the shift registers are reset with all ones, making the code start over. The G1 register always has a feedback configuration with the polynomial f (x) = 1 + x

3 + x

10, (1.4)

means that state 3 and state 10 are fed back to the input. In the same way, the G2 register has the polynomial f (x) = 1 + x

2 + x

3 + x

6 + x

8 + x

9 + x

10 (1.5)

To make different C/A codes for the satellites, the outputs of the two shift registers are combined in a very special manner. The G1 register always supplies its output, but the G2 register supplies two of its states to a modulo-2 adder to generate its output. The selection of states for the modulo-2 adder is called the phase selection.

1|2|3|4|5|6|7|8|9|10

1|2|3|4|5|6|7|8|9|10

Reset1.023MHz

Clock

1023

code

20

50 Hz

1 KHz

G2

G1

S2

S1

G2 Generator

G1 Generator

Gold Code C/A

Figure 1.4 C/A Code generator

2.7.3 Gold Sequence Generation—Details: A shift register is a set of one bit storage or memory cells. When a clock pulse is applied to the register, the content of each cell shifts one bit to the right. The content of the last cell is ―read out‖ as output. The special properties of such shift registers depend on how information is ―read in‖ to cell 1. For a tapped linear feedback shift register, the input to cell 1 is determined by the state of the other cells. For example, the binary sum from cells 3 and 10 in a 10-cell register could be the input. If cells 3 and 10 have different states (one is 1 and the other 0), a 1 will be read into cell 1 on the next clock pulse. If cells 3 and 10 have the same state, 0 will be read into cell 1. If we start with 1 in every cell, 12 clock pulses later the contents will be 0010001110. The next clock pulse will take the 1 in cell 3 and the 0 in cell 10 and place their sum

Page 20: Thesis of-rajesh-gps

(1) in cell 1. Meanwhile, all other bits have shifted cell to the right, and the 0 in cell 10 becomes the next bit in the output. A shorthand way of denoting this particular design is by the modulo-2 polynomial f (x) = 1+x

3+x

10. Such a polynomial representation is particularly useful because if 1/ f (x) = h0 + h1x + h2x

2 + h3x

3

+· · ·, then the coefficients h0, h1, h2 . . . form the binary output sequence. The C/A code is generated by two 10-bit LFSRs of maximal length 210 − 1. One is the 1 + x

3 + x

10

register already described and is referred to as G1. The other has f (x) = 1 + x2 + x

3 + x

6 + x

8 + x

9 + x

10.

Cells 2, 3, 6, 8, 9, and 10 are tapped and binary-added to get the new input to cell 1. In this case, the output comes not from cell 10 but from a second set of taps. Various pairs of these second taps are binary-added. The different pairs yield the same sequence with different delays or shifts (as given by the ―shift and add‖ or ―cycle and add‖ property: a chip-by-chip sum of a maximal-length register sequence and any shift of itself is the same sequence except for a shift). The delayed version of the G2 sequence is binary-added to the output of G1. That becomes the C/A code. The various alternative pairs of G2 taps (delays) are used to generate the complete set of 36 unique PRN C/A codes. There are actually 37 PRN C/A codes, but two of them (34 and 37) are identical. A subset of the first 32 codes are assigned to (nominally 24) satellites and recycled when old satellites die and new satellites are launched. Codes 33 through 37 are reserved for other uses, including ground transmitters or PL‘s.

2.7.4 Correlation Properties: The Gold codes are selected as spreading sequences for the GPS signals because of their characteristics. The most important characteristics of the C/A codes are their correlation properties. These properties are described now. The two important correlation properties of the C/A codes can be stated as follows: Nearly no cross correlation. All the C/A codes are nearly uncorrelated with each other. That is, for two codes C

i and C

k for satellites i and k , the cross correlation can be written as

rik (m) = i (l)C

k (l + m) ≈ 0 for all m. (1.6)

Nearly no correlations except for zero lag. All C/A are nearly uncorrelated with themselves, except for zero lag. This property makes it easy to find out when two similar codes are perfectly aligned. The autocorrelation property for satellite k can be written as

rkk (m) = k (l)C

k (l + m) ≈ 0 for |m| ≥ 1. (1.7)

2.8 Doppler Frequency Shift :

In GPS we are faced with a Doppler frequency shift caused by the motion of the transmitter (satellite) relative to the GPS receiver. The Doppler frequency shift affects both the acquisition and tracking of the GPS signal. For a stationary GPS receiver the maximum Doppler frequency shift for the L1 frequency is around ±5 kHz and for a GPS receiver moving at high speed it is reasonable to assume that the maximum Doppler shift is ±10 kHz. The Doppler frequency shift on the C/A code is small because of the low chip rate of the C/A code. The C/A code has a chip rate of 1.023 MHz, which is 1575.42/1.023 = 1540 times lower than the L1 carrier frequency. It follows that the Doppler frequency on the C/A code is 3.2 Hz and 6.4 Hz for the stationary and the high-speed GPS receiver, respectively. The Doppler frequency on the

Page 21: Thesis of-rajesh-gps

C/A code can cause misalignment between the received and the locally generated codes and the values of the Doppler frequency are important for the tracking method.

2.9 Pseudorange Measurements: A GPS receiver generates a local copy of each satellite signal it expects to receive. It ―tunes in‖ the satellite by adjusting the timing of the local copy until it precisely matches the timing of the signal coming down from the satellite. Once a match is achieved, the signal ―appears‖ in the receiver, which then reads the signal‘s navigation data while tracking it with the local copy. The receiver can use the navigation data to calculate the position of the satellite at any desired instant. The receiver measures the time delay from the satellite‘s position to its own position by comparing the timing of its local copy of the signal to its own internal clock. The time delay is proportional to the distance between the satellite and the receiver, except that the measurement contains errors. These errors come from many sources, including SA, atmospheric delays, and variations in the receiver‘s internal clock. Because of these errors, the delay measurements are not precisely proportional to the geometric range, so they are

called pseudorange measurements.

2.10 Navigation Data: The navigation data are transmitted on the L1 frequency with the earlier mentioned bit rate of 50 bps. This section describes the structure and contents of the navigation data. Figure 2.9 shows the overall structure of an entire navigation message. The basic format of the navigation data is a 1500-bit-long frame containing 5 subframes, each having length 300 bits. One subframe contains 10 words, each word having length 30 bits. Subframes 1, 2, and 3 are repeated in each frame. The last subframes, 4 and 5, have 25 versions (with the same structure, but different data) referred to as page 1 to 25. With the bit rate of 50 bps, the transmission of a subframe lasts 6 s, one frame lasts 30 s, and one entire navigation message lasts 12.5 minutes.

2.10.1 Telemetry and Handover Words The subframes of 10 words always begin with two special words, the telemetry (TLM) and handover word (HOW) pair. TLM is the first word of each subframe and it is thus repeated every 6 s. It contains an 8-bit preamble followed by 16 reserved bits and parity. The preamble should be used for frame synchronization. HOW contains a 17-bit truncated version of the time of week (TOW), followed by two flags supplying information to the user of anti-spoofing, etc. The next three bits indicate the subframe ID to show in which of the five subframes in the current frame this HOW is located.

Page 22: Thesis of-rajesh-gps

TLM | HOW | Ephemeris parameters TLM| HOW |Clock Corrections and SV

health/accuracy

TLM | HOW | Ephemeris parameters

TLM | HOW | Ephemeris parameters

TLM | HOW | Almanac

TLM | HOW | Almanac, ionospheric

model, DUTC

TLM| HOW |Clock Corrections and

SV health/accuracy

TLM | HOW | Ephemeris parameters

Fram

es

Su

bfr

am

es

Time(

minut

es)

Tim

e(s

eco

nd

s)

30

2

4 1

8 1

2 6

0

0

0.5

..

..

..

12.

5

Figure 1.5 GPS Navigation Data Structure.

2.10.2 Data in Navigation Message: In addition to the TLM and HOW words, each subframe contains eight words of data. This will only be a cursory description of the data in the different words and not a complete description of all bits. Subframes 1 – Satellite Clock and Health Data The first subframe contains first of all clock information. That is information needed to compute at what time the navigation message is transmitted from the satellite. Additionally, subframe 1 contains health data indicating whether or not the data should be trusted. Subframes 2 and 3 – Satellite Ephemeris Data Subframes 2 and 3 contain the satellite ephemeris data. The ephemeris data relate to the satellite orbit and are needed to compute a satellite position. Subframes 4 and 5 – Support Data As mentioned, the last two subframes repeat every 12.5 minutes, giving a total of 50 subframes. Subframes 4 and 5 contain almanac data. The almanac data are the ephemerides and clock data with reduced precision. Additionally, each satellite transmits almanac data for all GPS satellites while it only transmits ephemeris data for itself. The remainder of subframes 4 and 5 contain various data, e.g., UTC parameters, health indicators, and ionospheric parameters [9].

Page 23: Thesis of-rajesh-gps

Chapter 3 Differential and Augmented GPS

3.1 Differential GPS:

3.1.1 Differential GPS (DGPS) : Differential GPS (DGPS) is a technique for reducing the error in GPS-derived positions by using additional data from a reference GPS receiver at a known position. The most common form of DGPS involves determining the combined effects of navigation message ephemeris, ionospheric and satellite clock errors at a reference station and transmitting pseudorange corrections, in real time, to a user‘s receiver, which applies the corrections in the process of determining its position [10].

3.1.2 Local-Area Differential GPS : Local-area differential GPS (LAGPS) is a form of DGPS in which the user‘s GPS receiver also receives real-time pseudorange and, possibly, carrier phase corrections from a local reference receiver generally located within the line of sight. The corrections account for the combined effects of navigation message ephemeris and satellite clock errors and, usually, propagation delay errors at the reference station. With the assumption that these errors are also common to the measurements made by the user‘s receiver, the application of the corrections will result in more accurate coordinates.

3.1.3 Wide-Area Differential GPS: Wide-area DGPS (WADGPS) is a form of DGPS in which the user‘s GPS receiver receives corrections determined from a network of reference stations distributed over a wide geographic area. Separate corrections are usually determined for specific error sources—such as satellite clock, ionospheric propagation delay, and ephemeris. The corrections are applied in the user‘s receiver or attached computer in computing the receiver‘s coordinates. The corrections are typically supplied in real time by way of a geostationary communications satellite or through a network of ground-based transmitters. Corrections may also be provided at a later date for post processing collected data.

3.1.4 Wide-Area Augmentation System: The WAAS enhances the GPS standard positioning service over a wide geographic area. The U.S. Federal Aviation Administration (FAA), in cooperation with other agencies, is developing WAAS to provide WADGPS corrections, additional ranging signals from geostationary earth orbit (GEO) satellites, and integrity data on the GPS and GEO satellites [11].

Page 24: Thesis of-rajesh-gps

3.2 SPACE-BASED AUGMENTATION SYSTEMS (SBASS): Four space-based augmentation systems (SBASs) were under development at the beginning of the third millennium. These are the Wide-Area Augmentation System (WAAS), European Geostationary Navigation Overlay System (EGNOS), Multifunctional Transport Satellite (MTSAT)–based Augmentation System (MSAS), and GPS & GEO Augmented Navigation (GAGAN) by India. Although GPS is inherently a very accurate system for positioning and time transfer, some applications require accuracies unobtainable without some form of performance augmentation, such as differential GPS (DGPS), in which position relative to a base (or reference) station can be established very accurately (in some cases within millimeters). A typical DGPS system employs an additional GPS receiver at the base station to measure the GPS signals. Because the coordinates of the base station are precisely known, errors in the received GPS signals can be calculated. These errors, which include satellite clock and position error, as well as tropospheric and ionospheric error, are very nearly the same for users at a sufficiently small distance from the base station. In DGPS the error values determined by the base station are transmitted to the user and applied as corrections to the user‘s measurements. However, DGPS has a fundamental limitation in that the broadcast corrections are good only for users in a limited area surrounding the base station. Outside this area the errors tend to be de-correlated, rendering the corrections less accurate. An obvious technical solution to this problem would be to use a network of base stations, each with its own communication link to serve its geographic area. However, this would require a huge number of base stations and their associated communication links. Early on it was recognized that a better solution would be to use a space based augmentation system (SBAS) in which a few satellites can broadcast the correction data over a very large area. Such a system can also perform sophisticated computations to optimally interpolate the errors observed from relatively few ground stations so that they can be applied at greater distances from each station. A major motivation for SBAS has been the need for precision aircraft landing approaches without requiring separate systems, such as the existing instrument landing systems (ILSs) at each airport. An increasing number of countries are currently developing their own versions of SBAS, including the United States (WAAS), Europe (EGNOS), Japan (NSAS), Canada (CWAAS), China (SNAS), and India (GAGAN).

3.2.2 Wide-Area Augmentation System (WAAS): In 1995 the United States began development of the Wide Area Augmentation System (WAAS) under the auspices of the Federal Aviation Administration (FAA) and the Department of Transportation (DOT), to provide precision approach capability for aircraft. Without WAAS, ionospheric disturbances, satellite clock drift, and satellite orbit errors cause too much error in the GPS signal for aircraft to perform a precision landing approach. Additionally, signal integrity information as broadcast by the satellites is insufficient for the demanding needs of public safety in aviation. WAAS provides additional integrity messages to aircraft to meet these needs. WAAS includes a core of approximately 25 wide-area ground reference stations (WRSs) positioned throughout the United States that have precisely surveyed coordinates. These stations compare the GPS signal measurements with the measurements that should be obtained at the known coordinates. The WRS send their findings to a WAAS master station (WMS) using a land-based communications network and the WMS calculates correction algorithms and assesses the integrity of the system. The WMS then sends correction messages via a ground uplink system (GUS) to geostationary (GEO) WAAS satellites covering the United States. The satellites in turn broadcast the corrections on a per-GPS satellite basis at the same L1 1575.42 MHz frequency as GPS. WAAS-enabled GPS receivers receive the corrections and use them to derive corrected GPS signals, which enable highly accurate positioning.

Page 25: Thesis of-rajesh-gps

3.2.3 European Geostationary Navigation Overlay System (EGNOS): The European Geostationary Navigation Overlay System (EGNOS) is Europe‘s first venture into satellite navigation. It is a joint project of the European Space Agency (ESA), the European Commission (EC), and Euro control, the European organization for the safety of air navigation. In as much as Europe does not yet have its own standalone satellite navigation system, initially EGNOS is intended to augment both the United States GPS and the Russian GLONASS systems, providing differential accuracy and integrity monitoring for safety-critical applications such as aircraft landing approaches and ship navigation through narrow channels. EGNOS has functional similarity to WAAS, and consists of four segments: space, ground, user, and support facilities segments.

1. Space Segment: The space segment consists of three geostationary (GEO) satellites, the Inmarsat-3 AOR-E, Inmarsat-3 AOR-W, and the ESA Artemis, which transmit wide-area differential corrections and integrity information throughout Europe. Unlike the GPS and GLONASS satellites, these satellites will not have signal generators aboard, but will be transponders relaying uplinked signals generated on the ground. 2. Ground Segment: The EGNOS ground segment includes 34 Ranging and Integrity Monitoring Stations (RIMSs), four Mission/Master Control Centers (MCCs), six Navigation Land Earth Stations (NLESs), and an EGNOS Wide-Area Network (EWAN). The RIMS stations monitor the GPS and GLONASS signals. Each station contains a GPS/GLONASS/EGNOS receiver, an atomic clock, and network communications equipment. The RIMS tasks are to perform pseudorange measurements, demodulate navigation data, mitigate multipath and interference, verify signal integrity, and to packetize and transmit data to the MCC centers. The MCC centers monitor and control the three EGNOS GEO satellites, as well as perform real-time software processing. The MCC tasks include integrity determination, calculation of pseudorange corrections for each satellite, determination of ionospheric delay, and generation of EGNOS satellite ephemeris data. The MCC then sends all the data to the NLES stations. Every MCC has a backup station that can take over in the event of failure. The NLES stations receive the data from the MCC centers and generate the signals to be sent to the GEO satellites. These include a GPS-like signal, an integrity channel, and a wide-area differential (WAD) signal. The NLES send this data on an uplink to the GEO satellites. The EWAN links all EGNOS ground-based components.

3. User Segment: This segment consists of the user receivers. Although EGNOS has been designed primarily for aviation applications, it can also be used with land or marine EGNOS-compatible receivers, including low-cost handheld units. 4. Support Facilities Segment: Support for development, operations, and verifications is provided by this segment. The EGNOS system is currently operational. Positioning accuracy obtainable from use of EGNOS is approximately 5 m, as compared to 10–20 m with unaided GPS. There is the possibility that this can be improved with further technical development.

Page 26: Thesis of-rajesh-gps

3.2.4 Japan‘s MTSAT Satellite-Based Augmentation System (MSAS): The Japanese MSAS system, currently under development by Japan Space Agency and the Japan Civil Aviation Bureau, will improve the accuracy, integrity, continuity, and availability of GPS satellite signals throughout the Japanese Flight Information Region (FIR) by relaying augmentation information to user aircraft via Japan‘s Multifunctional Transport Satellite (MTSAT) geostationary satellites. The system consists of a network of Ground Monitoring Stations (GMS) in Japan, Monitoring and Ranging Stations (MRSs) outside of Japan, Master Control Stations (MCSs) in Japan with satellite uplinks, and two MTSAT geostationary satellites. MSAS will serve the Asia–Pacific region with capabilities similar to the United States WAAS system. MSAS and WAAS will be interoperable and are compliant with the International Civil Aviation Organization (ICAO) Standards and Recommended Practices (SARP) for SBAS systems.

3.2.5 Canadian Wide-Area Augmentation System (CWAAS): The Canadian CWAAS system is basically a plan to extend the U.S. WAAS coverage into Canada. Although the WAAS GEO satellites can be received in much of Canada, additional ground reference station sites are needed to achieve valid correctional data outside the United States. At least 11 such sites, spread over Canada, have been evaluated. The Canadian reference stations are to be linked to the U.S. WAAS system.

3.2.6 China‘s Satellite Navigation Augmentation System (SNAS): China is moving forward with its own version of a SBAS. Although information on their system is incomplete, at least 11 reference sites have been installed in and around Beijing in Phase I of the program, and further expansion is anticipated. Receivers manufactured by Novatel, Inc. of Canada have been delivered for Phase II.

3.2.7 Indian GPS and GEO Augmented Navigation System (GAGAN): In August 2001 the Airports Authority of India and the Indian Space Research Organization signed a memorandum of understanding for jointly establishing the GAGAN system. On the ground, eight reference stations are planned for receiving signals from GPS and GLONASS satellites. A Mission Control Center, as well as an uplink station, will be located in Bangalore. Once GAGAN is operational, it should materially improve air safety over India. There are 449 airports and airstrips in the country, but only 34 have instrument landing systems (ILSs) installed. With GAGAN, aircraft will be able to make precision approaches to any airport in the coverage area. There will undoubtedly be other uses for GAGAN, such as tracking of trains so that warnings can be issued if two trains appear likely to collide.

3.3 Ground-Based Augmentation Systems (GBASs): Ground-based augmentation systems (GBASs) differ from the SBAS in that backup, aiding, and/or correction information is broadcast from ground stations instead of from satellites. Three major GBAS are LAAS, JPALS, and LORAN-C.

Page 27: Thesis of-rajesh-gps

3.3.1 Local-Area Augmentation System (LAAS): LAAS is an augmentation to GPS that services airport areas approximately 20–30 mi in radius, and has been developed under the auspices of the Federal Aviation Administration (FAA). It broadcasts GPS correction data via a very high-frequency (VHF) radio data link from a ground-based transmitter, yielding extremely high accuracy, availability, and integrity deemed necessary for aviation Categories I, II, and III precision landing approaches. LAAS also provides the ability for flexible, curved aircraft approach trajectories. Its demonstrated accuracy is less than 1 m in both the horizontal and vertical directions. A typical LAAS system, which is designed to support an aircraft‘s transition from en route airspace into and throughout terminal area airspace, consists of ground equipment and avionics. The ground equipment consists of four GPS reference receivers, a LAAS ground facility, and a VHF radio data transmitter. The avionics equipment includes a GPS receiver, a VHF radio data receiver, and computer hardware and software. The GPS reference receivers and the LAAS ground facility work together to measure errors in GPS position that are common to the reference receiver and aircraft locations. The LAAS ground facility then produces a LAAS correction message based on the difference between the actual and GPS-calculated positions of the reference receivers. The correction message includes integrity parameters and approach-path information. The LAAS correction message is sent to a VHF data broadcast transmitter, which broadcasts a signal containing the correction/integrity data throughout the local LAAS coverage area, where it is received by incoming aircraft. The LAAS equipment in the aircraft uses the corrections for position, velocity, and time to generate instrument landing system (ILS) lookalike guidance as low as 200 ft above touchdown. It is anticipated that further technical improvements will eventually result in vertical accuracy below 1 m, enabling ILS guidance all the way down to the runway surface, even in zero visibility (Category III landings). A major advantage of LAAS is that a single installation at a major airport can be used for multiple precision approaches within its local service area. For example, if an airport has 12 runway ends, each with a separate ILS, all 12 ILS facilities can be replaced with a single LAAS installation. Furthermore, it is generally agreed that the Category III level of accuracy anticipated for LAAS cannot be supported by WAAS.

3.3.2 Joint Precision Approach and Landing System (JPALS):

JPALS is basically a military version of LAAS that supports fixed-base, tactical, special mission, and shipboard landing environments. It will allow the military to overcome problems of age and obsolescence of ILS equipment, and also will afford greater interoperability, both among systems used by the various services and between military and civilian systems. The main distinction between LAAS and JPALS is that the latter can be quickly deployed almost anywhere and makes full use of military GPS functionality, which includes the use of the encrypted M-codes not available for civilian use. The requirement for deployment in a variety of locations not optimized for good GPS reception places great demands on the ability of JPALS equipment to handle poor signal environments and multipath. Such problems are not as severe for LAAS installations, where there is more freedom in site selection for best GPS performance of the reference receivers. Additionally, JPALS GPS receivers must be designed to foil frequent attempts by the enemy to jam the received GPS signals [12].

Page 28: Thesis of-rajesh-gps

3.3.3 Long-Range Navigation (LORAN-C): LORAN-C is a low-frequency ground-based radio navigation and time reference system that uses stable 100 kHz transmissions to provide an accurate regional positioning service. Unlike LAAS and JPALS, LORAN-C is an independent, standalone system that does not provide corrections to GPS signals, but instead uses time difference of arrival (TDOA) to establish position. LORAN-C transmitters are organized into chains of 3–5 stations. Within a chain one station is designated as the master (M) and the other secondary stations (slaves) are identified by the letters W, X, Y, and Z. The sequence of signal transmissions consists of a pulse group from the master station followed at precise time intervals by pulse groups from the secondary stations. All LORAN-C stations operate on the same frequency of 100 kHz, and all stations within a given chain use the same group repetition interval (GRI) to uniquely identify the chain. Within a chain, each of the slave stations transmits its pulse group with a different delay relative to the master station in such a way that the sequence of the pulse groups from the slaves is always received in the same order, independent of the location of the user. This permits identification of the individual slave station transmissions. The basic measurements made by LORAN-C receivers are TDOAs between the master station signal pulses and the signal pulses from each of the secondary stations in a chain. Each time delay is measured to a precision of about 0.1 μs or better. LORAN-C stations maintain integrity by constantly monitoring their transmissions to detect signal abnormalities that would render the system unusable for navigation. If a signal abnormality is detected, the transmitted pulse groups ―blink‖ on and off to notify the user that the transmitted signal does not comply with the system specifications. LORAN-C, with an accuracy approaching approximately 30 m in regions with good geometry, is not as precise as GPS. However, it has good repeatability, and positioning errors tend to be stable over time. A major advantage of using LORAN-C as an augmentation to GPS is that it provides a backup system completely independent of GPS. A failure of GPS that would render LAAS or JPALS inoperable does not affect positioning using LORAN-C. On the other hand, LORAN-C is only a regional and not a truly global navigation system, covering significant portions, but not all, of North America, Canada, and Europe, as well as some other areas.

3.4 Inmarsat Civil Navigation: The Inmarsat overlay is an implementation of a wide-area differential service. Inmarsat is the International Mobile Satellite Organization (IMSO), an 80-nation international consortium, originally created in 1979 to provide maritime

2 mobile services on a global basis but now offering a much wider

range of mobile satellite services. Inmarsat launched four geostationary satellites that provide complete coverage of the globe from ±70

◦ latitude. The data broadcast by the satellites are applicable to users in

regions having a corresponding ground station network. The U.S. region is the continental U.S. (CONUS) and uses Atlantic Ocean Region West (AOR-W) and Pacific Ocean Region (POR) geostationary satellites. This is called the WAAS and is being developed by the FAA. The ground station network is operated by the service provider, that is, the FAA, whereas Inmarsat is responsible for operation of the space segment. Inmarsat affiliates operate the uplink Earth stations (e.g., COMSAT in the United States).

3.5 Satellite Overlay: The Inmarsat Civil Navigation Geostationary Satellite Overlay extends and complements the GPS and GLONASS satellite systems. The overlay navigation signals are generated at ground-based facilities. For example, for WAAS, two signals are generated from Santa Paula, California—one for AOR-W and one for POR. The backup signal for POR is generated from Brewster, Washington. The backup signal for AOR-W is generated from Clarksburg, Maryland. Signals are uplinked to Inmarsat-3 satellites such as

Page 29: Thesis of-rajesh-gps

AOR-W and POR. These satellites contain special satellite repeater channels for rebroadcasting the navigation signals to users. The use of satellite repeater channels differs from the navigation signal broadcast techniques employed by GLONASS and GPS. GLONASS and GPS satellites carry their own navigation payloads that generate their respective navigation signals.

3.6 Future Satellite Systems: In Europe, activities supported by the European Tripartite Group [European Space Agency (ESA), European Commission (EC), EUROCONTROL] are underway to specify, install, and operate a future civil global navigation satellite system (GNSS) (GNSS-2 or Galileo). Based on the expectation that GNSS-2 will be developed through an evolutionary process as well as long-term augmentations [e.g., EGNOS], short to midterm augmentation systems (e.g., differential systems) are being targeted. The first steps toward GNSS-2 will be made by the Tripartite Group. The augmentations will be designed such that the individual elements will be suitable for inclusion in GNSS-2 at a later date. This design process will provide the user with maximum continuity in the upcoming transitions. In Japan, the Japanese Commercial Aviation Board (JCAB) is currently developing the MSAS [12].

Page 30: Thesis of-rajesh-gps

Chapter 4 Pseudolite Concept and Considerations

4.1 Psuedolite Concept: The formal specification for the Global Positioning System describes only satellite-based transmitters for the GPS signals. Nevertheless, ground-based transmitters have been used to complement the satellites since the earliest days of the GPS concept. These auxiliary ground-based transmitters were called ―pseudo satellites,‖ which was quickly shortened to pseudolites. During the initial tests of GPS, pseudolites were used as direct replacements for satellites which had not yet been launched, allowing the tests to proceed more quickly. Since that time, other concepts for the use of pseudolites have arisen. Pseudolites augment existing GPS navigation and positioning techniques. Some receivers cannot track pseudolites at all, or cannot track GPS satellites in the presence of pseudolites [13]. Pseudolites (PL) are used in a variety of situations, both to augment the GPS based navigation and also to navigate entirely without GPS. Typically, a minimum of four pseudolite transmitters with known positions are needed to obtain unambiguous positions and time estimation. It is also necessary to have accurate time synchronization between the pseudolite transmitters. These pseudolites can thus be used to navigate in indoor conditions, urban canyons or in other GPS obscured situations. These can also be used for augmentation of GPS, improving accuracies, such as those required for precision approach and landing, etc.

The pseudolite positions can be obtained from: 1. External sources (via network or manual entry) 2. Built-in GPS receivers When the GPS signal is not available to the pseudolite transmitter (inside a building, tunnel, etc.), predetermined positions (or positions determined by independent means) can be used for fixed siting of PL transmitters. PL transmitters also require very accurate time synchronization. This can be provided by external stable clocks (10 MHz) derived from GPS signal, or made available through various networks. The transmitted waveforms may be selected by the user. These can be any of the pseudolite codes (PRNs 33 to 37), or, alternatively, arbitrary waveforms determined by the user. This allows for additional capabilities, such as navigation using signals of opportunity (TV, radio). The software-based pseudolite architecture allows for extreme flexibility, providing the user with various run-time options, such as selective denial and pulse blanking, as well as integration of various options with the standard units. The major improvements offered by pseudolites are the following: 1. Improvement in Geometry. Pseudolites, acting as additional satellites, can provide major improvements in geometry, hence in positioning accuracy, within their region of coverage. Vertical (VDOP) as well as horizontal (HDOP) dilution of precision can be dramatically reduced, which is of major importance to aviation. Experiments have shown that PDOP of about 3 over a region having a radius of 20–40 km can

Page 31: Thesis of-rajesh-gps

be obtained by using several pseudolites even when there are fewer than the minimum of four satellites that would otherwise be needed for a navigation solution. 2. Improvement in Signal Availability. Navigation solutions with fewer than the minimum required number of GPS satellites are made possible by using the additional signals provided by pseudolites. 3. Inherent Transmission of Differential Corrections. The GPS-like signals transmitted by a pseudolite include messaging capability that can be received directly by the GPS receiver, thus allowing the user to receive differential corrections without the need for a separate communications link. 4. Self-Contained Failure Notification. The additional signals provided by pseudolites permit users to perform their own failure assessments. For example, if pseudorange measurements from four satellites and one pseudolite are available, a problem can be detected by examining the consistency of the measurements. If two pseudolites are available, not only can the failure of a single signal be detected, but the offending signal can be identified as well. These advantages are especially important in aviation, where pilot notification of signal failures must occur very rapidly (within 1–10 s). 5. Solution of Signal Blockage Problems. The additional signals from pseudolites can virtually eliminate problems due to blockage of the satellite signals by objects, terrain, or the receiving platform itself.

4.2 Pseudolite Signal Structure: Ideally the pseudolite signal structure would permit reception by a standard GPS receiver with little or no modification of the receiver design. Thus it would seem that the pseudolite signal should have a unique C/A-code with the same characteristics as the C/A-codes used by the satellites. However, with this scheme it would be difficult to prevent a pseudolite signal from interfering with the reception of the satellite signals, even if its C/A-code were orthogonal to the satellite codes. The fundamental difficulty, which is called the near–far problem, occurs because of the inverse square-law dependence of received signal power with range. The near–far problem does not occur with the GPS satellite signals because variation in the user-to-satellite range is relatively small compared to its average value. However, with pseudolites this is not the case. The problem is illustrated by considering that the received signal strength of a pseudolite must be at least approximately that of a satellite. If the pseudolite signal equals that of a satellite when the user is, say, 50 km from the pseudolite, then that same signal will be 60 dB stronger when the user is 50 m from the pseudolite. At this close range the pseudolite signal would be so strong that it would jam the weaker GPS satellite signals. Several solutions to the near–far problem involving both pseudolite signal design and receiver design have been proposed for the 60-dB received signal dynamic range discussed above.

4.3 Pseudolite Signal Design Approaches: 1. Use of High-Performance Pseudorandom Codes. The 60 dB of jamming protection would require the pseudolite to transmit a code much longer than a C/A-code and clocked at a much higher rate. This has been judged to be an impractical solution because it would reduce compatibility with the GPS signal structure and significantly increase receiver costs. 2. Pseudolite Frequency Offset. By moving the frequency of the pseudolite signal sufficiently far away from the 1575.42-MHz L1 frequency, filters in the receiver could prevent the pseudolite signals from

Page 32: Thesis of-rajesh-gps

interfering with the satellite signals. Again, however, this approach would significantly increase receiver costs and reduce compatibility with the GPS signal structure. 3. Low-Duty-Cycle Time-Division Multiplexing. A preferred approach is for the pseudolite to transmit at the L1 frequency using short, low-duty-cycle pulses that interfere with the satellite signals only a small fraction of the time. The impact on receiver design is minimal because modifications are primarily digital and low in cost. This approach retains compatibility with the GPS signal structure by using a new set of 51 pseudolite Gold codes with the same chipping rate, period, and number of chips per period as the satellite C/A-codes and a 50-bps (bits per second) data stream. Although the codes run continuously in both the pseudolite and the user receiver, the pseudolite signal is gated on only during eleven 90.91-μs intervals in each 10-ms (half-data-bit) interval. Each of the 11 gate intervals transmits 93 new chips of the code, so that all 1023 chips get transmitted in 10ms. However, the timing of the gate intervals is randomized in order to randomize the signal spectrum [14].

4.4 Pseudolite Characteristics: 1. Pseudolite Identification. Identification of a pseudolite is accomplished by both its unique Gold code and its physical location, which appears in its 50-bps (bits per second) message. Since pseudolite signals are low power and thus can be received only within a relatively small coverage area, it is possible for pseudolites spaced sufficiently far apart to use the same Gold code. In this case correct identification is effected by noting the location transmitted by the pseudolite. 2. Pseudolite Clock Offset. Since the pseudolite can monitor GPS signals over extended time periods, it can determine GPS time. This permits the transmitted epochs of the pseudolite signal to be correct in GPS time and avoids the necessity of transmitting pseudolite clock corrections. The time reference for the differential pseudorange corrections transmitted by the pseudolite is also GPS time. 3. Transmitted Signal Power. The primary use of pseudolite signals is for aircraft in terminal areas, so that a typical maximum reception range is 50km. At this range a half-hemisphere omnidirectional transmitting antenna fed with approximately 30mW of signal power will provide a signal level comparable to that typical of a GPS satellite (−116dBm). At a range of 50m the signal level will be 60 dB larger (−56 dBm). 4. Pseudolite Message Structure. Although the pseudolite data stream is 50 bps (bits per second) to ensure compatibility with GPS receivers, its structure must be modified to transmit information that differs somewhat from that transmitted by the GPS satellites. 5. Minimum Physical Spacing of Pseudolites. Placement of pseudolites involves considerations that depend on whether the pseudolites use the same or different Gold codes.

4.5 Separation of Pseudolites Using the Same Code One approach when two pseudolites use the same code is to synchronize the timing of the gated signals of the pseudolites and separate the pseudolites by a distance that guarantees that received transmissions from different pseudolites will not overlap. This requires that the pseudolites be separated by at least 130 km, which guarantees that a user 50 km from the desired pseudolite will be at least 80 km from the undesired pseudolite. The pulses from the latter will then travel at least 30 km further than those from the desired pseudolite, thus arriving at least 100 μs later. Since the width of pulses is 90.91 μs, pulses from two pseudolites will not overlap and interference is thereby avoided.

Page 33: Thesis of-rajesh-gps

However, a more conservative approach is to separate two pseudolites by a distance that is sufficient to guarantee that when the user is at the maximum usable range from one pseudolite, the signal from the other is too weak to interfere. Suppose that each pseudolite is set to achieve a received signal level of −126 dBm at a maximum service radius of 50 km and that an undesired pseudolite signal must be at least 14 dB below the desired signal to avoid interference. A simple calculation involving the inverse square power law shows that this can be achieved with a minimum spacing of 300 km between the two pseudolites, so that the minimum distance to the undesired pseudolite will be 250 km when the user is 50 km from the desired pseudolite.

4.6 Receiver Design for Pseudosatellite Compatibility: Major design issues for a GPS receiver that receives pseudosatellite signals (often called a participating receiver) are as follows: 1. Continuous Reception. Because the receiver must continuously recover the pseudolite data message, a channel must be dedicated to this task. For this reason a single-channel slow-sequencing receiver could not be used. This is really not a problem, since almost all modern receivers use parallel channels. 2. Ability to Track Pseudolite Gold Codes. The receiver must be capable of generating and tracking each of the 51 special C/A-codes specified for the pseudolite signals. Although the codes can be tracked with standard GPS tracking loops, optimum performance demands that the noise between pseudolite pulses be blanked to obtain a 10-dB improvement in SNR. 3. Reduction of Pseudosatellite Interference to GPS Signal Channels. In a GPS satellite channel a pseudolite signal appears as pulsed interference that can be 60 dB greater above the satellite signal level. The resulting degradation of the GPS satellite signal can be reduced to acceptable levels by properly designed wideband pre correlation signal clipping in the receiver. This approach, which generally improves with increasing pre correlation bandwidth and decreasing clipping level, typically results in a reduction in the GPS SNR of 1–2 dB. A somewhat more effective approach is to blank the GPS signal ahead of the correlator during the reception of a pseudolite pulse, which results in a GPS SNR reduction of about 0.5 dB. 4. Ability to Receive Overlapping Pseudolite Pulses. A group of pseudolites designed to be utilized simultaneously must be located relatively close together, inevitably causing received pulse overlap in certain portions of the coverage area. Consequently, receiver design parameters must be chosen carefully to ensure that overlapping pulses from different pseudolites can be separated. The signal level from a nearby pseudolite often can be strong enough to overcome the approximately 24 dB of interference suppression provided by the cross-correlation properties of distinct Gold codes and also can obliterate a second overlapping signal by saturating the receiver amplifiers. Both of these problems can be solved by properly designed wideband pre correlation signal clipping, in which there are two conflicting requirements. Deep (severe) clipping significantly reduces the amount of interfering power from a strong signal but gives the stronger signal more ability to blank out the weaker one (capture effect). On the other hand, more modest clipping levels reduce the capture effect at the expense of passing more power from the stronger signal into the correlators. As a result, more stress is put on the Gold codes to separate the weaker pulses from the stronger ones in the correlation process. An acceptable compromise for most purposes is to clip the received signal at about ±2 standard deviations of the pre correlation noise power [15].

Page 34: Thesis of-rajesh-gps

Chapter 5 Receiver and Antenna Design

5.1Reciever Architecture: Although there are many variations in GPS receiver design, all receivers must perform certain basic functions. We will now discuss these functions in detail, each of which appears as a block in the diagram of the generic receiver shown in Fig. 5.1.

A/D

Convertor

Frequency

Synthesizer

Local

Oscillator

Acquisition,Tracking,Navigat

ion Data

Extraction,Pseudorange

Calculations,

User

Position

L1 L2

Digitized IF

Signal

RF Stage First IF Stage

Second IF

Stage

1575.42MHz

17 MHz

8 MSPS

1575.42MHz ± IF

64 MSPS

30-100 MHz 4-20 MHz

17 MHz ± 10KHz

Figure 5.1 Basic architecture of receiver front end.

5.2 Radiofrequency Stages (Front End): The purpose of the receiver front end is to filter and amplify the incoming GPS signal. As was pointed out earlier, the GPS signal power available at the receiver antenna output terminals is extremely small and can easily be masked by interference from more powerful signals adjacent to the GPS passband. To make the signal usable for digital processing at a later stage, RF amplification in the receiver front end provides as much as 35–55 dB of gain. Usually the front end will also contain passband filters to reduce out-of-band interference without degradation of the GPS signal waveform. The nominal bandwidth of both the L1 and L2 GPS signals is 20 MHz (±10 MHz on each side of the carrier), and sharp-cutoff bandpass

Page 35: Thesis of-rajesh-gps

filters are required for out-of-band signal rejection. However, the small ratio of passband width to carrier frequency makes the design of such filters infeasible. Consequently, filters with wider skirts are commonly used as a first stage of filtering, which also helps prevent front-end overloading by strong interference, and the sharp-cutoff filters are used later after down conversion to intermediate frequencies (IFs).However, the frequency can deviate from the expected value. The line-of-sight velocity of the satellite (with respect to the receiver) causes a Doppler effect resulting in a higher or lower frequency. In the worst case, the frequency can deviate up to ±10 kHz.

5.3 Frequency Down conversion and IF Amplification: After amplification in the receiver front end, the GPS signal is converted to a lower frequency called an intermediate frequency for further amplification and filtering. Down conversion accomplishes several objectives: 1. The total amount of signal amplification needed by the receiver exceeds the amount that can be performed in the receiver front end at the GPS carrier frequency. Excessive amplification can result in parasitic feedback oscillation, which is difficult to control. In addition, since sharp-cutoff filters with a GPS signal bandwidth are not feasible at the L-band, excessive front-end gain makes the end-stage amplifiers vulnerable to overloading by strong nearby out-of-band signals. By providing additional amplification at an IF different from the received signal frequency, a large amount of gain can be realized without the tendency toward oscillation. 2. By converting the signal to a lower frequency, the signal bandwidth is unaffected, and the increased ratio of bandwidth to center frequency permits the design of sharp-cutoff bandpass filters. These filters can be placed ahead of the IF amplifiers to prevent saturation by strong out-of-band signals. The filtering is often by means of surface acoustic wave (SAW) devices. 3. Conversion of the signal to a lower frequency makes the sampling of the signal required for digital processing much more feasible. Down conversion is accomplished by multiplying the GPS signal by a sinusoid called the local-oscillator signal in a device called a mixer. The local-oscillator frequency is either larger or smaller than the GPS carrier frequency by an amount equal to the IF. In either case the IF signal is the difference between the signal and local-oscillator frequencies. Sum frequency components are also produced, but these are eliminated by a simple bandpass filter following the mixer. An incoming signal either above or below the local-oscillator frequency by an amount equal to the IF will produce an IF signal, but only one of the two signals is desired. The other signal, called the image, can be eliminated by bandpass filtering of the desired signal prior to downconversion. However, since the frequency separation of the desired and image signal is twice the IF, the filtering becomes difficult if a single downconversion to a low IF is attempted. For this reason downconversion is often accomplished in more than one stage, with a relatively high first IF (30–100 MHz) to permit image rejection. Whether it is single-stage or multistage, downconversion typically provides a final IF that is low enough to be digitally sampled at feasible sampling rates without frequency aliasing. In low-cost receivers typical final IFs range from 4 to 20 MHz with bandwidths that have been filtered down to several MHz. This permits a relatively low digital sampling rate and at the same time keeps the lower edge of the signal spectrum well above 0 Hz to prevent spectral fold over. However, for adequate image rejection either multistage downconversion or a special single-stage image rejection mixer is required. In more advanced receivers there is a trend toward single conversion to a signal at a relatively high IF (30–100 MHz), because advances in technology permit sampling and digitizing even at these high frequencies.

Page 36: Thesis of-rajesh-gps

5.4 Signal- to-Noise Ratio: An important aspect of receiver design is the calculation of signal quality as measured by the signal-to-noise ratio (SNR) in the receiver IF bandwidth. Typical IF bandwidths range from about 2 MHz in low-cost receivers to the full GPS signal bandwidth of 20 MHz in high-end units, and the dominant type of noise is the thermal noise in the first RF amplifier stage of the receiver front end (or the antenna preamplifier if it is used). The noise power in this bandwidth is given by N = kTeB (3.1) where k = 1.3806 × 10−23 J/K, B is the bandwidth in Hz, and Te is the effective noise temperature in degrees Kelvin. The effective noise temperature is a function of sky noise, antenna noise temperature, line losses, receiver noise temperature, and ambient temperature. A typical effective noise temperature for a GPS receiver is 513 K, resulting in a noise power of about −138.5 dBW in a 2-MHz bandwidth and −128.5 dBW in a 20-MHz bandwidth. The SNR is defined as the ratio of signal power to noise power in the IF bandwidth, or the difference of these powers when expressed in decibels.

5.5 Digitization: In modern GPS receivers digital signal processing is used to track the GPS signal, make pseudorange and Doppler measurements, and demodulate the 50-bps (bits per second) data stream. For this purpose the signal is sampled and digitized by an analog-to-digital converter (ADC). In most receivers the final IF signal is sampled, but in some the final IF signal is converted down to an analog baseband signal prior to sampling. The sampling rate must be chosen so that there is no spectral aliasing of the sampled signal; this generally will be several times the final IF bandwidth (2–20 MHz). Most low-cost receivers use 1-bit quantization of the digitized samples, which not only is a very-low cost method of analog-to-digital conversion, but has the additional advantage that its performance is insensitive to changes in voltage levels. Thus, the receiver needs no automatic gain control (AGC). At first glance it would appear that 1-bit quantization would introduce severe signal distortion. However, the noise, which is Gaussian and typically much greater than the signal at this stage, introduces a dithering effect that, when statistically averaged, results in an essentially linear signal component. One-bit quantization does introduce some loss in SNR, typically about 2 dB, but in low-cost receivers this is an acceptable tradeoff. A major disadvantage of 1-bit quantization is that it exhibits a capture effect in the presence of strong interfering signals and is therefore quite susceptible to jamming. Typical high-end receivers use anywhere from 1.5-bit (three-level) to 3-bit (eight-level) sample quantization. Three-bit quantization essentially eliminates the SNR degradation found in 1-bit quantization and materially improves performance in the presence of jamming signals. However, to gain the advantages of multi-bit quantization, the ADC input signal level must exactly match the ADC dynamic range. Thus the receiver must have AGC to keep the ADC input level constant. Some military receivers use even more than 3-bit quantization to extend the dynamic range so that jamming signals are less likely to saturate the ADC.

Page 37: Thesis of-rajesh-gps

5.6 Receiver Design Choices:

5.6.1 Number of Channels and Sequencing Rate: GPS receivers must observe the signal from at least four satellites to obtain three dimensional position and velocity estimates. If the user altitude is known, three satellites will suffice. There are several choices as to how the signal observations from a multiplicity of satellites can be implemented. In early designs, reduction of hardware cost and complexity required that the number of processing channels be kept at a minimum, often smaller than the number of satellites observed. In this case, each channel must sequentially observe more than one satellite. As a result of improved lower-cost technology, most modern GPS receivers have a sufficient number of channels to permit one satellite to be continuously observed on each channel.

5.6.2 Receivers with Channel Time Sharing: Single-Channel Receivers - In a single-channel receiver, all processing, such as acquisition, data demodulation, and code and carrier tracking, is performed by a single channel in which the signals from all observed satellites are time-shared. Although this reduces hardware complexity, the software required to manage the time-sharing process can be quite complex, and there are also severe performance penalties. The process of acquiring satellites can be very slow and requires a juggling act to track already acquired satellites while trying to acquire others. The process is quite tricky when receiving ephemeris data from a satellite, since about 30 s of continuous reception is required. During this time the signals from other satellites are eclipsed, and resumption of reliable tracking can be difficult. After all satellites have been acquired and their ephemeris data stored, two basic techniques can be used to track the satellite signals in a single-channel receiver. In slow-sequencing designs the signal from each satellite is observed for duration (dwell time) on the order of 1 s. Since a minimum of four satellites must typically be observed, the signal from each satellite is eclipsed for an appreciable length of time. For this reason, extra time must be allowed for signal reacquisition at the beginning of each dwell interval. Continually having to reacquire the signal generally results in less reliable operation, since the probability of losing a signal is considerably greater as compared to the case of continuous tracking. This is especially critical in the presence of dynamics, in which unpredictable user platform motion can take place during signal eclipse. Generally the positioning and velocity accuracy is also degraded in the presence of dynamics. If a single-channel receiver does not have to accurately measure velocity, tracking can be accomplished with only a frequency-lock loop (FLL) for carrier tracking. Since a FLL typically has a wider pull-in range and a shorter pull-in time than does a phase-lock loop (PLL), reacquisition of the signal is relatively fast and the sequencing dwell time can be as small as 0.25 s per satellite. Because loss of phase lock is not an issue, this type of receiver is also more robust in the presence of dynamics. On the other hand, if accurate velocity determination is required, a PLL must be used and the extra time required for phase lock during signal reacquisition pushes the dwell time up to about 1–1.5 s per satellite, with an increased probability of reacquisition failure due to dynamics. A single-channel receiver requires relatively complex software for managing the satellite time-sharing process. A typical design employs only one pseudonoise (PN) code generator and one PPL in hardware. Typical tasks that the software must perform during the dwell period for a specific satellite are as follows: 1. Select the PN code corresponding to the satellite observed.

Page 38: Thesis of-rajesh-gps

2. Compute the current state of the code at the start of the dwell based on the state at the end of the last dwell, the signal Doppler, and the eclipse time since the last dwell. 3. Load the code state into the code generator hardware. 4. Compute the initial Doppler frequency of the FLL/PLL reference. 5. Load the Doppler frequency into the FLL/PLL hardware. 6. Initiate the reacquisition process by turning on the code and carrier tracking loops. 7. Determine when reacquisition (code/frequency/phase lock) has occurred. 8. Measure pseudorange/carrier phase/carrier phase rate during the remainder of the dwell. In addition to these tasks, the software must be capable of ignoring measurements from a satellite if the signal is momentarily lost and must permanently remove the satellite from the sequencing cycle when its signal becomes unusable, such as when the satellite elevation angle is below the mask angle. The software must also have the capability of acquiring new satellites and obtaining their ephemeris data as their signals become available while at the same time not losing the satellites already being tracked. A satellite whose ephemeris data are being recorded must have a dwell time (about 30 s) much longer than those of other satellites that are only being tracked, which causes a much longer eclipse time for the latter. The software must therefore modify the calculations listed above to take this into account. Because current technology makes the hardware costs of a multichannel receiver almost as small as that for a single channel, the single-channel approach has been almost entirely abandoned in modern designs. Another method of time sharing that can be used in single-channel receivers is multiplexing, in which the dwell time is much shorter, typically 5–10 ms per satellite. Because the eclipse time is so short, the satellites do not need to be reacquired at each dwell. However, a price is paid in that the effective SNR is significantly reduced in proportion to the number of satellites being tracked. Resistance to jamming is also degraded by values of 7 dB or more. Additionally, the process of acquiring new satellites without disruption is made more demanding because the acquisition search must be broken into numerous short time intervals. Because of the rapidity with which satellites are sequenced, a common practice with a two-channel receiver is to use a full complement of PN code generators that run all the time, so that high-speed multiplexing of a single code generator can be avoided. Two-Channel Receivers - The use of two channels permits the second channel to be a ―roving‖ channel, in which new satellites can be acquired and ephemeris data collected while on the first channel satellites can be tracked without slowdown in position/velocity updates. However, the satellites must still be time-shared on the first channel. Thus the software must still perform the functions listed above and in addition must be capable of inserting/deleting satellites from the sequencing cycle. As with single-channel designs, either slow sequencing or multiplexing may be used. Receivers with Three to Five Channels - In either slow-sequencing or multiplexed receivers, additional channels will generally permit better accuracy and jamming immunity as well as more robust performance in the presence of dynamics. A major breakthrough in receiver performance occurs with five or more channels, because four satellites can be simultaneously tracked without the need for time sharing. The fifth channel can be used to acquire a new satellite and collect its ephemeris data before using it to replace one of the satellites being tracked on the other four channels. Multichannel All-in-View Receivers - The universal trend in receiver design is to use enough channels to receive all satellites that are visible. In most cases eight or fewer useful satellites are visible at any given

Page 39: Thesis of-rajesh-gps

time; for this reason modern receivers typically have no more than 10–12 channels, with perhaps several channels being used for acquisition of new satellites and the remainder for tracking. Position/velocity accuracy is materially improved because satellites do not have to be continually reacquired as is the case with slow sequencing, there is no reduction in effective SNR found in multiplexing designs, and the use of more than the minimum number of satellites results in an over determined solution. In addition, software design is much simpler because each channel has its own tracking hardware that tracks only one satellite and does not have to be time shared.

Page 40: Thesis of-rajesh-gps

Chapter 6 Acquisition and Tracking Loops of GPS Signals

6.1 Acquisition of GPS Signals:

The purpose of acquisition is to determine visible satellites and coarse values of carrier frequency and code phase of the satellite signals. The second parameter, code phase, is the time alignment of the PRN code in the current block of data. It is necessary to know the code phase in order to generate a local PRN code that is perfectly aligned with the incoming code. Only when this is the case, the incoming code can be removed from the signal. PRN codes have high correlation only for zero lag. That is, the two signals must be perfectly aligned to remove the incoming code. The third parameter is the carrier frequency, which in case of down conversion corresponds to the IF. The IF should be known from the L1 carrier frequency of 1575.42MHz and from the mixers in the down converter. However, the frequency can deviate from the expected value. The line-of-sight velocity of the satellite (with respect to the receiver) causes a Doppler effect resulting in a higher or lower frequency. In the worst case, the frequency can deviate up to ±10 kHz. It is important to know the frequency of the signal to be able to generate a local carrier signal. This signal is used to remove the incoming carrier from the signal. In most cases it is sufficient to search the frequencies such that the maximum error will be less than or equal to 500 Hz. In an ordinary receiver, the acquisition is usually performed in an application specific integrated circuit (ASIC). In a software receiver, it is implemented in software. Basically, there are three standard methods of performing Acquisition in GPS receiver end, namely: 1. Serial Search Acquisition 2. Parallel Frequency Space Search Acquisition 3. Parallel Code Phase Search Acquisition

6.2 Serial Search Acquisition: Serial search acquisition is an often-used method for acquisition in code-division multiple access systems (CDMA). GPS is a CDMA system. Figure 6.1 is a block diagram of the serial search algorithm. As seen in Figure 6.1, the algorithm is based on multiplication of locally generated PRN code sequences and locally generated carrier signals. The PRN generator generates a PRN sequence corresponding to a specific satellite. The generated sequence has a certain code phase, from 0 to 1022 chips. The incoming signal is initially multiplied by this locally generated PRN sequence. After multiplication with the PRN sequence, the signal is multiplied by a locally generated carrier signal. Multiplication with the locally generated carrier signal generates the inphase signal I , and multiplication with a 90◦ phase-shifted version of the locally generated carrier signal generates the quadrature signal Q.

Page 41: Thesis of-rajesh-gps

The I and Q signals are integrated over 1 ms, corresponding to the length of one C/A code, and finally squared and added. Ideally, the signal power should be located in the I part of the signal, as the C/A code is only modulated onto that. However, in this case the I signal generated at the satellite does not necessarily correspond to the demodulated I . This is because the phase of the received signal is unknown. So to be certain that the

Incoming Signal

PRN Code

Generator

90

Integration

Local Oscillator

SquaringIntegration

Squaring

Output

IF ± the frequency

step (500 Hz) 1023

Sk(n)

Figure 6.1 Block Diagram of Serial Search Algorithm.

signal is detected, it is necessary to investigate both the I and the Q signal. The output is a value of correlation between the incoming signal and the locally generated signal. If a predefined threshold is exceeded, the frequency and code phase parameters are correct, and the parameters can be passed on to the tracking algorithms [16]. The serial search algorithm performs two different sweeps: a frequency sweep over all possible carrier frequencies of IF ±10 kHz in steps of 500 Hz and a code phase sweep over all 1023 different code phases. All in all, this sums up to a total of 1023 {2(10,000/500) + 1} = 1023*41 = 41,943 (6.1) Code phases Frequencies

6.2.1 PRN Sequence Generation: Figure 6.1 shows that the first task in the serial search acquisition method is to multiply the incoming signal with the locally generated PRN sequence. This of course involves the generation of this PRN sequence. Instead of generating PRN sequences every time the algorithm is executed, all possible PRN

Page 42: Thesis of-rajesh-gps

sequences are generated offline. The 32 different PRN sequences are generated by the PRN generator implemented according to figure 2.5. The PRN code generator is implemented using the binary values 0 and 1. However, in the signal processing algorithms it is more convenient to represent the codes with a polar non-return-to-zero representation. With 32 generated PRN sequences, all possible sequences originating from GPS satellites are created. However, as mentioned in the theory of serial search acquisition, the method involves multiplication with all possible shifted versions of the PRN codes. That is, besides saving the 32 possible PRN codes all possible shifted versions should also be saved. This sum up to a total of 32,736 different PRN codes.

6.2.2 Carrier Generation: The second step is multiplication with a locally generated carrier wave. The carrier generator must generate two carrier signals with a phase difference of 90◦, corresponding to a cosine and a sine wave. The carrier must have a frequency corresponding to the IF ± the frequency step according to the examined frequency area. It must be sampled with the sampling frequency of 64 MHz and have a length of 1ms. A complex signal is generated using the natural exponential function e

j2π f.

6.2.3 Integration and Squaring: The last parts of the serial search algorithm involve integration and a squaring of the two results of the multiplications with the cosine and sine signals, respectively. The squaring is introduced to obtain the signal power. The integration is simply a summation of all 38,192 points corresponding to the length of the processed data. The squaring is then performed on the result of the summation. The final step is to add the two values from the I arm and the Q arm. If the locally generated code is well aligned with the code in the incoming signal and the frequency of the locally generated carrier matches the frequency of the incoming signal, the output will be significantly higher than if any of these criteria were not fulfilled.

6.3 Carrier and Code Tracking of GPS Signals:

The acquisition provides only rough estimates of the frequency and code phase parameters. The main purpose of tracking is to refine these values, keep track, and demodulate the navigation data from the specific satellite (and provide an estimate of the pseudorange). A basic demodulation scheme is shown in Figure 6.2. The figure shows the scheme used to demodulate the input signal to obtain the navigation message. First, the input signal is multiplied with a carrier replica. This is done to wipe off the carrier wave from the signal. In the next step, the signal is multiplied with a code replica, and the output of this multiplication gives the navigation message. So the tracking module has to generate two replicas, one for the carrier and one for the code, to perfectly track and demodulate the signal of one satellite. In the following, a detailed description of the demodulation scheme is conducted.

6.3.1 Demodulation: Let fL1 and fL2 be the carrier frequencies of L1 and L2 for the signal transmitted from satellite k with powers PC, PPL1, and PPL2 for C/A or P code. The C/A code sequence is C

k (t) and the P(Y) code sequence

is Pk (t). If the navigation data sequence is called D

k (t), the total signal is given as

Page 43: Thesis of-rajesh-gps

Sk(t) = (C

k(t)⊕Dk(t))cos( fL1t) + PPL1(Pk(t)⊕Dk(t))sin( fL1t) + PPL2(Pk(t)

⊕Dk(t))sin( fL2t) (6.1) The output from the front end including filtering and down conversion can be described as

Sk(t) = (C

k(t)⊕Dk(t))cos(φIFt) + PPL1(Pk(t) ⊕Dk(t))sin(φIF t) (6.2)

where φIF is the intermediate frequency to which the front end has downconverted the carrier frequency. Equation (6.2) describes the output of the front end from one satellite. This signal is then sampled by the A/D converter. Because of the narrow bandpass filter around the C/A code, the P code is distorted. In this way the last term in Equation (6.2) is filtered out and cannot be demodulated and is in the following described as noise e(n). The signal from satellite k after the A/D conversion can be described as

Sk(n) = (C

k(n)⊕Dk(n))cos(φIFn) + e(n) (6.3)

with n in units of 1/fs s; n indicates that the signal is discrete in time.

Incoming Signal

PRN Code ReplicaCarrier Wave

Replica

Navigation Data

Sk(t) = (Ck(t)⊕Dk(t))cos(fL1t) + PPL1(Pk(t)⊕Dk(t))sin(fL1t) + PPL2(Pk(t) ⊕Dk(t))sin(fL2t)

cos(φIFn) Ck (n)

N Dk(n)

Figure 6.2 Block Diagram of Basic Demodulation Scheme.

To obtain the navigation data D

k (n) from the above signal, the signal has to be converted down to

baseband. The carrier removal is done by multiplying the input signal with a replica of the carrier as shown in Figure 6.2. If the carrier replica is identical to the incoming carrier in both frequency and phase, the product of both is

Sk(n) cos(φIFn) = C

k(n)⊕Dk(n)cos(φIFn) cos(φIFn)

= -1/2 Ck(n)⊕Dk(n) – 1/2 cos(φIFn) Ck

(n)⊕Dk(n) (6.4) Where the first term is the navigation message multiplied with the PRN code and the second term is a carrier with the double intermediate frequency. The latter part of the signal can be removed by applying a lowpass filter. The signal after the lowpass filter is

1/2 Ck(n)⊕Dk(n) (6.5)

Page 44: Thesis of-rajesh-gps

The next step is to remove the code C

k (n) from the signal. This is done by correlating the signal with a

local code replica. If the code replica is exactly the same as the code in the signal, the output of the correlation is

k(n)⊕Dk(n) = N Dk(n) (6.7)

where N Dk(n) is the navigation message multiplied by the amount of points in the signal N. The above description of the demodulation is only for a signal with one satellite. This is done to reduce the complexity of the equations and to give a simpler idea of the demodulation scheme. In the real signal there is a signal contribution from each visible satellite resulting in larger noise terms in the equations. In the demodulation scheme seen in Figure 6.2, two local signal replicas are required. To produce the exact replica some kind of feedback is needed. The feedback loop to produce the carrier replica is referred to as the carrier tracking loop, and the feedback loop to produce the exact code replica is referred to as the code tracking loop [17].

6.3.2 Carrier Tracking: To demodulate the navigation data successfully an exact carrier wave replica has to be generated. To track a carrier wave signal, phase lock loops (PLL) or frequency lock loops (FLL) are often used.

Carrier Loop

Discriminator (function

of phase error)

Carrier Loop Filter

(low pass filter)

Incoming Signal

PRN CodeSk(t) = (Ck(t)⊕Dk(t))cos(fL1t) + PPL1(Pk(t)⊕Dk(t))sin(fL1t) + PPL2(Pk(t) ⊕Dk(t))sin(fL2t)

Ck (n)

NCO Carrier

Generator(frequency

translator) Figure 6.3 Block Diagram of Basic GPS Receiver Tracking Loop.

Figure 6.3 shows a basic block diagram for a phase lock loop. The two first multiplications wipe off the carrier and the PRN code of the input signal. To wipe off the PRN code, the Ip output from the early–late code tracking loop described above is used. The loop discriminator block is used to find the phase error on the local carrier wave replica. The output of the discriminator, which is the phase error (or a function of the phase error), is then filtered and used as a feedback to the numerically controlled oscillator (NCO), which adjusts the frequency of the local carrier wave. In this way the local carrier wave could be an almost precise replica of the input signal carrier wave. The problem with using an ordinary PLL is that it is sensitive to 180◦ phase shifts. Due to navigation bit transitions, a PLL used in a GPS receiver has to be insensitive to 180◦ phase shifts. Figure 6.4 shows a Costas loop. One property of this loop is that it is insensitive for 180◦ phase shifts and hereby a Costas loop is insensitive for phase transitions due to navigation bits. This is the reason for using this carrier tracking loop in GPS receivers. The Costas loop in figure 6.4 contains two multiplications.

Page 45: Thesis of-rajesh-gps

The first multiplication is the product between the input signal and the local carrier wave and the second multiplication is between a 90◦ phase-shifted carrier wave and the input signal.

90

I

Q

NCO Carrier

Generator(frequency

translator)

Low Pass Filter

(second order PLL)

Low Pass

Filter(second

order PLL)

Carrier Loop Filter(error

filtered out)

PRN Code

Incoming

Signal

Phase shifter

Carrier Loop

Discriminator (function

of phase error)

Ck (n)

Sk(n)

Figure 6.4 Costas Loop used to Track the Carrier Wave.

The goal of the Costas loop is to try to keep all energy in the I (in-phase) arm. To keep the energy in the I arm, some kind of feedback to the oscillator is needed. If it is assumed that the code replica in figure 6.3 is perfectly aligned, the multiplication in the I arm yields the following sum:

Dk(n)cos(φIFn) cos(φIFn + ψ) = ½ Dk(n)cos(ψ) + ½ Dk(n)cos(2φIFn + ψ) (6.8)

where ψ is the phase difference between the phase of the input signal and the phase of the local replica of the carrier phase. The multiplication in the quadrature arm gives the following:

Dk(n)cos(φIFn) sin(φIFn + ψ) = ½ Dk(n)sin(ψ) + ½ Dk(n)sin(2φIFn + ψ) (6.9) If the two signals are lowpass filtered after the multiplication, the two terms with the double intermediate frequency are eliminated and the following two signals remain:

Ik = ½ Dk(n)cos(ψ) (6.10)

Qk = ½ Dk(n)sin(ψ) (6.11) To find a term to feed back to the carrier phase oscillator, it can be seen that the phase error of the local carrier phase replica can be found as

Qk / I

k = (½ Dk(n)sin(ψ))/(½ Dk(n)cos(ψ))

= tan(ψ) (6.12)

Page 46: Thesis of-rajesh-gps

Ψ = tan-1(Q

k / I

k) (6.13) From equation (6.13), it can be seen that the phase error is minimized when the correlation in the quadrature-phase arm is zero and the correlation value in the inphase arm is maximum. The arc tan discriminator in equation (6.13) is the most precise of the Costas discriminators, but it is also the most time-consuming.

6.3.3 Code Tracking: The goal for a code tracking loop is to keep track of the code phase of a specific code in the signal. The output of such a code tracking loop is a perfectly aligned replica of the code. The code tracking loop in the GPS receiver is a delay lock loop (DLL) called an early–late tracking loop. The idea behind the DLL is to correlate the input signal with three replicas of the code seen in figure 6.5.

E

P

L

Ie

Ip

I late

Integrate &

Dump

Integrate &

Dump

Integrate &

Dump

PRN Code Generator

Ck (n) Local Oscialltor

Incoming

Signal ISk(n)

IF ± the frequency

step (500 Hz)

1023

Figure 6.5 Block Diagram of Basic Code Tracking Loop.

The first step in figure 6.5 is converting the C/A code to baseband, by multiplying the incoming signal with a perfectly aligned local replica of the carrier wave. Afterwards the signal is multiplied with three code replicas. The three replicas are nominally generated with a spacing of ±1/2 chip. After this second multiplication, the three outputs are integrated and dumped. The output of these integrations is a numerical value indicating how much the specific code replica correlates with the code in the incoming signal. The DLL with three correlators as in figure 6.5 is optimal when the local carrier wave is locked in phase and frequency. But when there is a phase error on the local carrier wave, the signal will be noisier, making it more difficult for the DLL to keep lock on the code. So instead the DLL in a GPS receiver is often designed as in figure 6.6.

Page 47: Thesis of-rajesh-gps

Incoming

Signal

I

Q

E

E

P

P

L

L

Ie

Ip

I late

Qe

Qp

Ql

Integrate &

Dump

Integrate &

Dump

Integrate &

Dump

Integrate &

Dump

Integrate &

Dump

Integrate &

Dump

PRN Code GeneratorLocal

Oscillator

Phase shifter

Sk(n) Ck (n) (± ½ chip delay)

IF ± the frequency

step (500 Hz)

cos(φIFn)

sin(φIFn)

Figure 6.6 Block Diagram of DLL with Six Correlators.

Type Discriminator D Characteristics Coherent Ie – Il Simplest of all discriminators.

Doesn‘t require the Q branch but require a good carrier tracking loop for optimal functionality.

Non-Coherent

(Ie2

+ Qe2) – (Il

2 + Ql

2)

{(Ie

2 + Qe

2) – (Il

2 + Ql

2)}

/ { (Ie2

+ Qe2) + (Il

2 + Ql

2)}

Ip(Ie – Il) + Qp (Qe – Ql)

Early minus late power. This discriminator response is nearly the same as the coherent discriminator inside ±1/2 chip. Normalized early minus late power. The discriminator has a great property when the chip error is larger than a ½ chip, this will help the DLL to keep track in noisy signals. Dot product. This is the only DLL discriminator that uses all six correlators outputs.

Page 48: Thesis of-rajesh-gps

Table 6.1 Various types of DLL Discriminators and a Description of them.

90

Incoming Signal

Navigation Data

To

Carr

ier

Tra

ckin

g L

oop

To

Code

Tra

ckin

g L

oop

I

I

Q

Q

E

E

P

P

L

L

Ie

Ip

I late

Qe

Qp

Ql

Integrate & Dump

Integrate & Dump

Integrate & Dump

Integrate & Dump

Integrate & Dump

Integrate & Dump

PRN Code Generator Code Loop Discriminator

(function of code phase error)

NCO Carrier

Generator(frequency

translation)

Low Pass Filter

(second order

PLL)

Carrier Loop

Filter(error filtered

out)

Carrier Loop

Discriminator (function of

phase error)

Code Tracking Loop

Carrier Tracking Loop

Sk(n)

Phase shifterLow Pass Filter

(second order

PLL)

IF ± the frequency

step (500 Hz)

Ck (n) (± ½ chip delay)

Figure 6.7 The Block Diagram of Complete Tracking Channel of the GPS Receiver.

Page 49: Thesis of-rajesh-gps

The design in figure 6.6 has the advantage that it is independent of the phase on the local carrier wave. If the local carrier wave is in phase with the input signal, all the energy will be in the in-phase arm. But if the local carrier phase drifts compared to the input signal, the energy will switch between the in-phase and the quadrature arm [18].

If the code tracking loop performance has to be independent of the performance of the phase lock loop, the tracking loop has to use both the in-phase and quadrature arms to track the code. The DLL now needs a feedback to the PRN code generators if the code phase has to be adjusted. Some common DLL discriminators used for feedback are listed in Table 6.1. The table shows one coherent and three non-coherent discriminators. The requirement of a DLL discriminator is dependent on the type of application and the noise in the signal. In this dissertation, coherent discriminator is being employed.

6.3.4 Complete Tracking Block: In the previous sections, the code tracking loop and the carrier tracking loop are described in detail. The following describes how the code tracking loop and the carrier tracking loop can be joined to minimize the computational load. Figure 6.6 shows the code tracking loop and the carrier tracking loop combined. It can be seen from the figure that the PRN code replica used to wipe off the PRN code in the carrier tracking loop is coming from the code tracking loop. It can also be seen that the two local carrier replicas used to wipe off the carrier wave in the code tracking loop are coming from the carrier tracking loop. The block diagram in figure 6.7 contains 11 multiplications. These multiplications are the most time-consuming operations on the block diagram [8].

Page 50: Thesis of-rajesh-gps

Chapter 7 SDR Technology and Complete Software GPS Receiver

7.1 Software Defined Radio:

A Software-Defined Radio (SDR) system is a radio communication system, in which all physical layers

are software defined and a combination of hardware and software technologies that allows

reconfiguration of wireless network architectures. The Joint Tactical Radio System (JTRS) program

sponsored by the U.S. Department of Defense to develop the next generation of military communication

devices envisions the use of SDR technology with standardized hardware capable of handling multiple

protocols used by the military today.

SDR is a design philosophy that has been in existence for a long time and is going through a rebirth as a

result of advanced semiconductor components now available, including high-performance digital signal

processors and gate arrays, and high-speed data converters as well as advanced radio technologies.

Although advances in DSP technologies have accelerated SDRs development, the main component that

enables SDR is in the analog domain of high-speed data converter technologies [19].

Figure 7.1 SDR Functional Architecture.

A software-defined radio receiver uses an analog-to-digital converter (ADC) to digitize the analog signal

in the receiver as close to the antenna as practical, generally at an intermediate frequency (IF). Once

Page 51: Thesis of-rajesh-gps

digitized, the signals are filtered, demodulated, and separated into individual channels. Similarly, a

software-defined radio transmitter performs coding, modulation, etc. in the digital domain In the final

output IF stage, a digital-to-analog converter (DAC) is used to convert the signal back to an analog format

for transmission.

7.2 Lyrtech‘s Small Form Factor- SDR Development platform:

The SFF-SDR Platform is conceived and designed to be used to be used in the Development of

application in the field of Software-Defined Radios. The Platform is composed of three different

platforms.

1. Digital Processing Module.

2. ADAC Master III.

3. RF Module.

Figure 7.2 SDR Development Platform.

The SFF-SDR supports two Board Support Package.

a. Model Based Development Kit (MBDK).

b. Board Software development Kit (BSDK).

The SFF SDR DP consists of three distinct hardware modules — the digital processing module, ADAC Master III, and RF module — which offer flexible development capabilities. The digital processing module uses a Virtex-4 FPGA and a DM6446 SoC (System on chip) to offer developers the necessary performance to implement custom IP and acceleration functions with varying requirements from one protocol to another supported on the same hardware. The ADAC Master III is equipped with dual-channel analog-to-digital and digital-to-analog converters. The RF module covers a variety of frequency ranges in transmission and reception allowing it to support a wide range of applications.

Fields of applications:

Page 52: Thesis of-rajesh-gps

Military : Military applications such as tactical military communications (MILCOM), military communications gateways, handsets and man-pack systems, or vehicular systems are prime candidates for SDR developments. Public Safety: Publics safety applications such as TETRA and APCO band communications, vehicular systems, transponders, and broadband data systems will be greatly enhanced by their use of the SFF SDR development board. Commercial. RFID readers, WiMAX and Wi-Fi customers –premises equipment (CPE), broadband data systems, vehicular systems as well as femto and pico base stations are few applications that can benefit from the use of the SFF SDR development platforms [20].

Figure 7.3 SDR Development Platform Block Diagram.

Page 53: Thesis of-rajesh-gps

Figure 7.4 Design flow for the lyrtech SFF-SDR development platform..

Page 54: Thesis of-rajesh-gps

7.3 Parameter Settings for Various GPS Blocks:

Figure 7.5 Setting IP configurations for computer.

Figure 7.6 . ADC Parameters

Page 55: Thesis of-rajesh-gps

Figure 7.7 DAC Parameters

Figure 7.8 Clock and PLL Parameters

Page 56: Thesis of-rajesh-gps

7.4 Pseudolite GPS Software Receiver:

Once the simulation meets the required performance goals, it becomes an executable specification. Before adding more detail to the model, it is prudent to verify that it truly implements a GPS receiver. The MATLAB language is becoming increasingly popular in test and measurement applications. The Instrument Control Toolbox option for MATLAB can communicate with virtually any instrument that has a hardware interface. Beyond this, several test and measurement vendors have integrated MATLAB into their instruments. Anritsu is one such company; their Signature spectrum analyzer can capture data into MATLAB with a single mouse click. An antenna and low-noise pre-amplifier were connected to the Signature analyzer, and tuned to 1.57542 GHz. It has been recorded approximately one second of I/Q format data, which was then available in the MATLAB workspace. Since the satellite signals are more than 20 dB below the noise, it is not immediately obvious that a useable data set has been captured. Thus a separate Simulink model implementing a simplified GPS receiver (no tracking loops) to confirm that satellite signals were present in the data. The transmitter portion of the original model was then replaced with a Simulink library block to provide actual satellite data for testing the receiver model [21]. Next, the model is partitioned into a portion that will reside in the FPGA and a portion that will reside in a floating-point DSP. The incoming I/Q data at the 8 MSPS rate is first passed through a root-raised cosine FIR filter. Naturally, this higher speed processing is best suited for the FPGA. The filtered signal is then down-sampled by a factor of two, and after the Doppler de-rotation, feeds three cross-correlators: early, prompt, and late, which refer to the local de-spreading code phase driving the respective correlators. The numerically controlled oscillators for both the Doppler and the local de-spreading code are also in the FPGA partition. Because the de-spreading sequence repeats every millisecond, the outputs of the three correlators are only of interest at this one-millisecond rate, which is easily handled by a DSP.

Figure 7.9 FPGA partition of the GPS software receiver.

Page 57: Thesis of-rajesh-gps

After the receiver model is working using floating-point arithmetic, the next step is to define the fixed-

point attributes that will be required for the FPGA partition. Simulink models can accommodate arbitrary

precision fixed-point representations of signals. The FPGA partition includes these fixed-point

constraints. The partitioning reveals a feedback control system between the DSP and the FPGA. In

review, the 8 MHz I/Q satellite signal input is processed by the FPGA producing low-rate (1 kHz)

correlator outputs, which are then processed by the DSP. Using these signals, the DSP in turn implements

the proportional-integral-derivative controllers for both the Doppler and timing recovery loops. The two

controller outputs are fed back into the FPGA. The real captured satellite data is again used as a source to

test the partitioning and the chosen fixed-point data constraints. Figure 7.9 shows the satellite data source

(Blue) and the FPGA partition. Implement the FPGA Partition It is now relatively easy to transition the

fixed-point receiver subsystem in Figure 7.9 to one using blocks from the Xilinx® System Generator for

DSP library. The transition to the FPGA is easy if Simulink blocks that functionally match those in the

System Generator library were used.

Figure 7.9 shows the FPGA portion of the design. Hardware-specific gateway blocks pass signals between the FPGA and the DSP. The output signals from the FPGA include three complex correlation signals (early, prompt, and late), a signal-level estimate for AGC, and a synch word. These eight values are time-division multiplexed into a single data stream and fed through a 32-bit gateway block back to the DSP. Control signals for the timing and Doppler tracking loops come through 32-bit gateway blocks to the FPGA, along with other ancillary control signals such as satellite selection. When running the hardware in real time, the signal input to this GPS receiver comes from an analog front-end down converter with an IF of 17 MHz, not a spectrum analyzer. Therefore, a digital down converter (DDC) is also needed in the FPGA. The DDS takes this 17 MHz real-band pass signal sampled at 64 MSPS and translates it to a base-band I/Q signal running at 8 MSPS. The high-level signal processing functions (DDS, CIC, FIR, FIFO, TDM) from the Xilinx System Generator library make it easy to implement this portion of the design. Once the simulation is verified, a click of the mouse automatically generates the circa 350 VHDL files (750 kB of ASCII) required to implement the design. After this point we are in the standard Xilinx ISE™ design flow.

Figure 7.10 DSP partition of the GPS software receiver.

Page 58: Thesis of-rajesh-gps

The top-level view of the DSP portion is shown in Figure 7.10. The time-division multiplexed signals

from the FPGA arrive through the gray gateway block on the left of the model. They are then de-

multiplexed into the early/prompt/late correlation signals and level required by the timing recovery and

Doppler controllers implemented in the DSP partition. The task of acquiring the GPS is implemented in

the DSP using Simulink‘s event-driven option, StateFlow. Operations such as square root and arc tangent

are required. Although these operations are possible with a CORDIC in the FPGA, they are even easier to

do in the floating-point DSP.

Because the signals from the FPGA arrive every millisecond, the processing is light duty for the DSP. The whole setup of GPS software receiver has been done for single channel .A typical GPS receiver incorporates six to ten channels and the loading of the DSP will increase in proportion to the number of channels. The C code for the DSP is automatically generated using the Real-Time Workshop option in the Simulink environment. Once this is complete, a click of the mouse downloads both the bitstream for the FPGA and the binary for the DSP to the Lyrtech SignalWave hardware. When the real-time processing is started in the hardware, the Simulink block diagram then becomes a GUI that allows to seamlessly interacting with the processing. Scopes and numerical readouts are the primary real-time display options. One can also change the state of switches, the values of constants, and multiplier gains to interact with the design without stopping or introducing gaps in the real-time processing.

7.5 Conclusion and Results of the GPS simulink Model:

Direct Digital Synthesizer outputs of both DDSv2 and DDSv5 are balanced and an equal value for both

has been achieved.

Figure 7.11 Outputs waveforms of DDSv2 and DDSv5.

Page 59: Thesis of-rajesh-gps

ADC and DAC specifications of the SDR development platform:

ADC

1. 14 bits

2. ADS 5500

3. Differential Input Voltage – 2.3Vp-p

4. Reference Top Voltage – 0.97 V

5. Analog Input Voltage – (-0.3V to 3.9V)

6. Digital Data Output – (-0.3 to 3.3)

DAC

1. 16 bits

2. DAC 5687

3. Digital Input Channel A, DA – (-0.5V to 3.5V)

4. Digital Input Channel B, DB - (-0.5V to 3.5V)

5. Analog Output – (-1 to 4.5V)

6. Peak Input Current – 20mA.

The major issues that yet to be dealt are,

1. Interfacing the 16 bits DAC to 14 bits ADC.

2. Interfacing circuits between FPGA and DSP (EMIF and VPSS).

3. Coefficients determination of DSP filters.

Page 60: Thesis of-rajesh-gps

References

[1]. ―GPS and Galileo: Dual RF Front-end Receiver and Design, Fabrication and Test‖ by

jaizkiMendizabal Samper, Roc Berenguer Perez, Juan Melendez Lagunilla, The McGraw-Hill companies,

1996, pp.1.

[2]. Langley, R. B., ―The Orbits of GPS Satellites‖, GPS World, Vol.2, No.3, March 1991, pp.50-53.

[3]. Wells, D. E., et al., ―Guide to GPS Positioning‖, Fredericton,New Brunswick: Canadian GPS

Associates, 1987.

[4]. Leick, A., ―GPS Satellite Surveying‖, 2nd

ed., New York: Wiley, 1995.

[5].Langley, R. B., ―The Mathematics of GPS‖, U.S. Institute of Navigation Newsletter, Vol.10, No.1,

spring 2000, pp.3-4.

[6]. Kaplan, E., ―Understanding GPS: Principles and Applications‖ ,Norwood,MA:Artech House,1990.

[7].Kleusberg, A., ―Mathematics of Attitude Determination with GPS‖, GPS World, Vol.6, No.9,

September 1995, pp.72-78.

[8]. Kai borre, ―A Software- Defined GPS and Galileo Receiver -A single frequency approach‖

Brikhauser Boston, 2007.

[9]. Kalpan, Elliott D. & Hegarty, Christopher J., ―Understanding GPS, Principles and Applications‖,

Artech House, 2nd

edition, Boston, MA, 2006.

[10]. B. W. Parkinson and J. J. Spilker, Jr. (Eds.), Global Positioning System: Theory and Applications, Vol. 1, Progress in Astronautics and Aeronautics (series), American Institute of Aeronautics and Astronautics, Washington, DC, 1996. [11]. Institute of Navigation, Monographs of the Global Positioning System: Papers Published in Navigation (“Redbook”), Vol. V, ION, Alexandria, VA, 1998.

[12]. B. W. Parkinson, M. L. O‘Connor and K. T. Fitzgibbon, ―Aircraft Automatic Approach and Landing Using GPS,‖ Chapter 14 in ―Global Positioning System: Theory & Applications‖, Vol. II, B. W. Parkinson and J. J. Spilker, Jr. (eds.), Progress in Astronautics and Aeronautics (series), Vol. 164, Paul Zarchan editor-in-chief, American Institute of Aeronautics and Astronautics, Washington, DC, 1995, pp. 397–425. [13]. ―GPS Pseudolite: Theory,Design and Application‖ dissertation by ―H. Stewart Cobb‖ September

1997.

[14]. T. Stansell, Jr., ―RTCM SC-104 Recommended Pseudolite Signal Specification‖, in Global Positioning System, Vol. III, Institute of Navigation, 1986, pp. 117–134. [15]. Mohinder S Grewal, Lawrence R Weill, Angus P Andrews, ―Global Positioning Systems Inertial Navigation, and Integration‖, second edition, John Wiley & Sons Inc, 2007, pp. 126-128.

Page 61: Thesis of-rajesh-gps

[16]. Akos, Dennis, ―A Software Radio Approach to Global Navigation Satellite System Receiver Design‖, Ohio University, Athens, OH 1997. [17]. Haykin, ―Communication Systems‖, John Wiley & Sons, 4th edition, New York, NY, 2000. [18]. Best, Roland E, ―Phase-Locked Loops: Design, Simulation, and Applications‖, McGraw-Hill, 5th edition, New York, NY 2003. [19]. Website Available at, ―http://www.sdrforum.org/pages/documentLibrary/documents/SDRF-01-P-0006-V2_0_0_BaseStation_Systems.pdf‖. [20]. User‘s guide on Lyrtech‘s Small Form Factor SDR Evaluation Module/Development Platform, October 2008. [21]. Dick Benson, ―The Design and Implementation of a GPS Receiver Channel‖, Xcell publications, 2005.