the midas touch: modeling processor physics for extreme...

20
2/1/13 1 SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY The MIDAS Touch: Modeling Processor Physics for Extreme Scale Computing S. Yalamanchili School of Electrical and Computer Engineering Georgia Institute of Technology Atlanta, GA. 30332 Sponsors : National Science Foundation, Sandia National Laboratories, Semiconductor Research Corporation, and IBM SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY System Impact Power Distribution Network Thermal Field Modeling Novel Cooling Technology Physics of Computation Computer Architecture and Systems Laboratory 2 Energy Introspector MIDAS Heterogeneous Architectures Ocelot Red Fox Oncilla Lynx Glassbox NSF, LogicBlox, Intel, AMD, NVIDIA, Samsung Sandia, SRC (IBM, AMD, Intel), Qualcomm Cray XT3 QSIM Manifold Eiger Manycore Architectures NSF, Los Alamos, Sandia (ABQ), Sandia (Livermore), http://casl.gatech.edu

Upload: others

Post on 15-Mar-2021

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: The MIDAS Touch: Modeling Processor Physics for Extreme …computerlectures.pnnl.gov/pdf/yalamanchili2013.pdf · 2013. 2. 1. · S. Yalamanchili School of Electrical and Computer

2/1/13

1

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

The MIDAS Touch: Modeling Processor Physics for Extreme Scale Computing

S. Yalamanchili

School of Electrical and Computer Engineering Georgia Institute of Technology

Atlanta, GA. 30332

Sponsors: National Science Foundation, Sandia National Laboratories, Semiconductor Research Corporation, and IBM

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

System Impact

Power Distribution

Network

Thermal Field

Modeling

Novel Cooling

Technology

Physics of Computation

Computer Architecture and Systems Laboratory

2

n  Energy Introspector n  MIDAS

Heterogeneous Architectures

n  Ocelot n  Red Fox n  Oncilla n  Lynx n  Glassbox

NSF, LogicBlox, Intel, AMD, NVIDIA, Samsung

Sandia, SRC (IBM, AMD, Intel), Qualcomm

Cray XT3

n  QSIM n  Manifold n  Eiger

Manycore Architectures

NSF, Los Alamos, Sandia (ABQ), Sandia (Livermore),

http://casl.gatech.edu

Page 2: The MIDAS Touch: Modeling Processor Physics for Extreme …computerlectures.pnnl.gov/pdf/yalamanchili2013.pdf · 2013. 2. 1. · S. Yalamanchili School of Electrical and Computer

2/1/13

2

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Outline

n Motivation: The Impact of Physical Behaviors

n Energy Introspector: Modeling and Simulation of Many Core Architectures

n MIDAS: Modeling for Extreme Scale Systems

3

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Moore’s Law

4

From wikipedia.org

•  Performance scaled with number of transistors

•  Dennard scaling: power scaled with feature size

Goal: Sustain Performance Scaling

Page 3: The MIDAS Touch: Modeling Processor Physics for Extreme …computerlectures.pnnl.gov/pdf/yalamanchili2013.pdf · 2013. 2. 1. · S. Yalamanchili School of Electrical and Computer

2/1/13

3

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Post Dennard Architecture Performance Scaling

Perf opss

!

"#

$

%&= Power W( )×Efficiency ops

joule!

"#

$

%&

W. J. Dally, Keynote IITC 2012

Operator_cost + Data_movement_cost

Three operands x 64 bits/operand Specialization à heterogeneity and

asymmetry

Energy = #bits× dist −mm× energy− bit −mm

5

Power Delivery Cooling

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Physics of Computation

n Physical phenomena interact with architecture to affect system level metrics such as energy, power, performance and reliability

n These interactions are modulated by workloads

6

Page 4: The MIDAS Touch: Modeling Processor Physics for Extreme …computerlectures.pnnl.gov/pdf/yalamanchili2013.pdf · 2013. 2. 1. · S. Yalamanchili School of Electrical and Computer

2/1/13

4

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Baseline Architecture

7

Microarchitectural Design

E

Out-of-Order Out-of-Order Out-of-Order

Out-of-Order Out-of-Order Out-of-Order Out-of-Order

Out-of-Order Out-of-Order Out-of-Order Out-of-Order

Out-of-Order Out-of-Order Out-of-Order Out-of-Order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

In-order

F S

E M L2

L2

M

F S

Modeled Layout of a 64-core Die

n 64 core asymmetric shared memory processor n Support for dynamic voltage frequency scaling n Support for turbo boost operation

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

n Thermal non-uniformity induces: n Thermal coupling between components n Leakage variations due to exponential temperature-leakage

dependency;

Thermal Variation

64-­‐core  leakage  power  varia1ons  

0

0.5

1

1.5

2

2.5

3

3.5

300 310 320 330 340 350 360 370 380 390 400

Leak

age

Po

wer

[W

]

Temperature [K]

Frontend

Schedule

Execution

L1

L2

Exponen1al  dependency  between  temperature  and  leakage  power  

8

Page 5: The MIDAS Touch: Modeling Processor Physics for Extreme …computerlectures.pnnl.gov/pdf/yalamanchili2013.pdf · 2013. 2. 1. · S. Yalamanchili School of Electrical and Computer

2/1/13

5

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Degradation Variation

9

Peak temperature and MTTF analysis from J. Srinivasan et al., “Lifetime Reliability: Toward An Architectural Solution,” Micro 2005.

64-core asymmetric chip multiprocessor layout and failure probability distribution

x10-10 In-order core Out-of-order core

25% peak-to-peak difference of failure distribution across the processor die; induced by architectural asymmetry, thermal coupling, power management,

and workload characteristics

Single-core processor lifetime reliability Multicore processor lifetime reliability

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Inverse temperature Dependence in Digital Circuits

INV-­‐based  RO

NAND-­‐based  RO

NOR-­‐based  RO

TX-­‐based  ROGND

VDDLong  wire  RO

LevelConverter

GND

VDD

GND GND

VDD VDD

LevelConverter

LevelConverter

LevelConverter

LevelConverter

Technology 130nm CMOS Chip size 2 mm2

VCTRL 1.2 V VDD 0.55 - 1.2 V

VHEATER 0 - 10 V Max. Power Density in Heater 130W/cm2

Reference Clock Freq. 10KHz

M. Cho, et. al, CICC (submitted) 2012

10

Page 6: The MIDAS Touch: Modeling Processor Physics for Extreme …computerlectures.pnnl.gov/pdf/yalamanchili2013.pdf · 2013. 2. 1. · S. Yalamanchili School of Electrical and Computer

2/1/13

6

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Thermal Coupling

11

0  

0.1  

0.2  

0.3  

0.4  

0.5  

0.6  

0.7  

0.8  

0.9  

1  

0.5  

1  

1.5  

2  

2.5  

3  

3.5  

1  14  

27  

40  

53  

66  

79  

92  

105  

118  

131  

144  

157  

170  

183  

196  

209  

222  

235  

248  

261  

274  

287  

300  

313  

326  

339  

352  

Peak  Die  Tem

perature  -­‐>  

CPU    &  GPU  Relative  Power-­‐>  

Time  (seconds)  -­‐>  

GPU  Pow   CPU  CU0  Pow  

CPU  CU1  Pow   PeakDieTemp  

CPU  power  is  limited,  GPU  running  at  max  DVFS  state  

Thermal  coupling  

Temp  thro>ling  

CU1 CU0 GPU

n Thermal coupling between CPU and GPU accelerates temperature rise

n Interaction with power management, e.g., turbo core/boost

AMD Trinity APU

I. Paul (AMD), S. Manne (AMD), S. Yalamanchili 2012

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Hot Spot Cooling

12

n Energy efficiency is limited by chip wide uniform cooling solution

n Need for fine grained thermal management à on-demand hot spot cooling

n High frequency superscalar cores for the Amdahl fraction

Y. Joshi (ME)

Page 7: The MIDAS Touch: Modeling Processor Physics for Extreme …computerlectures.pnnl.gov/pdf/yalamanchili2013.pdf · 2013. 2. 1. · S. Yalamanchili School of Electrical and Computer

2/1/13

7

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Sample Experiments from Co-Design Explorations

13

Sleep Heater

Thermal Sensor

Controller

Supply Noise Sensor

Figure. 2D package air cooling (dashed) vs 3D package liquid cooling (solid) of 2 processor dies

with different SPEC benchmarks.

Supply coupling

Memory near C4

Mod

elin

g La

yer

Exp

erim

enta

tion

Laye

r

Tapeout: Feb 2012 Leverage: Prior Test Chip

(Sponsor: SRC)

Study Within Die Coupling (Supply & Thermal) & Power Management

Processor near heat sink

Processor near heat sink Memory near C4

Slow SRAM Regions due to Supply & Thermal Coupling

Test & Data for Validation

Electrical-Thermal Experiments

Microarchitecture Level Simulations

Thermal-Supply Coupling Simulations

VDD Drop

M. Bakir (ECE), Y. Joshi (ME), S. Mukhopadhyay (ECE) S. Yalamanchili (ECE)

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Outline

n Motivation: The Impact of Physical Behaviors

n Energy Introspector: Modeling and Simulation of Many Core Architectures

n MIDAS: Modeling for Extreme Scale Systems

14

Page 8: The MIDAS Touch: Modeling Processor Physics for Extreme …computerlectures.pnnl.gov/pdf/yalamanchili2013.pdf · 2013. 2. 1. · S. Yalamanchili School of Electrical and Computer

2/1/13

8

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Key Issues

15

n Physical interactions produce performance side effects

n Difficult to predict a priori à workload dependent

n Necessitate run-time mechanisms to adapt

n Modeling, Simulation, Emulation of Interactions

System Arch.

Impact

Power Control

Thermal Field

Software Migration

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Architecture-level Physics Modeling Framework n General architecture-level physical models:

n Energy and power n Temperature and cooling n Degradation and recovery

n Microprocessor physics are in fact interactive.

Microarchitecture  and  Workload  Execu3on  

Power  Dissipa3on  

Thermal  Coupling  and  Cooling  

Degrada3on    and  Recovery  

INTERACTIONS  

16

Page 9: The MIDAS Touch: Modeling Processor Physics for Extreme …computerlectures.pnnl.gov/pdf/yalamanchili2013.pdf · 2013. 2. 1. · S. Yalamanchili School of Electrical and Computer

2/1/13

9

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Integration of Physical Models

Wattch

Thermal Tool Box

Reliability Tool Box

Power Tool Box

Cacti McPAT Orion HotSpot

3D-ICE

TSI NBTI

EM TDDB

HCI

INTERACTIONS

PDN Tool Box

Circuit models in

SPICE

n Non-standard model description and tools; standard modeling interface is necessary.

17

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Introduction to Energy Introspector

n Energy Introspector (EI) is a simulation framework to facilitate the (selective) uses of different models and capture the interactions among microprocessor physics models.

Power  Model  Library  

Reliability  Model  Library  

Thermal  Model  Library  

Sensor  Model  Library  

Physical  models  package  interface  

USER  IN

TERFACE  

compute_power();  compute_temperature();  INTERACTIONS  

Model  Library  Wrappers  

Microarchitecture  Descrip1on  &  Sta1s1cs  

Available at www.manifold.gatech.edu

18

William Song

Page 10: The MIDAS Touch: Modeling Processor Physics for Extreme …computerlectures.pnnl.gov/pdf/yalamanchili2013.pdf · 2013. 2. 1. · S. Yalamanchili School of Electrical and Computer

2/1/13

10

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Technical Approach

Instruction FetchPredecode

Instruction Queue

Instruction DecodeuROM

Register RenamingAllocation

Scheduler

Reorder Buffer

IFU FPU Load Store

DTLB and DL1

DL2

DTLB and DL1

Module0

Module1

Module2

Module3...

Package

Partition0 Partition1 ...Partition2

Architecture Introspection Packaging Introspection

Introspection Interface(Energy Introspector)

19

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

EI Description: Modeling Temperature

n Temperature is calculated based on power source inputs. n Power sources area associated with partitions and a floor plan

EX

1.2mm

1.06mm EX:FP

EX:INT

SCH

MEM

FEEX

2.4mm

1.06mm

2.12mm

FE

MEM

In-order core

FE

In-order coreOut-of-order core

3.6mm

MEM

Asymmetric cores partitioning based on the McPAT at 16nm

Die Area Grid Size Cell Size / Min. Partition Size164mm2 64!64 0.2mm!0.2mm / 0.16mm2

164mm2 128!128 0.1mm!0.1mm / 0.04mm2

164mm2 256!256 0.05mm!0.05mm / 0.01mm2

369mm2 64!64 0.3mm!0.3mm / 0.36mm2

369mm2 128!128 0.15mm!0.15mm / 0.09mm2

369mm2 256!256 0.075!0.075mm / 0.0225mm2

Asymmetric  thermal  floor  plan  example  based  on  McPAT  es1ma1on  

Selec1ng  reasonable  thermal  floor  plan  size  and  grid  resolu1on  

20

Page 11: The MIDAS Touch: Modeling Processor Physics for Extreme …computerlectures.pnnl.gov/pdf/yalamanchili2013.pdf · 2013. 2. 1. · S. Yalamanchili School of Electrical and Computer

2/1/13

11

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

EI Processor Description

Architectural Modules

Energy Library Model

Energy Library ModelEnergy Library Model

Die Partitions

MappingReliability Library Model

Reliability Library ModelReliability Library Model3D Package

Mapping

Thermal Library Model

•  A  processor  is  described  with  pseudo  components  in  the  EI.  

•  Physical  phenomena  are  characterized  at  appropriate  component  levels  with  library  models.  

•  Computed  results  from  library  models  are  transferred  between  components  via  synchronous  run3me  data  queues.  

21

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

EI Framework

Pseudo Module

Pseudo Module

Pseudo Partition

Pseudo Partition

Pseudo Package

...

Energy Library

Energy Library

DSENT McPAT

IntSim TSV

...

Power

Energy, Area,Delay, etc.

Power

Inputs,Controls

DegradationInputs,

Controls

Thermal Library

...

Thermal GridInputs, Controls

Data Queue

Data Queue

Data Queue

Data Queue

Data Queue

En

ergy Intro

specto

r Ma

in In

terface

Inputs, Controls

Inputs, Controls

Inputs, Controls

Data

Data

Data

...

Architecture Simulator

Architecture Simulator

Architecture Simulator

Scheduling Algorithms

Statistics

Statistics

Statistics

Controls

Data

StatisticsTemperature,Degradation

Temperature

Temperature.Degradation

Inputs, Controls

TemperaturePower

Power

Reliability Library

...

Temperature Power

HotSpot 3DICE

TSI

Failure

Sensor Library

...

Thermal Random

Pseudo Sensor

Data Queue

Data

Inputs, Controls

Read Data

W.  Song,  M.  Cho,  S.  Yalamanchili,  and  S.  Mukhopadhyay,  “Simula1on  Infrastructure  for  Power  and  Temperature  Modeling  in  Manycore  Processors  and  Linear  System  Modeling,”  SRC  Techcon  2011.    

22

Page 12: The MIDAS Touch: Modeling Processor Physics for Extreme …computerlectures.pnnl.gov/pdf/yalamanchili2013.pdf · 2013. 2. 1. · S. Yalamanchili School of Electrical and Computer

2/1/13

12

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Full System Multicore Simulation: Manifold

CPU L1$

L2$

CPU L1$

R router

CPU L1$

L2$

CPU L1$

R router

CPU L1$

L2$

CPU L1$

R router

Applications

Linux

PSK PSK PSK

VC

LP LP LP

VC VC

QSim T

max

= 3

82 K

T ma

x =

378

K

Component Models

Parallel Simulation Kernel

Physical Models

Multicore Emulator (built on QEMU)

n  Application binaries

n  Boot Linux

23

Energy Introspector

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

A composable parallel simulation system for heterogeneous, many core systems.

Manifold

0 0.05 0.1 0.15 0.2 0.25 0.3 0.2

0.25

0.3

0.35

0.4

time [sec]

dyna

mic

pow

er [W

]

bzip2-program gromacs milc

Manifold Kernel Manifold Kernel

Component

Component

Inter-­‐Kernel  API  

Logical  Process  (LP)  

Component Link  

Component

Component  Timing/Func1onal  

Models    

Simulator  Kernel  Engine  

Parallel  Hardware  Test-­‐bed  

Energy    Power   Thermal   Reliability    

Models  

Core  degrada1on  

n  Component-based and extensible

n  Mixed discrete event and time stepped simulation

n  From full system HW/SW models to abstract timing models

n  From detailed cycle-level to high level analytic models

n  Integration of third party tools

Physical  Models  

www.manifold.gatech.edu

24

Page 13: The MIDAS Touch: Modeling Processor Physics for Extreme …computerlectures.pnnl.gov/pdf/yalamanchili2013.pdf · 2013. 2. 1. · S. Yalamanchili School of Electrical and Computer

2/1/13

13

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Interaction of Cooling and Power Management n 3D ICs and Liquid Cooling

n Coolant flows through micro-channels. n Cooling efficiency of ~ 1,000W/cm2 compared with ~200W/cm2 of

conventional air cooling.

2D  HotSpot  Model  

3D  3D-­‐ICE  Model  

25

A Sridhar, A Vincenzi, M Ruggiero, T Brunschwiler, D Atienza, “3D-ICE: Fast compact transient thermal modeling for 3D-ICs with inter-tier liquid cooling,” Proceedings of the 2010 International Conference on Computer-Aided Design (ICCAD 2010), San Jose, CA, USA, November 7-11 2010

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Analysis Result Using Flow Rate n Hot Spot vs. 3D-ICE comparison

n Temperature is controlled by coolant flow rate. n Temperature vs. power efficiency tradeoff.

n  Lower power density with the same throughput.

Steady-­‐state  temperature  of  air  cooling  And  variable  flow-­‐rate  liquid  cooling  

Throughput  and  power  density  comparison  of  air  and  liquid  cooling  

W.  Song,  S.  Mukhopadhyay,  and  S.  Yalamanchili,  “Architecture  Simula1on  Framework  for  3D  ICs,”  SRC  Techcon  2012.    

26

Page 14: The MIDAS Touch: Modeling Processor Physics for Extreme …computerlectures.pnnl.gov/pdf/yalamanchili2013.pdf · 2013. 2. 1. · S. Yalamanchili School of Electrical and Computer

2/1/13

14

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Reliability Analysis: Failure Mechanisms Known Failure Mechanisms Description

Electromigration (EM) A directional transport of electrons and metal atoms in interconnect wires leads to degradation and eventual failure.

Time dependent dielectric breakdown (TDDB)

Wearout of gate oxide caused by continued application of electric field that leads to electric short between gate oxide and substrate.

Hot carrier injection (HCI) Electrons with sufficient kinetic energy to overcome the barrier to gate oxide cause degradation.

Negative bias temperature instability (NBTI)

Threshold voltage shift causes timing error. On-off stresses generate degradation and recovery effects.

Stress migration (SM) Difference between the expansion rates of metals causes mechanical stresses.

Thermal Cycling (TC) Fatigues accumulate with temperature cycles.

27

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Aging and Reliability

28

64-core asymmetric processor floor plan

Failure probability comparison between per-core race-to-idle executions (left) and

continuous low-voltage executions (right)

race & degrade

idle & recover

-10

Transient race-to-idle executions vs. continuous executions

LVF: Low Voltage Frequency HVF: High Voltage Frequency

NVF: Nominal Voltage Frequency

Page 15: The MIDAS Touch: Modeling Processor Physics for Extreme …computerlectures.pnnl.gov/pdf/yalamanchili2013.pdf · 2013. 2. 1. · S. Yalamanchili School of Electrical and Computer

2/1/13

15

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Aging and Reliability

W.  Song,  S.  Mukhopadhyay,  and  S.  Yalamanchili,  “Reliability  Implica1ons  of  Power  and  Thermal  Constrained  Opera1ons  in  Asymmetric  Mul1core  Processors,”  ISCA  Dark  Silicon  2012.    

Idle/race  period  ra1o  of  in-­‐order  cores  (le_)  and  out-­‐of-­‐order  cores  (right)  when  low-­‐voltage  execu1on  and  race-­‐to-­‐idle  execu1on  result  in  the  same  degrada1on  level  

Compute-­‐bound  workloads  perform  beJer  with  race-­‐to-­‐idle  execu3ons  

in-­‐order  core  average:  16.6%  

out-­‐of-­‐order  core  average:  6.9%  

29

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Outline

n Motivation: The Impact of Physical Behaviors

n Energy Introspector: Modeling and Simulation of Many Core Architectures

n MIDAS: Modeling for Extreme Scale Systems

30

Page 16: The MIDAS Touch: Modeling Processor Physics for Extreme …computerlectures.pnnl.gov/pdf/yalamanchili2013.pdf · 2013. 2. 1. · S. Yalamanchili School of Electrical and Computer

2/1/13

16

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Moving Forward: MIDAS

31

Probe  Sta3ons:  Chip  and  Board  Level  Probe,  Test,  &  Power  

Measurement  

FPPE  

On-­‐Demand  Cooling  

 Advanced  micro-­‐fabrica3on  for  microfluidics    

FPGA  Implementa3on    

Mul3-­‐scale  Transient  Models  for    Coupled  Mul3-­‐physics  

Emula3ng  the  Physics  

Microarchitecture  Op3miza3on  

Locally  transient    Adapta3ons  

GPU  

CPU  core  

CPU  core  

CPU  core  

CPU  core  

CPU  core  

CPU  core  

cache   cache   cache  

Globally  Transient  Adapta3ons  

Power Model

Functional simulator (Apps, OS)

Cycle-Level Multicore Timing Simulator

PDN Model

Thermal Model

MIDAS  Tasks  

Indicates  exis3ng  infrastructures/models  

System Arch.

Impact

Power Distr.

Network

Power Manage

ment

Novel

Cooling Technology

Co-Design

M. Bakir (ECE), H. Kim (SCS), Y. Joshi (ME), S. Mukhopadhyay (ECE), S. Yalamanchili (ECE)

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Field Programmable Physical Emulator

32

n Emulate the physical properties of a many core chip n Programmable heaters and sensors

n Driven by power traces of real applications (measured or modeled) n Sensors to assess the impact of power management strategies and

reliability

Page 17: The MIDAS Touch: Modeling Processor Physics for Extreme …computerlectures.pnnl.gov/pdf/yalamanchili2013.pdf · 2013. 2. 1. · S. Yalamanchili School of Electrical and Computer

2/1/13

17

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Test Data

33

S. Mukhopadhyay (ECE)

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Integrating Cooling Technologies

34

Multi-scale Transient Thermal Modeling (Y. Joshi)

On-Demand Cooling (M. Bakir)

Page 18: The MIDAS Touch: Modeling Processor Physics for Extreme …computerlectures.pnnl.gov/pdf/yalamanchili2013.pdf · 2013. 2. 1. · S. Yalamanchili School of Electrical and Computer

2/1/13

18

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Research Needs: Adaptation Example

35

T1 T2 T3

Worst case design point

Transparent microarchitecture adaptation

Cooperative HW/SW adaptation

Del

ay V

aria

tion

Temperature

Inst

ruct

ions

/cyc

le

Time

Time Varying Workload

Global Modulator

Power Source

Digital Block1Local Modulator

PowerGate

Digital Block2Local Modulator

PowerGate

Digital Block3Local Modulator

PowerGate

global voltage noise

local voltage noise

PLLCKPLL

CKG

system clock

CKL1

CKL2

CKL3

local voltage noise

local voltage noise

local clock

local clock

local clock

Modulate the arrival time of clock at the flip-flops to track the variation in the critical path delay of a logic block

Adaptive Clocking

Thermal Capacity

Courtesy S. Mukhopadhyay Adaptive Microarchitecture

He Xiao

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Research Needs: Co-Design

n What are the physical consequences of algorithm design decisions?

n With Los Alamos National Laboratory

36

Co-Design Exploration

Novel Cooling

Technology

Thermal Field

Modeling

Power Distr.

Network Power

Management

μarchitecture

Algorithms

Integrated Modeling Environment

Chip Multiprocessor Floor Plan

A. McLaughlin

Page 19: The MIDAS Touch: Modeling Processor Physics for Extreme …computerlectures.pnnl.gov/pdf/yalamanchili2013.pdf · 2013. 2. 1. · S. Yalamanchili School of Electrical and Computer

2/1/13

19

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Next Steps

Full Scale Application

Mini/Proxy Application

Instrumented Application

Abstract Model/Cycle Level Simulation

Abstract Model

Execution

Eiger Database

Model Construction Abstract Model

Abstract Model/SST-Macro

Manual

Automated

Automated

Eiger: Automated Model Construction

37

Statistical Learning Methods

High-Fidelity Model

Representative Applications

Running On Raw Data

Manifold: Trace Driven & Full System Simulation with EI

Navigation?

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Summary

Architecture

Applications

System Software

38

n The physical phenomena should not be masked, but rather integrated into the design

n We need tightly coupled integrated models of software, algorithms, architecture and technology

Technology

Acknowledgements: Graduate Students in CASL: N. Alamoosa, E. Anger, S. Hassan,C. Kersey, A. Lele, S. Li, A. McLaughlin, I. Saeed, W. Song, A. Vanderheyden, J. Wang, H. Wu, X. Xiao, J Young

Page 20: The MIDAS Touch: Modeling Processor Physics for Extreme …computerlectures.pnnl.gov/pdf/yalamanchili2013.pdf · 2013. 2. 1. · S. Yalamanchili School of Electrical and Computer

2/1/13

20

SCHOOL OF ELECTRICAL AND COMPUTER ENGINEERING | GEORGIA INSTITUTE OF TECHNOLOGY

Thank You

Questions?

39