technical photomask japan · 16.00 - 18.30 poster session/display 4a: material & process 4b:...

16
Technical Program Materials of and for Photomasks Fabrication Process Steps and Equipments for Photomasks (process and equipments for developing, etching, cleaning, etc.) Photomask Writing Tools and Technologies Metrology Tools and Technologies Inspection Tools and Technologies Repairing Tools and Technologies Mask Data Preparations EDA and DFM for Photomask Photomasks with RET: PSM, Masks with OPC Photomask-relating Lithography Technologies NGL Masks: EUV, Nano-imprint ML2 etc. Mask Strategies and Business Challenges: Cost, Cycle-Time etc. Conferences: 8–10 April 2009 Annex Hall, Pacifico Yokohama Yokohama, Japan NETWORK WITH PEERS — HEAR THE LATEST RESEARCH Photomask Japan Photomask Japan Symposium on Photomask and NGL Mask Technology XVI Sponsored by: JPM09 Advance-Final v5.indd 1 JPM09 Advance-Final v5.indd 1 3/12/09 10:02:01 AM 3/12/09 10:02:01 AM

Upload: others

Post on 08-Aug-2020

4 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Technical Photomask Japan · 16.00 - 18.30 Poster Session/Display 4a: Material & Process 4b: Writing Technology 4c: Metrology 4d: Inspection Tools & Technologies 4e: Repairing Tools

1spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171

Technical Program

Materials of and for Photomasks

Fabrication Process Steps and Equipments for Photomasks (process and equipments for developing, etching, cleaning, etc.)

Photomask Writing Tools and Technologies

Metrology Tools and Technologies

Inspection Tools and Technologies

Repairing Tools and Technologies

Mask Data Preparations

EDA and DFM for Photomask

Photomasks with RET: PSM, Masks with OPC

Photomask-relating Lithography Technologies

NGL Masks: EUV, Nano-imprint ML2 etc.

Mask Strategies and Business Challenges: Cost, Cycle-Time etc.

Conferences: 8–10 April 2009Annex Hall, Pacifi co YokohamaYokohama, Japan

NETWORK WITH PEERS — HEAR THE LATEST RESEARCH

Photomask Japan Photomask Japan Symposium on Photomask and NGL Mask Technology XVI

Sponsored by:

JPM09 Advance-Final v5.indd 1JPM09 Advance-Final v5.indd 1 3/12/09 10:02:01 AM3/12/09 10:02:01 AM

Page 2: Technical Photomask Japan · 16.00 - 18.30 Poster Session/Display 4a: Material & Process 4b: Writing Technology 4c: Metrology 4d: Inspection Tools & Technologies 4e: Repairing Tools

spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 2

Condensed Schedule

Wednesday 8 April09.00 - 09.10 Opening Remarks09.10 - 10.40 Session 1: Invited Session10.40 - 11.00 Break11.00 - 12.20 Session 2: Material & Process I12.20 - 13.20 Lunch Break13.20 - 15.40 Session 3: Material & Process II15.40 - 16.00 Refreshment Break16.00 - 18.30 Poster Session/Display 4a: Material & Process 4b: Writing Technology 4c: Metrology 4d: Inspection Tools & Technologies 4e: Repairing Tools & Technologies 4f: EUVL Mask 4g: NIL & Patterning Media 4h: Mask-related Lithography 4i: EDA, DFM, & MDP 4j: Strategy & Business18.30 - 20.30 Banquet

Thursday 9 April

09.00 - 10.30 Session 5A: EUVL Mask I10.30 - 10.50 Refreshment Break10.50 - 12.20 Session 6A: EUVL Mask II12.20 - 13.20 Lunch Break13.20 - 15.30 Session 7: EDA, DFM, & MDP15.30 - 15.50 Refreshment Break15.50 - 17.40 Session 8: Metrology17.40 - 18.00 Refreshment Break18.00 - 20.00 Panel Discussion

Friday 10 April09.00 - 10.20 Session 9: Writing Technology10.20 - 10.40 Refreshment Break10.40 - 12.20 Session 10: Inspection & Repair I12.20 - 13.20 Lunch Break13.20 - 14.40 Session 11: Inspection & Repair II14.40 - 15.00 Refreshment Break15.00 - 17.10 Session 12: Mask-related Lithography17.10 - 17.20 Closing Remarks

This program is based on commitments received up to the time of publication and is subject to change without notice.

Photomask Japan

For information

www.spie.org/jpm

ContentsConf. 7379 - Photomask and Next Generation

Lithography Mask Technology XVI . . 3

General Information . . . . . . . . . . . . . . . . . . . . . . . . . 8

Hotel Accommodations Information . . . . . . . . . . . . 9

Hotel Application . . . . . . . . . . . . . . . . . . . . . . . . . . 11

Registration Information . . . . . . . . . . . . . . . . . . . . . 12

Access Maps . . . . . . . . . . . . . . . . . . . . . . . . . . .14-15

09.00 - 10.30 Session 5B: NIL & Patterned Media10.30 - 10.50 Refreshment Break10.50 - 12.30 Session 6B: Strategy & Business12.30 - 13.20 Lunch Break

Concurrent SessionsRoom A Room B

JPM09 Advance-Final v5.indd 2JPM09 Advance-Final v5.indd 2 3/12/09 10:02:02 AM3/12/09 10:02:02 AM

Page 3: Technical Photomask Japan · 16.00 - 18.30 Poster Session/Display 4a: Material & Process 4b: Writing Technology 4c: Metrology 4d: Inspection Tools & Technologies 4e: Repairing Tools

3spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171

Conference 7379Wednesday-Friday 8-10 April 2009 • Proceedings of SPIE Vol. 7379

Photomask and Next Generation Lithography Mask Technology XVISymposium Chair: Toshiyuki Horiuchi, Tokyo Denki Univ. (Japan)

Advisory Committee Chair: Yasuo Tarui, Tokyo Univ. of Agriculture and Technology (Japan)

Advisory Committee: Masanori Komuro, New Energy and Industrial Technology Development Organization (Japan); Masatoshi Migitaka, Toyota Tec hnological Institute (Japan); Masao Otaki, Toppan Printing Co., Ltd. (Japan); Norio Saitou, Nippon Institute of Technology (Japan); Yoshio Tanaka, Luminescent Technologies, Inc. (Japan)

Organizing Committee Chair: Toshiyuki Horiuchi, Tokyo Denki Univ. (Japan)

Organizing Committee Vice-Chair: Masato Shibuya, Tokyo Polytechnic Univ. (Japan)

Organizing Committee: Uwe F. W. Behringer, UBC Microelectronics (Germany); Parkson W. Chen, Taiwan Mask Corp. (Taiwan); Hideaki Hamada, NuFlare Technology Inc. (Japan); Naoya Hayashi, Dai Nippon Printing Co., Ltd. (Japan); Kunihiro Hosono, Renesas Technology Corp. (Japan); Masashi Iwatsuki, JEOL Ltd. (Japan); Hiroichi Kawahira, Sony Corp. (Japan); Masaomi Kameyama, Nikon Corp. (Japan); Ichiro Mori, Semiconductor Leading Edge Technologies, Inc. (Japan); Hiroaki Morimoto, Toppan Printing Co., Ltd. (Japan); Osamu Nagarekawa, HOYA Corp. (Japan); Kenichiro Okuda, SEMI Japan (Japan); Patricia Marmillion, SEMATECH, Inc. (USA); Hiroyuki Shigemura, Semiconductor Leading Edge Technologies, Inc. (Japan); Yoshiki Suzuki, KLA-Tencor Japan Ltd. (Japan); Tadahiro Takigawa, Brion Technologies KK (Japan); Koichiro Tsujita, Canon Inc. (Japan); J. Tracy Weed, Synopsys, Inc. (USA); Masaki Yamabe, Association of Super-Advanced Electronics Technologies (Japan); Anto Yasaka, SII NanoTechnology Inc. (Japan); Nobuyuki Yoshioka, Renesas Technology Corp. (Japan)

Steering Committee Chair: Hiroyuki Shigemura, Semiconductor Leading Edge Technologies, Inc. (Japan)

Steering Committee Vice-Chairs: Morihisa Hoga, Dai Nippon Printing Co., Ltd. (Japan); Tsuneo Terasawa, Semiconductor Leading Edge Technologies, Inc. (Japan)

Steering Committee: Takayuki Abe, NuFlare Technology Inc. (Japan); Naoya Hayashi, Dai Nippon Printing Co., Ltd. (Japan); Kunihiro Hosono, Renesas Technology Corp. (Japan); Naoyuki Ishiwata, Fujitsu Ltd. (Japan); Ichiro Kagami, Sony Corp. (Japan); Kokoro Kato, SII NanoTechnology Inc. (Japan); Toshio Konishi, Toppan Printing Co., Ltd. (Japan); Koichi Moriizumi, Lasertec Corp. (Japan); Takeshi Nakajima, KLA-Tencor Japan Ltd. (Japan); Masatoshi Oda, NTT-AT Nanofabrication Corp. (Japan); Yasushi Ohkubo, HOYA Corp. (Japan); Toshiyuki Takahashi, JEOL Ltd. (Japan); Hiroyoshi Tanabe, Intel Corp. (Japan); Yoji Tonooka, Toppan Printing Co., Ltd. (Japan); Hidehiro Watanabe, Toshiba Corp. (Japan); Kazuo Yokoyama, Cadence Design Systems, Inc. (Japan)

Program Committee Chair: Kunihiro Hosono, Renesas Technology Corp. (Japan)

Program Committee Vice-Chairs: Kokoro Kato, SII NanoTechnology Inc. (Japan); Toshio Konishi, Toppan Printing Co., Ltd. (Japan)

Program Committee: Akihiko Ando, NEC Electronics Corp. (Japan); Han-ku Cho, Sumsung Electronics Co., Ltd. (Korea); Curt Jackson, Toppan Photomasks, Inc. (USA); Jeff N. Farnsworth, Intel Corp. (USA); Thomas B. Faure, IBM Corp. (USA); Takamitsu Furukawa, Oki Electric Industry Co., Ltd. (Japan); Brian J. Grenon, Grenon Consulting, Inc. (USA); Shigeru Hirukawa, Nikon Corp. (Japan); John Lin, Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan); Mark Ma, Photronics (USA); Hiroshi Mohri, Dai Nippon Printing Co., Ltd. (Japan); Koji Murano, Toshiba Corp. (Japan); Yoshikazu Nagamura, Renesas Technology Corp. (Japan); Yoshinori Nagaoka, KLA-Tencor Japan Ltd. (Japan); Yasutoshi Nakagawa, JEOL Ltd. (Japan); Nobuyuki Nishiguchi, Semiconductor Technology Academic Research Ctr. (Japan); Tomoyuki Okada, Fujitsu Ltd. (Japan); Hisatake Sano, Dai Nippon Printing Co., Ltd. (Japan); Frank Schellenberg, Mentor Graphics Corp. (USA); Yoshiyuki Sekine, Canon Inc. (Japan); Yasunari Sohda, Hitachi, Ltd. (Japan); Kong Son, KLA-Tencor Corp. (USA); Osamu Suga, Semiconductor Leading Edge Technologies, Inc. (Japan); Minoru Sugawara, Sony Corp. (Japan); Yoji Takagi, Applied Materials Japan, Inc. (Japan); Yoichi Usui, HOYA Corp.(Japan); Hisashi Watanabe, Matsushita Electric Industrial Co., Ltd. (Japan)

JPM09 Advance-Final v5.indd 3JPM09 Advance-Final v5.indd 3 3/12/09 10:02:02 AM3/12/09 10:02:02 AM

Page 4: Technical Photomask Japan · 16.00 - 18.30 Poster Session/Display 4a: Material & Process 4b: Writing Technology 4c: Metrology 4d: Inspection Tools & Technologies 4e: Repairing Tools

spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 4

Conference 7379

Wednesday 8 April

Opening RemarksRoom: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wed. 09.00 to 09.10

SESSION 1: Invited SessionSession Chairs:

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wed. 09.10 to 10.40

09.10: TBD TSMC (Keynote Presentation), . . . . . . . . . . . . . . . . . . . . . . . . . [7379-01]

09.40: Photomask 2008 Best Paper: Wafer plane inspection evaluated for photomask production (Invited Paper), Emily E. Gallagher, Karen D. Badger, Mark Lawliss, IBM Corp.; Yutaka Kodera, Toppan Electronics Inc. (Japan); Jaione Itrapu-Azpiroz, IBM Microelectronics Div.; Song Pang, Hongqin Zhang, Eugenia D. Eugenieva, Christopher H. Clifford,Arosha W. Goonesekera, Yibin Tian, KLA-Tencor Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-02]

10.10: TBD (Invited:EMLC) (Invited Paper), . . . . . . . . . . . . . . . . . . . . . . . . [7379-03]

Refreshment Break . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10.40 to 11.00

SESSION 2: Material & Process ISession Chairs:

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wed. 11.00 to 12.20

11.00: Plasma characterization of Tetra™ III chrome etch system, M. Grimbergen, K. Yu, B. Leung, M. Chandrachood, A. Ouye, S. Singh, I. Ibrahim, A. Kumar, Applied Materials, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . [7379-04]

11.20: The novel plasma etching process for defect reduction in photomask fabrication, J. H. Lee, I. Y. Jang, Y. S. Jeong, B. H. Seung, S. Y. Moon, S. G. Woo, H. K. Cho, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) . . . . . . . . [7379-05]

11.40: Etch characterization of binary mask dependence on mask material and resist thickness for 22nm mask fabrication, S. Nemoto, Toppan Photomasks, Inc. (United States); T. B. Faure, R. Wistrom, S. Crawford, G. Reid, P. Bartlau, IBM Corp. (United States); T. Komizo, Toppan Photomasks, Inc. (United States); A. E. Zweber, IBM Corp. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-06]

12.00: A complete hardmask solution on Tetra mask etch system, K. Yu, B. Leung, M. Grimbergen, M. Chandrachood, E. Gabriel, J. Tran, B. Eaton, A. Sabharwal, S. Singh, B. Wu, I. Ibrahim, A. Kumar, Applied Materials, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-07]

Lunch Break . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12.20 to 13.20

SESSION 3: Material & Process IISession Chairs:

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wed. 13.20 to 15.40

13.20: Effect of pellicle frame and adhesive material on fi nal photomask fl atness, M. Barrett, M. Caterer, D. Hasselbeck, B. Nolan, K. Racette, N. Zhou, IBM Corp. (United States); T. Mizoguchi, S. Akutagawa, Toppan Electronics, Inc. (United States); G. Dickey, T. Shirasaki, ShinEtsu Corp. (Japan) . . . . . . . . . . . . . . [7379-08]

13.40: Adhesion control between resist patterns and photomask blank surfaces, M. Kurihara, Dai Nippon Printing Co., Ltd. (Japan) and Tokyo Institute of Technology (Japan); S. Hatakeyama, K. Yoshida, D. Totsukawa, Y. Morikawa, H. Mohri, M. Hoga, N. Hayashi, Dai Nippon Printing Co., Ltd. (Japan); H. Ohtani, M. Fujihira, Tokyo Institute of Technology (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-09]

14.00: Investigation of the develop process for high precision patterning, J. Watanabe, T. Yamazaki, M. Tanabe, Toppan Printing Co., Ltd. (Japan); T. Komizo, Toppan Photomask, Inc. (United States); A. E. Zweber, A. C. Smith, IBM Corp. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-10]

14.20: Sulfur-free photomask processing with advanced plasma-based strip and clean technology, T. Liu, C. H. Jeon, R. Yalamanchili, J. Papanu, R. Gouk, Applied Materials, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-11]

14.40: Study on surface integrity in photomask resist strip and fi nal cleaning processes, S. Singh, Hamatech USA Inc. (United States); S. Helbig, P. Dress, HamaTech APE (Germany); U. Dietze, Hamatech USA Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-12]

15.00: Mask cleaning process evaluation and modeling, P. Nesladek, Advanced Mask Technology Ctr. (Germany); S. Osborne, Sigmameltec Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-13]

15.20: Haze growth on reticles - when clean is not enough, S. McDonald, D. Chalom, J. McMurran, Photronics, Inc. (United States) . . . . . . . . . . . . . . . . [7379-14]

Refreshment Break . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15.40 to 16.00

Poster Session

Material & Process

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wed. 16.00 to 18.30

The art of photomask materials for low-k1-193nm-lithography, M. Hashimoto, A. Kominato, H. Mitsui, HOYA Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . [7379-114]

Evaluation of the fl atness effects of mask backing and orientation during photomask pellicle mount, T. Mizoguchi, S. Akutagawa, Toppan Photomask, Inc. (United States); M. Barrett, M. Caterer, B. Nolan, K. Racette, D. Plouffe, N. Zhou, IBM Corp. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-61]

Evaluation for EAPSM life time by ArF pellicle characteristic durability, K. J. Seo, J. S. Ryu, G. M. Jeong, S. C. Kang, S. C. Kim, C. Y. Kim, Hynix Semiconductor Inc. (Korea, Republic of) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-62]

In situ selectivity monitor for dry etch of photomasks, T. Zhou, M. Grimbergen, M. Chandrachood, J. Chen, I. Ibrahim, A. Kumar, Applied Materials, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-63]

Plasma optical emission analysis for chamber condition monitor, Z. Mao, T. Zhou, M. Grimbergen, D. Bivens, J. Chen, I. Ibrahim, A. Kumar, Applied Materials, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-64]

Fine pattern fabrication property of binary mask and attenuated phase shift mask, T. Yamazaki, Y. Kojima, M. Yamana, T. Haraguchi, T. Tanaka, Toppan Printing Co., Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-65]

Applied analytics on EAPSM Cr plasma etch optimization utilizing design of experiment, M. J. Tian, E. Wang, Z. H. Zhu, Semiconductor Manufacturing International Corp. (China) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-67]

Two-fl uid cleaning technology for advanced photomask, T. Kikuchi, N. Kobayashi, Y. Kurkawa, H. Hiroso, M. Nonaka, Shibaura Mechatronics Corp. (Japan)

Study of electric-fi eld-induced-development method, M. Terayama, H. Sakurai, M. Sakai, M. Itoh, O. Ikenaga, Toshiba Corp. (Japan); H. Funakoshi, Tokyo Electron Kyushu Ltd. (Japan); T. Shiozawa, S. Miyazaki, Y. Saito, Tokyo Electron Ltd. (Japan); N. Hayashi, Dai Nippon Printing Co., Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . [7379-68]

Two fl uid cleaning technology for advanced photomask, T. Kikuchi, N. Kobayashi, Y. Kurokawa, H. Hirose, M. Nonaka, Shirbaura Mechatronics Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-115]

Writing Technology

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wed. 16.00 to 18.30

Improvement of data transfer speed and development of an EB data verifi cation system in a VSB mask writer, O. Wakimoto, H. Manabe, H. Hoshi, N. Samoto, T. Komagata, Y. Nakagawa, JEOL Ltd. (Japan); M. Yamabe, Association of Super-Advanced Electronics Technologies (Japan) . . . . . . . . . . . . . . . . . . . . . . . . [7379-70]

Study of line end shortening control by advanced laser writer, T. Chin, Nanya Technology Corp. (Taiwan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-71]

Quantifi cation of e-beam proximity effects using a virtual direct write environment, M. Schulz, Synopsys GmbH (Germany); P. Brooker, Synopsys, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-72]

Metrology

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wed. 16.00 to 18.30

An evaluation of a new side-wall-angle measurement technique for mask patterns by CD-SEM, H. Hakii, I. Yonekura, M. Kawashita, K. Tanaka, Y. Okumoto, Toppan Printing Co., Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-38]

How much is enough? an analysis of CD measurement amount for mask characterization, A. Ullrich, J. Richter, Advanced Mask Technology Ctr. GmbH Co. KG (Germany) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-74]

CD performance evaluation according to advanced marking parameter, S. K. Yoon, S. Y. Kim, S. H. Yun, J. H. Byun, K. M. Yeon, C. Lim, S. J. Choi, L. J. Kim, Toppan Photomasks Korea, Ltd. (Korea, Republic of) . . . . . . . . . . . . . . . . . [7379-75]

Phase-shift/transmittance measurements in a micropattern using MPM193EX, H. Nozawa, T. Ishida, S. Kato, O. Sato, K. Miyazaki, K. Takehisa, N. Awamura, H. Takizawa, H. Kusunose, Lasertec Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . [7379-76]

JPM09 Advance-Final v5.indd 4JPM09 Advance-Final v5.indd 4 3/12/09 10:02:02 AM3/12/09 10:02:02 AM

Page 5: Technical Photomask Japan · 16.00 - 18.30 Poster Session/Display 4a: Material & Process 4b: Writing Technology 4c: Metrology 4d: Inspection Tools & Technologies 4e: Repairing Tools

5spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171

Inspection Tools & Technolgies

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wed. 16.00 to 18.30

Newly-developed function of NPI series mask inspection system, K. Takahara, H. Tsuchiya, H. Nagai, H. Hashimoto, M. Isobe, K. Usuda, NuFlare Technology, Inc. (Japan); N. Kikuiri, Y. Tsuji, S. Imai, I. Isomura, K. Yamashita, Y. Tamura, R. Hirano, Advanced Mask Inspection Technology, Inc. (Japan) . . . . . . . . . . . . . . . . . [7379-78]

An open-architecture approach to defect analysis software for mask inspection systems, M. Pereira, R. Pai, M. M. Reddy, R. M. Krishna, SoftJin Technologies Pvt. Ltd. (India) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-79]

Repairing Tools & Technologies

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wed. 16.00 to 18.30

The study of defect detection method for 32nm technology node and beyond, K. Seki, M. Shibita, S. Akima, Toppan Printing Co., Ltd. (Japan) . . . . . . . . [7379-80]

Variable sensitivity detection (VSD) technology for screening SRAF nuisance defects, K. Yamashita, N. Harabe, M. Hirono, Y. Tamura, I. Isomura, Y. Tsuji, Advanced Mask Inspection Technology, Inc. (Japan); E. Matsumoto, NuFlare Technology, Inc. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-81]

A study of mask inspection method with pattern priority and printability check, M. Tokita, H. Tsuchiya, T. Inoue, T. Inoue, M. Yamabe, Association of Super-Advanced Electronics Technologies (Japan) . . . . . . . . . . . . . . . . . . . . . . . . [7379-82]

A high sensitivity and fast throughput photomask inspection system: TeraScanXR, B. Mu, A. Dayal, P. Lim, A. Goonesekera, KLA-Tencor Corp. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-83]

A noble evaluation method for repaired area utilizing SEM images, K. Morishita, S. Kanamitsu, T. Hirano, S. Tanaka, Toshiba Corp. (Japan) . . . . . . . . . . . . [7379-84]

Semi-automated repair verifi cation of aerial images, E. Poortinga, Carl Zeiss SMT Inc. (United States); T. Scherubl, R. Richter, Carl Zeiss SMS GmbH (Germany) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-85]

Repairing clear defect of Cr-mask using UV laser, J. Chen, J. Shao, J. Yang, Beijing Univ. of Technology (China) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-86]

Mask defect and haze inspection method to modifi cation, S. P. Lu, S. H. Chiou, W. J. Tseng, Rexchip Electronics Corp. (Taiwan) . . . . . . . . . . . . . . . . . . . . [7379-87]

EUVL Mask

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wed. 16.00 to 18.30

Novel absorber materials for EUV lithography mask, T. Matsuo, K. Tanaka, Y. Okumoto, Toppan Printing Co., Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . [7379-88]

The impact of mask design on EUV imaging, T. Schmoeller, Synopsys GmbH (Germany); J. K. Tyminski, Nikon Precision Inc. (United States); W. Demmerle, Synopsys GmbH (Germany) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-89]

Study of CD variation at EUV mask fabrication occurred by electric conduction from top to back side, K. Takai, K. Murano, K. Hagihara, M. Itoh, Toshiba Corp. (Japan); T. Abe, T. Adachi, H. Akizuki, T. Takikawa, H. Mohri, N. Hayashi, Dai Nippon Printing Co., Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-90]

Actinic mask inspection using an extreme ultraviolet microscope, K. Takase, Y. Kamaji, H. Iguchi, Univ. of Hyogo (Japan) and CREST-JST (Japan); K. Hamamoto, HOYA Corp. (Japan) and CREST-JST; T. Harada, T. Watanabe, H. Kinoshita, Univ. of Hyogo (Japan) and CREST-JST (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-91]

Evaluation of EUVL mask pattern defect inspection using 199nm inspection tool with superresolution method, H. Shigemura, Semiconductor Leading Edge Technologies, Inc. (Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-92]

FIB mask repair technology for EUV mask, T. Amano, Y. Nishiyama, H. Shigemura, T. Terasawa, O. Suga, Semiconductor Leading Edge Technologies, Inc. (Japan); K. Shiina, F. Aramaki, A. Yasaka, SII NanoTechnology Inc. (Japan) [7379-93]

Nano tweezers as a particle removal tool for EUVL masks, T. Umemoto, M. Yasutake, F. Aramaki, SII NanoTechnology Inc. (Japan); H. Shigemura, O. Suga, Semiconductor Leading Edge Technologies, Inc. (Japan) . . . . . . . . . . . . . . [7379-94]

EUV-refl ectometry for high volume manufacturing of EUV-masks, C. Wies, A. Farahzadi, R. Lebert, AIXUV GmbH (Germany) . . . . . . . . . . . . . . . . . . . . . . [7379-95]

Go proton: investigation on mask patterning for the 22nm node using a ML2 multi beam system, J. Butschke, M. Irmscher, H. Sailer, IMS Chips (Germany); H. Loeschner, E. Platzgummer, IMS Nanofabrication AG (Austria) . . . . . . . . . [7379-96]

Novel methods of writing complex masks, N. W. Parker, Y. Sato, Multibeam Systems Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-97]

NIL & Patterning Media

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wed. 16.00 to 18.30

Process development of quartz wafer molds for patterned media, T. Chiba, M. Fukuda, M. Ishikawa, K. Itoh, M. Kurihara, M. Hoga, Dai Nippon Printing Co., Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-98]

CAR resolution enhancement for imprinting mold fabrication, K. Hamamoto, H. Iayam, S. Kishimoto, T. Kagatsume, T. Sato, H. Kobayashi, HOYA Corp. (Japan)) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-99]

Progress of UV-NIL template making, T. Hiraka, J. Mizuochi, Y. Sakai, S. Yusa, S. Sasaki, Y. Morikawa, H. Mohri, N. Hayashi, Dai Nippon Printing Co., Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-100]

Mask-related Lithography

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wed. 16.00 to 18.30

Model based assist feature insertion for sub-40nm memory device, S. Suh, S. Lee, S. J. Lee, S. W. Choi, W. Han, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-101]

A study of humidity change effect for 193nm ArF reticle time-dependent haze resistance, F. S. Chu, S. H. Chiou, W. J. Tseng, Rexchip Electronics Corp. (Taiwan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-102]

Investigation of chrome migration under ArF illumination, J. M. Kim, M. Patil, I. B. Hur, S. S. Choi, PKL Co., Ltd. (Korea, Republic of) . . . . . . . . . . . . . . . . . . [7379-103]

Topography effect modeling in OPC, J. Huang, X. Zheng, A. Kazarian, L. Zavyalova, Synopsys, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . [7379-104]

Fully-integrated mask to wafer computational lithography techniques for 32nm to 22nm nodes, V. Kamat, A. Sezginer, B. Yenikaya, J. Carrero, T. Coskun, O. Alexandrov, C. Chuyeshov, Cadence Design Systems, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-105]

EDA, DFM, & MDP

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wed. 16.00 to 18.30

Optimizing computing resources for optimal throughput in a mask data preparation fl ow, R. Bennett, W. Zhang, E. Sahouria, A. Bowhill, P. Ghosh, S. Schulze, Mentor Graphics Corp. (United States) . . . . . . . . . . . . . . . . . . [7379-107]

Model-based assist features, B. Yenikaya, O. Alexandrov, S. Chen, A. Liu, A. Sezginer, Cadence Design Systems, Inc. (United States) . . . . . . . . . . . . . [7379-108]

Improvement of simulation accurancy using a non gaussian kernel, H. Futotsuya, T. Yamamoto, Fujitsu Microelectronics Ltd. (Japan); S. Yoshikaw, Fujitsu VLSI Ltd. (Japan); T. Chijimatsu, S. Asai, Fujitsu Microelectronics Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-116]

Evaluation of mask data format standard OASIS.MASK developed for mask tools, T. Suzuki, Dai Nippon Printing Co., Ltd. (Japan); Y. Nagaoka, KLA-Tencor Japan (Japan); V. Vellanki, W. Ruch, KLA-Tencor Corp. (United States); M. Mori, K. Hattori, K. Hosono, Renesas Technology Corp. (Japan); S. Narukawa, M. Hoga, Dai Nippon Printing Co., Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-109]

Utilization of design intent information for mask manufacturing (II), K. Kato, M. Endo, T. Inoue, M. Yamabe, Association of Super-Advanced Electronics Technologies (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-110]

Study of the pattern matching method in verifi cation of OPC pattern, M. Naoe, T. Miyauchi, Fujitsu VLSI Ltd. (Japan); S. Makino, K. Suzuki, M. Oseki, T. Okada, Fujitsu Microelectronics Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-111]

Strategy & Business

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wed. 16.00 to 18.30

Organized DFM, T. Sato, Toshiba Co., Ltd. (Japan); M. Honma, NEC Electronics Corp. (Japan); H. Itoh, Sharp Corp. (Japan); N. Iriki, Renesas Technology Corp. (Japan); S. Kobayashi, Toshiba Co., Ltd. (Japan); N. Miyazaki, Fujitsu Microelectronics Ltd. (Japan); T. Onodera, Oki Semiconductor Co., Ltd. (Japan); H. Suzuki, SANYO Semiconductor Co., Ltd. (Japan); N. Yoshioka, Renesas Technology Corp. (Japan); S. Arima, Univ. of Tsukuba (Japan); K. Kadota, Advanced Industrial Science and Technology (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-112]

Automated reticle inspection data analysis for wafer fabs, Bryan Reese, KLA-Tencor Corp. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-113]

Conference 7379

JPM09 Advance-Final v5.indd 5JPM09 Advance-Final v5.indd 5 3/12/09 10:02:02 AM3/12/09 10:02:02 AM

Page 6: Technical Photomask Japan · 16.00 - 18.30 Poster Session/Display 4a: Material & Process 4b: Writing Technology 4c: Metrology 4d: Inspection Tools & Technologies 4e: Repairing Tools

spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 6

Sessions 6A and 6B run concurrently.

Thursday 9 April

Sessions 5A and 5B run concurrently.

SESSION 5A: EUVL Mask ISession Chairs:

Room: A . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thurs. 09.00 to 10.30

09.00: SEMATECH EUVL mask program status (Invited Paper), H. Yun, F. Goodwin, S. Huh, K. Orvek, B. Cha, A. Rastegar, P. Kearney, SEMATECH (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-15]

09.30: Actinic EUVL mask blank inspection and phase defect characterization, T. Yamane, T. Iwasaki, T. Tanaka, T. Terasawa, O. Suga, MIRAI Semiconductor Leading Edge Technologies, Inc. (Japan); T. Tomie, National Institute of Advanced Industrial Science and Technology (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . [7379-16]

09.50: EUVL mask blank inspection capability status update at Intel, A. Ma, T. Liang, S. J. Park, G. Zhang, Intel Corp. (United States); T. Tamura, K. Omata, Lasertec Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-17]

10.10: Thorough characterization of an EUV mask, H. Mizuno, Toshiba America Electronic Components, Inc. (United States); G. McIntyre, C. S. Koay, IBM Advanced Lithography Research (United States); M. Burkhardt, IBM Corp. (United States); L. He, SEMATECH, Inc. (United States); J. Hartley, C. Johnson, S. Raghunathan, College of Nano Science and Equipment (United States); K. Goldberg, I. Mochi, Lawrence Berkeley National Lab. (United States); B. LaFontaine, O. Wood II, Advanced Micro Devices, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . [7379-18]

Refreshment Break . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10.30 to 10.50

SESSION 5B: NIL & Patterened MediaSession Chairs:

Room: B . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thurs. 09.00 to 10.30

09.00: TBD (Invited Paper), . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-19]

09.30: Si-mold fabrication for patterned media using high-resolutin chemically amplifi ed resists, M. Fukuda, T. Chiba, M. Ishikawa, K. Ito, M. Kurihara, M. Hoga, Dai Nippon Printing Co., Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-20]

09.50: ZEP520A resolution enhancement for imprinting mold fabrication, H. Iyama, K. Hamamoto, S. Kishimoto, T. Kagatsume, T. Sato, H. Kobayashi, HOYA Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-21]

10.10: Inspection and repair for imprint lithography at 32 nm, K. S. Selinidis, E. Thompson, S. V. Sreenivasan, D. J. Resnick, Molecular Imprints, Inc. (United States); M. Pritschow, J. Butschke, M. Irmscher, H. Sailer, Institut für Mikroelektronik Stuttgart (Germany); H. Dobberstein, NaWoTec GmbH (Germany) . . . . . . [7379-22]

Refreshment Break . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10.30 to 10.50

SESSION 6A: EUVL Mask IISession Chairs:

Room: A . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thurs. 10.50 to 12.20

10.50: Implications of image plane line-edge roughness requirements on extreme ultraviolet mask specifi cations (Invited Paper), P. P. Naulleau, S. A. George, Lawrence Berkeley National Lab. (United States) . . . . . . . . . . . . . [7379-23]

11.20: Mask-induced aberration in EUV lithography, Y. Nakajima, T. Sato, R. Inanami, S. Kyo, T. Nakasugi, T. Higashiki, Toshiba Co., Ltd. (Japan) . . . . [7379-24]

11.40: Evaluation of e-beam correction strategy for compensation of EUVL mask nonfl atness, K. Orvek, J. Sohn, SEMATECH North (United States) . [7379-25]

12.00: Investigation of EUV mask defectivity via full-fi eld printing and inspection on wafer, R. Jonckheere, N. Stepanenko, A. Myers, M. Lamantia, G. Marcuccilli, F. Iwamoto, D. Van Den Heuvel, A. M. Goethals, K. Ronse, IMEC (Belgium) . [7379-26]

Lunch Break . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12.20 to 13.30

SESSION 6B: Strategy and BusinessSession Chairs:

Room: B . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thurs. 10.50 to 12.30

10.50: TBD (Invited Paper), . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-27]

11.20: Smart way to determine and guarantee mask specifi cations - trade-off between cost and quality (Invited Paper), F. Shigemitsu, Toshiba Corp. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-28]

11.50: The economics of automation for the design-to-mask interface, W. Erck, Wes Erck & Associates (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-29]

12.10: Novel mask qualifi cation methodology with die-to-database wafer inspection system, Y. Hagio, I. Nagahama, H. Mukai, K. Hashimoto, Toshiba Co., Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-30]

Lunch Break . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12.30 to 13.20

SESSION 7: EDA, DFM, & MDPSession Chairs:

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thurs. 13.20 to 15.30

13.20: TBD (Invited Paper), . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-31]

13.50: Reduction of MRC error review time through the simplifi ed and classifi ed MRC result, C. W. Lee, F. F. Chen, J. C. Lin, Toppan Chunghwa Electronics Co., Ltd. (Taiwan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-32]

14.10: Multi-core advantages for mask data preparation, J. Yeap, J. Nogatch, Synopsys, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-33]

14.30: GPU-accelerated inverse lithography technique, J. Zhang, W. Xiong, Y. Deng, Y. Peng, Z. Yu, Tsinghua Univ. (China); M. C. Tsai, Brion Technologies, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-34]

14.50: Effect of stepper signature on OPC accuracy, H. T. Huang, A. Sezginer, Cadence Design Systems, Inc. (United States); J. K. Tyminsky, Nikon Precision Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-35]

15.10: Lithography compliance check considering neighboring cell structures for robust cell design, M. Miyairi, S. Nojima, S. Maeda, K. Kodera, R. Ogawa, S. Tanaka, Toshiba Co., Ltd. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-36]

Refreshment Break . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15.30 to 15.50

Conference 7379

JPM09 Advance-Final v5.indd 6JPM09 Advance-Final v5.indd 6 3/12/09 10:02:02 AM3/12/09 10:02:02 AM

Page 7: Technical Photomask Japan · 16.00 - 18.30 Poster Session/Display 4a: Material & Process 4b: Writing Technology 4c: Metrology 4d: Inspection Tools & Technologies 4e: Repairing Tools

7spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171

SESSION 8: MetrologySession Chairs:

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thurs. 15.50 to 17.40

15.50: TBD (Invited Paper), . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-37]

16.20: A new optical measurement method for verifying the exact change of thin fi lms on the QZ blanks with ellipsometer, S. Moon, S. Kim, G. Bang, B. Kim, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) . . . . . . . . . . . . . . . . . [7379-73]

16.40: Calibration strategies for precision stages in state-of-the-art registration metrology, A. Huebel, U. Schellhorn, M. Arnz, G. Klose, Carl Zeiss SMT AG (Germany); D. Beyer, Carl Zeiss SMS GmbH (Germany) . . . . . . . . . . . . . . [7379-39]

17.00: In-die mask registration metrology for 32nm node DPT lithography, F. Laske, K. Adam, M. Heiden, K. Roeth, J. Bender, A. Boesser, KLA-Tencor (Germany); K. Rinn, A. Schepp, University of Applied Science Giessen (Germany) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-40]

17.20: Phase behavior through pitch and duty cycle and its impact on process window, U. Buttgereit, R. Birkner, D. Seidel, S. Perlitz, Carl Zeiss SMS GmbH (Germany); V. Philipsen, P. De Bisschop, IMEC (Belgium) . . . . . . . . . . . . . . [7379-41]

Friday 10 AprilSESSION 9: Writing Technology

Session Chairs:

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Fri. 09.00 to 10.20

09.00: E-beam shot count estimation at 32 nm HP and beyond, J. Choi, S. Lee, D. Nam, B. Kim, S. Woo, H. Cho, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-42]

09.20: Present status of multi-column cell exposure system for mask writing, H. Yasuda, A. Yamada, M. Yamabe, Association of Super-Advanced Electronics Technologies (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-43]

09.40: Mask and wafer evaluation of Sigma7500 pattern generator applied to 65nm logic metal and via layers, I. Shi, F. Liu, E. Guo, Q. Liu, L. Zhu, S. Zhao, Semiconductor Manufacturing International Corp. (China) . . . . . . . . . . . . . [7379-44]

10.00: Reduction of resist charging effect by EB reticle writer EBM-7000, M. Saito, K. Ugajin, O. Ikenaga, Toshiba Corp. (Japan) . . . . . . . . . . . . . . . . . . [7379-45]

Refreshment Break . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10.20 to 10.40

SESSION 10: Inspection & Repair ISession Chairs:

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Fri. 10.40 to 12.20

10.40: Mask-LMC: lithographic simulation and defect detection from high-resolution mask images, G. Chen, J. N. Wiley, J. Wang, R. C. Howell, S. Bai, Y. Chen, F. Chen, Y. Cao, Brion Technologies, Inc. (United States); T. Takigawa, T. Kurosawa, Brion Technologies KK (Japan); H. Tsuchiya, K. Usuda, M. Tokita, NuFlare Technology, Inc. (Japan); F. Ozaki, N. Kikuiri, Y. Tsuji, Advanced Mask Inspection Technology, Inc. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-46]

11.00: Manufacturing implementation of wafer plane inspection on high MEEF devices, H. Baik, J. Choi, Y. Kim, H. Cho, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); V. Vellanki, KLA-Tencor Corp. (United States) . . . . . . . . . . . . [7379-47]

11.20: Intensity based CD uniformity (iCDU) measurement on the TeraScan platform, V. Vellanki, KLA-Tencor Corp. (United States) . . . . . . . . . . . . . . [7379-77]

11.40: High MEEF reticle inspection strategy for 45nm technology and beyond, A. Tchikoulaeva, AMD Saxony LLC & Co. KG (Germany); R. Kirsch, AMD Fab 36 LLC & Co. KG (Germany); S. Winkelmeier, Advanced Mask Technology Ctr. GmbH & Co. KG (Germany) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-49]

12.00: Mask defect auto-disposition based on aerial image in mask production, L. Pang, D. Peng, J. Sun, Luminescent Technologies, Inc. (United States) [7379-50]

Lunch Break . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12.20 to 13.20

SESSION 11: Inspection and Repair IISession Chairs:

Room: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Fri. 13.20 to 14.40

13.20: Airborne molecular contamination detection method for photomasks and ultra-purging decontamination method, H. Kambara, A. Favre, M. Davnet, Adixen by Alcatel-Lucent Company (France); D. Rodier, Particle Measuring Systems, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-51]

13.40: A new approach to reticle defect management, C. Chen, P. Chan, C. M. Liu, C. Yu, KLA-Tencor Taiwan (Taiwan); M. Van Riet, N. Gaspar, KLA-Tencor Corp. (United States); Y. M. Lu, W. H. Hsu, Y. Gau, K. Hsiao, Nanya Technology Corp. (Taiwan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-52]

14.00: Advances in post AFM repair cleaning of photomask with CO2 cryogenic aerosol technology, C. Bowers, J. Zhuge, S. Askin, I. Varghese, A. Campbell, M. Balooch, P. Cheng, W. Brandt, Eco-Snow Systems, LLC (United States) . [7379-53]

14.20: Selective removal of persistent particles with no photomask damage, T. Robinson, R. Bozak, R. White, M. Archuletta, D. Lee, RAVE LLC (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-54]

Refreshment Break . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.40 to 15.00

SESSION 12: Mask-related LithographySession Chairs:

Room: TBD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Fri. 15.00 to 17.10

15.00: TBD (Invited Paper), . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-55]

15.30: Comparison of lithographic performance between MoSi binary mask and MoSi attenuated PSM, M. Yamana, T. Nagatomo, Y. Tonooka, Toppan Printing Co., Ltd. (Japan); M. Lamantia, Toppan Photomasks, Inc. (United States) . . . . . [7379-56]

15.50: Trade-off between lithographic performance and mask cost of masks made by inverse lithography technology, B. Kim, S. Suh, S. Jung, S. Woo, H. Cho, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); V. Tolani, D. Irby, D. Chen, D. Kim, K. Baik, B. Gleason, Luminescent Technologies, Inc. (United States) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-57]

16.10: Double patterning addressing imaging challenges for near and sub k=0.25 node logic devices, B. Seo, D. Kang, M. Noh, Y. Woo, S. Lee, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); K. Lucas, C. Cork, G. Luk Pat, X. Lee, S. Lee, Synopsys Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-58]

16.30: Novel OPC and DfM methodology in 3-D memory device, T. Taguchi, T. Kotani, H. Mukai, H. Mashita, Toshiba Corp. Semiconductor Company (Japan); K. Iyanagi, Toshiba Corp. (Japan); K. Hashimoto, S. Inoue, Toshiba Corp. Semiconductor Company (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [7379-59]

16.50: High sensitivity electric fi eld monitoring system for control of fi eld-induced CD degradation in reticles (EFM), G. Rider, Microtome Precision, Inc. (United Kingdom); T. Sebald, ESTION GmbH & Co. KG (Germany) . . . . . . [7379-60]

Conference 7379

JPM09 Advance-Final v5.indd 7JPM09 Advance-Final v5.indd 7 3/12/09 10:02:02 AM3/12/09 10:02:02 AM

Page 8: Technical Photomask Japan · 16.00 - 18.30 Poster Session/Display 4a: Material & Process 4b: Writing Technology 4c: Metrology 4d: Inspection Tools & Technologies 4e: Repairing Tools

spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 8

Photomask Japan

InsuranceThe organizer cannot accept responsibility for accidents that might occur. Delegates are encouraged to purchase travel insurance before leaving your home country. Insurance plans typically cover accidental loss of belong-ings, medical costs in case of injury or illness, and other possible risks of international travel.

ClimateThe temperature in Yokohama during the period of the conference ranges between 12°C and 20°C.

Currency ExchangeOnly Japanese yen (¥) is acceptable at regular stores and restaurants. Cer-tain foreign currencies and credit cards may be accepted at a limited num-ber of hotels, restaurants and souvenir shops. You can buy yen at foreign exchange banks and other authorized money exchangers on presentation of your passport.

Traveler’s Checks and Credit CardsTraveler’s checks are accepted only by leading banks and major hotels in principal cities, and the use of traveler’s checks in Japan is not as popular as in some other countries. VISA, MasterCard, Diners Club, and American Express are widely accepted at hotels, department stores, shops, restau-rants and nightclubs.

TippingIn Japan, tips are not necessary anywhere, even at hotels and restaurants.

ElectricityElectric current is uniformly 100 volts, AC, throughout Japan, but with two different cycles: 50 in eastern Japan including Yokohama and Tokyo, and 60 in western Japan including Kyoto and Osaka. Leading hotels in major cities have two outlets of 100 and 220 volts but their sockets usually accept a two-leg plug only.

ShoppingShops and other sales outlets in Japan are generally open on Saturdays, Sundays and national holidays as well as weekdays from 10.00 to 20.00. Department stores, however, are closed during one weekday, differing by store, and certain specialty shops may not open on Sundays and national holidays.

General Information

For information

www.spie.org/jpm

Annex Hall, Pacifi co Yokohama1-1-1 Minato Mirai, Nishi-Ku, Yokohama 220-0012Phone:+81-45-221-2155

Registration and Information HoursRegistration fee will increase to ¥60,000 March 23, 2009 onwards.Pre-registration is highly recommended due to the expected large number of participants. 1 April onwards, all attendees must register on-site. On-site registration is accepted following Registration Desk Opening Hours.Name badges are required for admittance to the Symposium and the Tech-nical Exhibition.

Registration DeskLocated at 2F, Annex Hall, Pacifi co YokohamaTuesday, April 7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17.00 to 18.00Wednesday, April 8 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.00 to 18.00Thursday, April 9 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.30 to 18.00Friday, April 10 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.30 to 15.30

Session Registration Desk for SpeakersLocated side of the Session room at Annex Hall, Pacifi co YokohamaWednesday, April 8 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.00 to 15.00Thursday, April 9 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.30 to 16.00Friday, April 10 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.30 to 15.00

Passport and VisaTo visit Japan, you must have a valid passport. A visa is required for citi-zens of countries that do not have visa-exempt agreements with Japan. Please contact the nearest Japanese Embassy or Consulate for visa re-quirements.

Duty Free ImportPersonal effects and professional equipment can be brought into Japan duty free as long as their contents and quantities are deemed reasonable by the customs offi cer. You can also bring in 400 cigarettes, 500 grams of to-bacco or 100 cigars; 3 bottles of alcoholic beverages; 2 ounces of perfume; and gifts and souvenirs whose total market price is less than 200,000 yen or its equivalent. There is no allowance for tobacco or alcoholic beverages for persons aged 19 years or younger. Strictly prohibited are fi rearms and other types of weapons, and narcotics.

Symposium on Photomask and NGL Mask Technology XVIPhotomask Japan

JPM09 Advance-Final v5.indd 8JPM09 Advance-Final v5.indd 8 3/12/09 10:02:02 AM3/12/09 10:02:02 AM

Page 9: Technical Photomask Japan · 16.00 - 18.30 Poster Session/Display 4a: Material & Process 4b: Writing Technology 4c: Metrology 4d: Inspection Tools & Technologies 4e: Repairing Tools

9spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171

Hotel Accommodations

JPM09 Advance-Final v5.indd 9JPM09 Advance-Final v5.indd 9 3/12/09 8:10:35 AM3/12/09 8:10:35 AM

Page 10: Technical Photomask Japan · 16.00 - 18.30 Poster Session/Display 4a: Material & Process 4b: Writing Technology 4c: Metrology 4d: Inspection Tools & Technologies 4e: Repairing Tools

spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 10

Hotel Accommodations

JPM09 Advance-Final v5.indd 10JPM09 Advance-Final v5.indd 10 3/12/09 8:10:35 AM3/12/09 8:10:35 AM

Page 11: Technical Photomask Japan · 16.00 - 18.30 Poster Session/Display 4a: Material & Process 4b: Writing Technology 4c: Metrology 4d: Inspection Tools & Technologies 4e: Repairing Tools

11spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171

Application for Hotel Accommodations

JPM09 Advance-Final v5.indd 11JPM09 Advance-Final v5.indd 11 3/12/09 8:10:35 AM3/12/09 8:10:35 AM

Page 12: Technical Photomask Japan · 16.00 - 18.30 Poster Session/Display 4a: Material & Process 4b: Writing Technology 4c: Metrology 4d: Inspection Tools & Technologies 4e: Repairing Tools

spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 12

Registration Information

JPM09 Advance-Final v5.indd 12JPM09 Advance-Final v5.indd 12 3/12/09 8:10:35 AM3/12/09 8:10:35 AM

Page 13: Technical Photomask Japan · 16.00 - 18.30 Poster Session/Display 4a: Material & Process 4b: Writing Technology 4c: Metrology 4d: Inspection Tools & Technologies 4e: Repairing Tools

13spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171

Registration Information

JPM09 Advance-Final v5.indd 13JPM09 Advance-Final v5.indd 13 3/12/09 8:10:36 AM3/12/09 8:10:36 AM

Page 14: Technical Photomask Japan · 16.00 - 18.30 Poster Session/Display 4a: Material & Process 4b: Writing Technology 4c: Metrology 4d: Inspection Tools & Technologies 4e: Repairing Tools

spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 14

Access Map

JPM09 Advance-Final v5.indd 14JPM09 Advance-Final v5.indd 14 3/12/09 8:10:36 AM3/12/09 8:10:36 AM

Page 15: Technical Photomask Japan · 16.00 - 18.30 Poster Session/Display 4a: Material & Process 4b: Writing Technology 4c: Metrology 4d: Inspection Tools & Technologies 4e: Repairing Tools

15spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171

Access Map

JPM09 Advance-Final v5.indd 15JPM09 Advance-Final v5.indd 15 3/12/09 8:10:36 AM3/12/09 8:10:36 AM

Page 16: Technical Photomask Japan · 16.00 - 18.30 Poster Session/Display 4a: Material & Process 4b: Writing Technology 4c: Metrology 4d: Inspection Tools & Technologies 4e: Repairing Tools

spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 16

Technical Program

NETWORK WITH PEERS — HEAR THE LATEST RESEARCH

For information

www.spie.org/jpm

Conferences: 8–10 April 2009Annex Hall, Pacifi co YokohamaYokohama, Japan

Photomask Japan Photomask Japan Symposium on Photomask and NGL Mask Technology XVI

P.O. Box 10Bellingham, WA 98227-0010 USA

Non-Profi t Org.U.S. Postage

PaidSPIE

JPM09 Advance-Final v5.indd 16JPM09 Advance-Final v5.indd 16 3/12/09 8:10:36 AM3/12/09 8:10:36 AM