study on polymers with implementation in electron …...polymer science: research advances,...

10
Study on polymers with implementation in electron beam lithography I. Kostic 1 , K. Vutova 2 , E. Koleva 2 , R. Andok 1 , A. Bencurova 1 , A. Konecnikova 1 , G. Mladenov 2 1 Institute of Informatics, Slovak Academy of Sciences, Dubravska cesta 9, 84507 Bratislava, Slovakia 2 Institute of Electronics, Bulgarian Academy of Sciences, 72 Tzarigradsko shosse, 1784 Sofia, Bulgaria In this chapter, we present a study on three polymer resists that are important for electron beam lithography (EBL) and we demonstrate their successful application for nano-structuring and EBL process optimization. The experiments performed showed that the resists behavior, including their sensitivity and contrast, is influenced by the energy of the electrons, by the nature of the resists and underlying substrate, by the type and composition of the developer, as well as by the overall conditions of development. Data for the space distribution of energy deposition function in the investigated resists were obtained by Monte Carlo simulations. Simulation of resists’ characteristics is performed and neural models for prediction of developed resist profiles are estimated for parameter optimization and control of structure dimentions in the resists. Keywords: electron beam lithography; electron beam polymer resist; PMMA; CSAR62; SU-8; Monte Carlo simulation; neural networks 1. Introduction Nowadays various lithographic techniques for the fabrication of small features at nanoscale dimensions are developed and employed. Conventional methods like photolithography, immersion ArF lithography extensions, charge particle lithography with electrons or ions are widely used in R&D. Extreme UV and electron multi-beam lithography are candidates for semiconductor production (currently 22 nm node). Remarkable effort is devoted to the development of nonconventional methods like scanning probe nanolithography, nanoimprint lithography and self-assembled monolayers. Among all nanofabrication methods, the resist-based EBL seems to be a most widely employed and flexible technique when nanostructures are to be produced for R&D, for prototyping, production of photomask or imprint mold. Wide range of applications includes the development of sensors, nanophotonic devices, high frequency electronics, spintronics, molecular electronics, Bit-patterned media, quantum dots, nanowires, carbon nanotube based devices, nanomechanical devices, etc. EBL allows the direct writing of nanostructures with dimensions standartly below 100 nm and even down to sub-10 nm dimensions.Achieving sub-100 nm structures using EBL is a very sensitive process determined by various factors, starting with the choice of polymer resist material and ending with the development process. Resist materials are crucial elements in EBL and their performance determines the final results of the structures patterning. Our aim in this chapter is to characterize three electron sensitive polymer resists: two positive tone resists polymethyl-methacrylate (PMMA) (Microchem) and AR-P 6200 (CSAR 62) (Allresist), and an epoxy-based negative resist SU-8 (Microchem), respectively. 2. Electron beam lithography Electron beam lithography is based on physico-chemical changes in the resist thin layer. The commonly used resists are polymers dissolved in a liquid solvent with small molecule additives to enhance the lithographic performance of the material. During the electron beam exposure, the result of inelastic collisions of electrons with the resist is the ionization (secondary electron generation), where an incoming electrons provides enough energy to cause an electron to be removed from an atom. In polymers, these lead to many different chemical reactions, which are classified as either chain-scission or crosslinking reactions. The scission and crosslinking reactions are schematically shown in Fig. 1. In case of chain-scission, a polymer chain is broken up into much smaller pieces. This reduces the molecular weight of the resist, which makes it more soluble in an organic solvent (developer). The exposed area is dissolved away, leaving unexposed areas of the resist to form the designed pattern. In this case, polymer is used as a positive resist. Polymer science: research advances, practical applications and educational aspects (A. Méndez-Vilas; A. Solano, Eds.) _______________________________________________________________________________________________ 488

Upload: others

Post on 13-Jul-2020

0 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Study on polymers with implementation in electron …...Polymer science: research advances, practical applications and educational aspects (A. Méndez-Vilas; A. Solano 490 Advantages

Study on polymers with implementation in electron beam lithography

I. Kostic1, K. Vutova2, E. Koleva2, R. Andok1, A. Bencurova1, A. Konecnikova1, G. Mladenov2 1Institute of Informatics, Slovak Academy of Sciences, Dubravska cesta 9, 84507 Bratislava, Slovakia 2Institute of Electronics, Bulgarian Academy of Sciences, 72 Tzarigradsko shosse, 1784 Sofia, Bulgaria

In this chapter, we present a study on three polymer resists that are important for electron beam lithography (EBL) and we demonstrate their successful application for nano-structuring and EBL process optimization. The experiments performed showed that the resists behavior, including their sensitivity and contrast, is influenced by the energy of the electrons, by the nature of the resists and underlying substrate, by the type and composition of the developer, as well as by the overall conditions of development. Data for the space distribution of energy deposition function in the investigated resists were obtained by Monte Carlo simulations. Simulation of resists’ characteristics is performed and neural models for prediction of developed resist profiles are estimated for parameter optimization and control of structure dimentions in the resists.

Keywords: electron beam lithography; electron beam polymer resist; PMMA; CSAR62; SU-8; Monte Carlo simulation; neural networks

1. Introduction

Nowadays various lithographic techniques for the fabrication of small features at nanoscale dimensions are developed and employed. Conventional methods like photolithography, immersion ArF lithography extensions, charge particle lithography with electrons or ions are widely used in R&D. Extreme UV and electron multi-beam lithography are candidates for semiconductor production (currently 22 nm node). Remarkable effort is devoted to the development of nonconventional methods like scanning probe nanolithography, nanoimprint lithography and self-assembled monolayers. Among all nanofabrication methods, the resist-based EBL seems to be a most widely employed and flexible technique when nanostructures are to be produced for R&D, for prototyping, production of photomask or imprint mold. Wide range of applications includes the development of sensors, nanophotonic devices, high frequency electronics, spintronics, molecular electronics, Bit-patterned media, quantum dots, nanowires, carbon nanotube based devices, nanomechanical devices, etc. EBL allows the direct writing of nanostructures with dimensions standartly below 100 nm and even down to sub-10 nm dimensions.Achieving sub-100 nm structures using EBL is a very sensitive process determined by various factors, starting with the choice of polymer resist material and ending with the development process. Resist materials are crucial elements in EBL and their performance determines the final results of the structures patterning. Our aim in this chapter is to characterize three electron sensitive polymer resists: two positive tone resists polymethyl-methacrylate (PMMA) (Microchem) and AR-P 6200 (CSAR 62) (Allresist), and an epoxy-based negative resist SU-8 (Microchem), respectively.

2. Electron beam lithography

Electron beam lithography is based on physico-chemical changes in the resist thin layer. The commonly used resists are polymers dissolved in a liquid solvent with small molecule additives to enhance the lithographic performance of the material.

During the electron beam exposure, the result of inelastic collisions of electrons with the resist is the ionization (secondary electron generation), where an incoming electrons provides enough energy to cause an electron to be removed from an atom. In polymers, these lead to many different chemical reactions, which are classified as either chain-scission or crosslinking reactions. The scission and crosslinking reactions are schematically shown in Fig. 1. In case of chain-scission, a polymer chain is broken up into much smaller pieces. This reduces the molecular weight of the resist, which makes it more soluble in an organic solvent (developer). The exposed area is dissolved away, leaving unexposed areas of the resist to form the designed pattern. In this case, polymer is used as a positive resist.

Polymer science: research advances, practical applications and educational aspects (A. Méndez-Vilas; A. Solano, Eds.) _______________________________________________________________________________________________

488

Page 2: Study on polymers with implementation in electron …...Polymer science: research advances, practical applications and educational aspects (A. Méndez-Vilas; A. Solano 490 Advantages

Fig. 1 Scission and cross-linking reactions in polymer resists [2]. If crosslinking polymers form three-dimensional structures during an electron beam exposure, then the exposed areas are insoluble in a solvent. In such case polymers are used as a negative resist. The lithography process consists of the following technological steps: Surface preparation (cleaning, dehydratation, adhesion promoter application), resist coating (spin coating, spray coating, pre-bake (soft bake), exposure, post-exposure bake (PEB), development, post-bake (hard bake), processing the resist as a masking film, resist stripping, post process cleaning (ashing) [1]. General scheme of the resist based electron beam lithography process is shown in Fig. 2. Electron energy can be varied from 1 keV up to 100 keV, however, for high-resolution patterning is convenient 30, 50 and 100 keV, depending on equipment complexity.

Fig. 2 General scheme of the lithography process. Typical resist profiles in electron beam positive and negative resists are shown in Fig. 3. In both cases, single line was exposed by electron beam with Gaussian distribution of electron energy at 30 kV acceleration voltage, beam current 140 pA, line spacing 21 nm. After development, substrate was broken to allow investigate resist profile in scanning electron microscope. In Fig. 3 a) is the profile of 600 nm thin positive resist CSAR62 on silicon substrate, exposure dose 315 pC/cm, linewidth on the top is 670 nm and at the bottom 850 nm. Nonlinear sloped sidewalls are caused by electron scattering in the resist/substrate material. In Fig. 3 b) is the same for 600 nm thin negative resist SU-8 on silicon substrate, exposure dose 9,6 pC, the resist thickness at this dose is 450 nm, linewidth on the top is 57 nm and at the bottom 91 nm.

Fig. 3 Resist profile of 600 nm thin positive resist CSAR62 on silicon substrate at exposure dose 315 pC/cm (a), and 600 nm thin negative resist SU-8 on silicon substrate, exposure dose 9,6 pC/cm (b). To correct the pattern distortions caused by electron scattering in the resist/substrate material, estimation of the lithographic parameters is required to get input parameters for appropriate correction method.

Polymer science: research advances, practical applications and educational aspects (A. Méndez-Vilas; A. Solano, Eds.) _______________________________________________________________________________________________

489

Page 3: Study on polymers with implementation in electron …...Polymer science: research advances, practical applications and educational aspects (A. Méndez-Vilas; A. Solano 490 Advantages

3. Electron beam resist materials

The ultimate resolution of electron beam lithography is not set by the resolution of electron optical systems, which can approach 0.1 nm, but by the resolution of the resist and by the subsequent fabrication process [3], therefore superior resists are crucial for the application of e-beam lithography. Electron beam resists for direct writing EBL (EBDW) and advanced mask-making with high-voltage electron beam writing tools have undergone dramatic changes over the last three decades [4]. The development of electron beam resist systems has been focused on improving the sensitivity, resolution and etch resistance of the resist materials. From PMMA [5] and the other early chain-scission resists for micron dimensions to the aqueous-base-developable, dry-etchable chemically amplified systems (CARs) [6] being developed today, careful tuning of the chemistry and processing conditions of these resist systems has allowed the patterning of structures of increasing complexity, containing much finer features. Table 1 List of resists in common use [7].

Electron beam resist Supplier Tone Sensitivity [C/cm2] at 100 keV

Characteristics

PMMA (high Mw) Microchem Positive 900 High resolution, top in bilayer

PMMA (low Mw) Microchem Positive 800 High resolution, bottom in bilayer Copolymer (MMA/MAA)

Microchem Positive 300 Bottom in bilayer – undercutt for lift-off

ZEP520 ZEON Chemicals

Positive 300 High resolution, good etch resistance

ZEP7000 ZEON Chemicals

Positive 80 Fast, Mask making

NEB31 Sumika Electr. Materials

Negative 80 Fast, chemically amplified

HSQ Dow Corning Negative 1000 High resolution, good etch resistance Calixarene Synthesized Negative 10 000 High resolution, good etch resistance

The key features of lithography resist are sensitivity to the exposing radiation, good contrast ( value = differential dissolution speeds between exposed and unexposed regions), high resolution capability, exposure/dose latitude, adhesion to substrate, compatibility with standard aqueous developers (TetraMethyl Amonium Hydroxyde - TMAH), stability (thermal, environmental, delay - shelf life), resistivity against subsequent technological processes (e.g., reactive ion etching) [1]. The performance characteristics are mainly determined by the base polymer in the resist, but also tools and process conditions. There is quite a large amount of various resists with different properties, which require different chemicals for development and lift-off. Table 1 shows a short list of resists in common use. In this chapter, the performance of the PMMA, CSAR62 and SU-8 electron beam resists deposited on silicon substrate has been investigated with respect to resists sensitivity, contrast, and the conditions of development.

3.1 Positive electron beam resist PMMA

One of the first materials developed for e-beam lithography was polymethyl methacrylate (PMMA) [5]. It is a widely used organic resist with important applications in nanolithography for nanostructure pattern transfer. PMMA resists with molecular formula [CH2C(CH3) (CO2CH3)] are polymers dissolved in either chlorobenzene, or safer anisole solvent. Other solvents are ethyl lactate and 1-methoxy-2-propyl acetate [8]. Standard PMMA products are formulated with 495,000 and 950,000 molecular weight (MW), and custom MW products are ranging from 50,000 - 2.2 million MW [9]. In PMMA, the exposure induces the scissions of the chain of methacrylic monomers that constitute the resist material. The main chain-scission reaction is shown in Fig. 4.

Fig. 4 Chemistry of PMMA reaction [2].

Polymer science: research advances, practical applications and educational aspects (A. Méndez-Vilas; A. Solano, Eds.) _______________________________________________________________________________________________

490

Page 4: Study on polymers with implementation in electron …...Polymer science: research advances, practical applications and educational aspects (A. Méndez-Vilas; A. Solano 490 Advantages

Advantages of PMMA positive electron beam resist include the large range of molecular weight (50,000–2.2 million), the ultimate resolution (less than 10 nm), high contrast, uniform resist coating, long shelf life and good adhesion to most substrates. The main disadvantages, on the other hand, are low sensitivity and poor dry etch resistance.

3.2 Positive electron beam resist AR-P 6200 (CSAR 62)

CSAR 62 (AR-P 6200) (Allresist) is based on styrene acrylates and is dissolved in the solvent anisole [10, 11]. Polymer layers are furthermore thermally stable up to 240°C; the glass transition temperature is 148°C. In comparison with PMMA resists, the CSAR 62 is characterised by a higher sensitivity and substantially improved plasma etch resistance. The main components of the resist are poly(α-methylstyrene-co-methyl chloroacrylate), an acid generator and the solvent anisole [10]. The higher sensitivity results from an introduction of halogen atoms into the polymer chain. Generally, chlorine is used for this purpose (as also for the CSAR 62 – methyl chloroacrylate), but bromine or iodine are also possible. The chlorine atoms support breaking of the polymer chain during irradiation by electrons. In addition, a halogen-containing acid generator enhances this effect. The introduction of further reactive halogens accelerates the attack on the polymer chain even more. As a result, less energy (a lower dose) is required to break the high-molecular polymer into smaller fragments. These fragments quickly dissolve in the developer, while the unexposed, still high-molecular resist areas, are not attacked by the developer [10].

Supporting the fraction of the chain by addition of a temperature-stable acid generator gave this resist its name – Chemical Semi Amplified Resist (CSAR). Since the acid generator is activated during the process of electron irradiation, layers require no tempering after exposure. The improved plasma etch resistance results from the introduction of aromatic substituents such as e.g. phenyl, naphthyl or anthracyl groups into the polymer. The CSAR furthermore contains α-methylstyrene to provide etch stability. Due to their π-electrons, aromates are considerably more stable in the presence of different plasmas as compared to aliphatic polymers like PMMA, and thus reach the same level of stability like photoresists. Photoresists are mainly composed of novolacs (cresole mixtures condensed with formaldehyde) that possess, due to the cresoles, a high content of aromates and are therefore more stable during plasma etching processes [10].

3.3 Negative electron beam resist SU-8

SU-8 negative resist (Microchem) is a chemically amplified, epoxy based negative UV-photoresist [12]. Advantages of this resist include very high sensitivity and good dry etch selectivity. On the other hand, the main disadvantages are low resolution and poor line edge roughness. Scheme of SU-8 molecule is shown in Fig. 5.

The properties of epoxy-novolack Epon SU-8 are low molecular weight, good solubility, high transparency, glass and film formation, low glass transition temperature (Tg), attainable highly viscous solutions, ultra thick layers up to 500 mm by single coating, highly uniform coating, low edge bead, vertical sidewalls (UV lithography), aspect ratio > 15 (UV lithography), an excellent chemical resistance and good biocompatibility.SU-8 resist is sensitive to electron. The SU-8 resist is most commonly known as a negative resist for a conventional UV lithography (350-400 nm), however recently capabilities of SU-8 as negative e-beam lithography resist have been discovered [13].

Fig. 5 SU-8 molecule with epoxy groups [14].

Polymer science: research advances, practical applications and educational aspects (A. Méndez-Vilas; A. Solano, Eds.) _______________________________________________________________________________________________

491

Page 5: Study on polymers with implementation in electron …...Polymer science: research advances, practical applications and educational aspects (A. Méndez-Vilas; A. Solano 490 Advantages

4. Simulation and estimation of characteristics of PMMA, AR-P 6200 (CSAR 62) and SU-8 resists and modeling of dissolution rates

4.1 Simulation and estimation of proximity effect parameters

The spatial distribution of the deposited energy density is the most important characteristic (value) that determines the characteristics of the obtained latent image during the e-beam exposure process [17]. We have simulated electron scattering in the resist/substrate material and the electron energy deposition function (EDF) in CSAR positive resist and in SU-8 negative resist were calculated, applying our Monte Carlo simulation tool [15,16] for 10 000 particles and a point source. The initial energies of the incident electrons were 30 keV. Discrete data for EDF at the interface (at a depth of 600 nm) and near to the resist surface (at a depth of 10 nm) were calculated. Analytical approximation of the discrete data obtained for the EDF was performed using a sum of two Gaussians. The results at the resist/substrate interface are shown in Fig. 6.

Fig. 6 Comparison between the obtained discrete data (▪) and the analytical fit (solid curve) at the resist/substrate interface for: (a) CSAR/Si; (b) SU-8/Si.

The backscattered electrons’ influence on the accurate definition of the structures patterned in the resist layer was evaluated. Here, the proximity effect (PE) parameters (βf, βb, ηE) were estimated for 600 nm thicknesses of the CSAR resist and of the SU-8 resist layer on Si substrates. The PE parameters along the resist depth are investigated and the variations of their values are evaluated by Monte Carlo methodology [15, 16]. Tables 2 and 3 show values of the PE parameters (βf, βb, ηE) at two resist depths – at the resists surface and at the resists/substrate interface for the CSAR and SU-8 for a point beam source.

Table 2 Proximity effect parameters at the resist surface and at the CSAR/Si interface.

Parameter at the resist surface at the interface

βf .2444011000E-01 [μm] .5915079000E-01 [μm]

βb .3047336000E+01 [μm] .2852927000E+01 [μm]

ηE .3676813000E+00 .4755687000E+00

Table 3 Proximity effect parameters at the resist surface and at the SU-8/Si interface.

Parameter at the resist surface at the interface

βf .2846719000E-01 [μm] .4391823000E-01 [μm] βb .3140367000E+01 [μm] .3164110000E+01 [μm] ηE .3454965000E+00 .4536715000E+00

4.2 Modeling of dissolution rate

Models for the dissolution rates (V, nm/s) depending on the change of the exposure doses (Q, C/cm2) for the investigated CSAR 62 and SU-8 polymer resists are estimated. In Table 4 the obtained models for V depending on the doses Q for both resists CSAR 62 and SU-8 are presented.

Polymer science: research advances, practical applications and educational aspects (A. Méndez-Vilas; A. Solano, Eds.) _______________________________________________________________________________________________

492

Page 6: Study on polymers with implementation in electron …...Polymer science: research advances, practical applications and educational aspects (A. Méndez-Vilas; A. Solano 490 Advantages

Table 4 Models for the dissolution rates V depending on the exposure doses Q.

Resists and conditions Models R2

RSME

CSAR 62, development time - 60 s., resist thickness - 600 nm, exposure doses from 1.964 to 39.285 C/cm2.

V = 0.0002135*Q3 - 0.00316*Q2 + 0.0006693*Q + 1.739

99.93% 0.07244

SU-8, development time - 60 s., resist thickness - 600 nm, exposure doses from 0.24 to 1.63 C/cm2.

V = 1.342*1010*exp(-((Q + 0.004803) / 0.05117)2) + 17*exp(-((Q + 0.008763)/ 0.2424)2) + 5.728*1014*exp(-((Q + 39.61)/ 6.821)2)

99.95% 0.07798

In Table 4 the squared multiple correlation coefficient R, which is a measure for the models accuracy (the closer the coefficient R2 value is to 100%, the better is the model) are also presented. The root mean square prediction error RSME is calculated by:

1,

where n is the number of experimental data for the dissolution rates Vi, are the estimated by the equations in Table 4 dissolution rates. Obtained results show that the estimated models are adequate and good enough for prediction of the dissolution rates of the investigated resists. Fig. 7 shows the dependencies of the dissolution rates on the exposure doses for both resists.

a) b)

Fig. 7 Dissolution rates V vs. exposure doses Q for: a) CSAR 62 resist, 60 s; b) SU-8 resist, 60 s.

5. Neural models for the geometry characteristics of developed PMMA resist profiles

5.1 Experimental conditions

A layout of five parallel lines (Fig. 8) with 0.3 μm width, situated 0.4 μm from each other, is obtained through exposure and development of the positive resist PMMA on Si substrate. The developer used is methyl-isobutyl-ketone (MIBK), isopropyl alcohol (IPA), MIBK / IPA 1:3 solution. Table 5 Variation range of the process parameters.

Parameter Minimum, xmin,i Maximum, xmax,i

Acceleration voltage - [keV] x1 20 24 Resist thickness - [m] x2 0.3 0.5 Development time - [s] x3 240 360 Exposure Dose – [C/cm2] x4 900 1300

Performance characteristics considered are the geometry characteristics of the developed resist (Fig. 9):

width at the top - y1 [mm] - width at a height of 55% of the initial resist thickness from the substrate; width at the bottom– y2 [mm] - width at a height of 5% of the initial resist thickness from the substrate; width at the substrate – y3 [mm] - width at the substrate; average sidewall angle of the developed resist profile channel y4 [°] – the average of the y4

1 and the y42 sidewall

angles, measured between heights of 5% and 90% with respect to the initial resist thickness from the substrate; thickness loss – y5 [%] of the developed resist profile – percent with respect to the nominal resist thickness d0.

Polymer science: research advances, practical applications and educational aspects (A. Méndez-Vilas; A. Solano, Eds.) _______________________________________________________________________________________________

493

Page 7: Study on polymers with implementation in electron …...Polymer science: research advances, practical applications and educational aspects (A. Méndez-Vilas; A. Solano 490 Advantages

Fig. 8 Exposed resist structure. Fig. 9 Geometry of the cross-section of the developed resist.

5.2 Neural network models

Feed-forward neural network (FNN), also referred to as multilayer perceptrons (MLPs), has drawn great interests over the last decades for its distinction as a universal function approximator [18]. As an important intelligent computation method, FNN has been applied to a wide range of applications, including curve fitting, pattern classification and nonlinear system identification, etc. [19]. The proposed methodology for developing NN based models for EBL performance characteristics consists of the following general steps: • Construction of the neural network model structure. • Training of the created neural network by using the back propagation method and experimentally obtained (and/or numerically simulated) set of training data to a satisfactory accuracy. • Recall of the trained neural network for prediction and parameter optimization. Neural networks are trained [20, 21], by using a training sample of 70 simulated experimental data. Additionally 15 independent experiments are conducted in order to verify the models. There are five neural models for each of the geometric characteristics of the PMMA resist profiles, respectively, with 4 inputs and one output each. The structure of the models is a two-layer feed-forward neural network, which has sigmoid transfer functions for the neurons in its hidden layer and a linear output. The training algorithm with back propagation of the error of Levenberg-Marquardt [20, 21] is implemented. A procedure to determine the optimal number of neurons in the hidden layer is performed and a structure with 6 hidden neurons is selected based on the results of the analysis of the obtained data. For comparison and accuracy estimation of the neural network models the absolute value of the error, calculated as the difference between the predicted and the measured values of the profile geometry characteristics, as well as the root mean squared error (RMSE) are used. Table 6 RSME for estimated neural models

Parameter RSME

Training (70 exp.)

Validation (15 exp.)

Recall (15 exp.)

Width at the top – y1 [mm] 0.0063 0.0063 0.0060 Width at the bottom – y2 [mm] 0.0093 0.0076 0.0115 Width at the substrate – y3 [mm] 0.0050 0.0105 0.0267 Average sidewall angle – y4 [°] 0.8005 0.9481 1.1929 Thickness loss – y5 [%] 0.5808 0.6912 1.0562

The estimation of the average sidewall angle y4 and the thickness loss y5 are the geometry characteristics with largest values of RSME (Table 6). Therefore, at optimisation of the resist profiles, it is recommended to use the other geometry parameters – widths at the top, at the bottom and at the substrate. Fig. 10 presents contour plots for the investigated geometry characteristics of the cross-sections of the resist profiles, depending on the variation of the acceleration voltage and the resist thickness. The values of the other two process parameters are kept constant: development time x3 = 300 s and the exposure dose x4 = 1100 C/cm2. It can be seen that at these conditions the regions with parallel side-walls or average sidewall angle y4 near to 90° are obtained for the highest acceleration voltages and for the smallest resist thicknesses (x1 = 24 keV and x2 = 0.3 m). The narrowest and almost equal widths at the top, at the bottom and at the substrate are obtained there. The thickness loss obtained is between 18% and 20% of the initial resist thickness.

Polymer science: research advances, practical applications and educational aspects (A. Méndez-Vilas; A. Solano, Eds.) _______________________________________________________________________________________________

494

Page 8: Study on polymers with implementation in electron …...Polymer science: research advances, practical applications and educational aspects (A. Méndez-Vilas; A. Solano 490 Advantages

a) b)

c) d)

e)

Fig. 10 Contour plots for the investigated geometry characteristics of the cross-sections of the resist profiles, depending on the variation of the acceleration voltage (x1) and the resist thickness (x2) at constant values of the development time x3 = 300 s and the exposure dose x4 = 1100 C/cm2: a) y1, b) y2, c) y3, d) y4, e) y5.

6. Experimental and application

All experiments with resists have been done using Gaussian spot beam - scanning electron microscopy (Inspect F50, FEI) based nanolithography system Elphy Quantum (Raith) [22] and variable shaped e-beam system of ZBA series (Raith, previously Vistec) [23]. Electron energy was 20, 30 and 40 keV. The main resists characteristics of PMMA, CSAR62 and SU-8 electron beam resists deposited on silicon substrate have been obtained from a set of various exposure tests [24]. A comparison of sensitivity and contrast curves of these resists is shown in Fig. 11. The resist thickness prepared on silicon substrate was 600 nm, and energy of electrons was 30 keV for all resists and measurements. The sensitivity of resist CSAR62 was measured 39 C/cm2 and is significantly higher when compared to PMMA value of 163 C/cm2. The sensitivity of SU-8 resist of the value 0,25 C/cm2 is very high when compared to positive resists and in nanofabrication widely used negative resist HSQ [25] of the value 163 C/cm2.

Polymer science: research advances, practical applications and educational aspects (A. Méndez-Vilas; A. Solano, Eds.) _______________________________________________________________________________________________

495

Page 9: Study on polymers with implementation in electron …...Polymer science: research advances, practical applications and educational aspects (A. Méndez-Vilas; A. Solano 490 Advantages

Fig. 11 Sensitivity and contrast curves of PMMA, CSAR62 and SU-8 electron beam resists. Process parameters: resist thickness 600 nm, silicon substrate, energy of electrons 30 keV for all resists and measurements. The results of the electron beam lithography process optimization were applied to the patterning of structures in the investigated positive and negative polymer resists. In Fig. 12 some results for CSAR and SU-8 resists are demonstrated.

Fig. 12 Details of gratings with various Line/Space. (a) Grating of lines, Line/Space 150/550 nm, exposed in 200 nm thin PMMA positive resist, variable shaped beam, electron energy 40 keV, transferred into silicon substrate with RIE plasma; b) Grating of lines, Line/Space 200/200 nm, resist SU-8 on Si, µC/cm2, variable shaped beam, electron energy 40 keV; c) Hexagonal grating in CSAR 62 with hole diameter of 130 nm and distance between holes of 380 nm. 600 nm thin CSAR62 positive resist on 220 nm silicon nitride/1900 nm silicon dioxide thin films on silicon substrate; d) Detail of the large area hexagonal grating of rings with the diameter of 1.3 µm. Fabricated using 450 nm thin SU-8 resist used as mask for Cr etching on a 4-inch photomask.

7. Conclusions

The main resists characteristics of selected electron beam polymer resists PMMA, CSAR62 and SU-8 deposited on silicon substrate were investigated experimentally with focus on resists sensitivity and contrast, and dependence of resists profile on exposure dose. Simulation and calculation of energy deposition distribution in the resists and modeling of dissolution rate are performed. Neural networks describing the relationship between the geometry of the PMMA resist profiles and the variation of exposure and development process parameters are trained, using the back propagation of the error training algorithm of Levenberg-Marquardt, and are validated. The results of the electron beam lithography process optimization were applied to the patterning of structures in the investigated positive and negative polymer resists.

Acknowledgement The support by the Joint Research Project SAS-BAS 2015-2017, by the Bulgarian National Fund for Scientific Research under contract BIn-5/2009, the Project NTS/Slovakia 01/25-13, by the Scientific Grant Agency of the MESRS and SAS under contract No. VEGA 2/0134/15, and SEM facilities at the Institute of Electrical Engineering SAS are gratefully acknowledged.

References

[1] McCord MA, Rooks MJ. Handbook of Microlithography, Micromachining and Microfabrication. 1st ed., edited by P. Rai-Choudhury (IEE, London). 1997; I (Chap. 2):139–249.

[2] Saburo Nonogaki, Takumi Ueno, and Toshio Ito. Microlithography Fundamentals in Semiconductor Devices and Fabrication Technology. New York: Marcel Dekker, 1998.

[3] Broers AN, Hoole ACF, Ryan JM. Microelectronic Engineering. 1996; 32:131. [4] Grigorescu AE, Hagen CW. Nanotechnology. 2009; 20:292001. [5] Hatzakis M. Electron resists for microcircuit and mask production. J. Electrochem. Soc. 1969; 116:1033-37. [6] Ito H. Chemical amplification resists for microlithography. Adv. Polym. Sci. 2005; 172:37–245. [7] Wiederrecht G. Handbook of nanofabrication. Elsevier: Amsterdam; 2010.

Polymer science: research advances, practical applications and educational aspects (A. Méndez-Vilas; A. Solano, Eds.) _______________________________________________________________________________________________

496

Page 10: Study on polymers with implementation in electron …...Polymer science: research advances, practical applications and educational aspects (A. Méndez-Vilas; A. Solano 490 Advantages

[8] http://www.allresist.com [9] http://www.microchem.com [10] http://www.allresist.com/ebeamresist-positiv-csar62-alternative-zep/ [11] Thoms S, Macintyre DS. Investigation of CSAR 62, a new resist for electron beam lithography. J. Vac. Sci. Technol. B. 2014;

32:06FJ01. [12] Shaw JM, Gelorme J, Labianca D, Labianca NC, Conley WE, Holmes SJ. Negative photoresists for optical lithography.

IBM Journal of Research and Development. 1997; 41:81-94. [13] Bilenberg B, Jaconsen S, Schmidt MS, Skjolding LHD, Shi P, Boggild P, Tegenfeldt JO, Kristensen A. High resolution 100kV

electron beam lithography in SU-8. Elsevier Science: 2001. [14] del Campo A, Greiner C. SU-8: a photoresist for high-aspect-ratio and 3D submicron lithography. J. Micromech. Microeng. 17.

2007:R81–R95. [15] Vutova K and Mladenov G 1994 Modeling of exposure and development processes in electron and ion lithography, Modelling

and Simulation in Materials Science and Engineering. 2;239-254. [16] Vutova K, Mladenov G. Computer simulation of Processes at Electron and Ion Beam Lithography. Lithography. Vukovar

InTech: M. Wang; 2010. Part 1; chapter 17: 319-350. [17] Vutova K, Mladenov G, Raptis I, Olziersky A. Process simulation at electron beam lithography on different substrates. Journal

of Materials Processing Technology. 2007;184 (1-3):305-311. [18] Hornik K, Stinchcombe M, White H. Multilayer feedforward networks are universal approximators. Neural Networks. 1989;

2(5): 359–366. [19] Tang, H., K. C. Tan, Z. Yi. Neural Networks: Computational Models and Applications. Springer; 2007. [20] Christova N, Koleva E. Neural Network–Based Modeling and Optimization of EBW of Stainless Steel. E+E. 2009; 5-6:104-

111. [21] Koleva E, Christova N, Velev K. Neural Network Based Approach for Quality Improvement of Orbital Arc Welding Joints.

Proc. of Int. conf. IEEE Intelligent systems. London, UK; 2010:p7-9. [22] https://www.raith.com [23] Andok R, et al. ZBA23 Exposures. Technical report 2013-25-1. UISAV: Bratislava, Slovakia; 2013. [24] Andok R, Matay L, Kostic I, Bencurova A, Nemec A, Konecnikova A, Ritomsky A. Estimation of exposure parameters of

chosen e-beam resists using variable shaped e-beam pattern generator. Proc. ASDAM 2012, 9th Int. Conf. on Advanced Semiconductor Devices and Microsystems, Smolenice Nov 11-15. Piscataway: IEEE; 2012: 287-290.

[25] Grigorescu AE, Hagen CW. Resists for sub-20-nm electron beam lithography with a focus on HSQ: state of the art Nanotechnology. 2009; 20(29):292001.

Polymer science: research advances, practical applications and educational aspects (A. Méndez-Vilas; A. Solano, Eds.) _______________________________________________________________________________________________

497