si2 member report 2010 - keirex.com · the beta release is available now at si2.org for download by...

12
Si2 Member Report 2010 Si2 Member Report 2010 Innovation Through Collaboration Innovation Through Collaboration

Upload: phamnguyet

Post on 20-Mar-2019

220 views

Category:

Documents


0 download

TRANSCRIPT

Si2 Member Report2010

Si2 Member Report2010

Innovation Through CollaborationInnovation Through Collaboration

Board of Directors2010 - 2011 Term

Board of Directors2010 - 2011 Term

AMDJim MillerVice President,Design Engineering

IntelRahul GoyalDirector, EDA Business

Apache Design SystemsVic KulkarniSr VP/General Manager ofRTL Business Unit

ARMJohn GoodenoughVP, DesignTechnology & Automation

IBMDr Leon StokVP, EDA

SynopsysJohn ChiltonSr. VP & General Manager

Cadence Design SystemsCharlie HuangSenior Vice Presidentand Chief Strategy Officer

Si2Steve SchulzPresident & CEO

GLOBALFOUNDRIESMojy ChianSenior VP, DesignEnablment

LSIPrabhakaran KrishnamurthySenior Director, DesignImplementation

NationalSemiconductorJames LinVP TechnologyInfrastructure Group

Letter from the Chairman Letter from the Chairman

Prabhu Krishnamurthy - LSISenior Director, Design ImplementationSi2 Board of Directors Chair

As we turn the leaf on another successful year of collaboration at Si2, it is time to reflect on ourcollective accomplishments for 2010. Si2 started off the year approving the formation of a newcoalition, "OpenPDK", with the goal of improving efficiency and interoperability for the creation of process designkits (PDKs), which are used universally across our industry. We are extremely pleased with the excitement thatthis new coalition has created, and we now have 15 member companies who are actively contributing to supportthe broad technical scope of OpenPDK. This scope includes an open process specification with referenceimplementation and plug-ins; enhanced, standardized symbols and parameters; CDF parameter and callbackstandards; PDK targeting support added to the OpenDFM standard; standard Pcell parameters; OpenAccesstechnology file enhancements; and a standardized SPICE socket.

2010 was also a strong year of progress for Si2's other coalitions. The OpenAccess Coalition released supportfor 32nm constraints and introduced multi-threading to the reference implementation. The OAC also initiated anew Extension Steering Group to approve community-based additions to the OpenAccess schema, use models,or software that do not require changes to the base standard. The DFM Coalition released the much-anticipatedOpenDFM 1.0 standard to industry, complete with a reference implementation parser, plug-in generators, andsuite of test cases to verify compatibility. Not only does OpenDFM standardize leading-edge DFM parameterchecks, but testing by members has found it to be as much as 20x more efficient than existing DRC formats. TheLow-Power Coalition published a best-practices Interoperability Guide for design teams using both CPF andUPF-1801 formats, completed work on CPF 2.0, and released a requirements document for enhanced powermodeling standards. The Open Modeling TAB delivered extensions to Liberty to enable more consistent charac-terization and validation of macro-cell libraries.

This was also a milestone year for membership, with Si2 expanding its representation across the supply chain.As the representative of a large fabless corporation (LSI) to Si2's Board of Directors, I am very pleased that theBoard now includes a leading foundry (GLOBALFOUNDRIES) among its elected members. The OpenAccessCoalition reached a new record high of 46 member companies in 2010, with the help of semiconductor marketleaders such as Samsung, and Texas Instruments. Founding membership in the OpenPDK Coalition includedall major EDA vendors.

Because of an enduring value proposition to industry, Si2 has maintained financial stability even during difficulttimes in our global economy. Si2 managed finances well, maintaining it's strong 2009 fund balance and achiev-ing a 10% increase in revenues versus 2009. This provides a solid foundation to support the tremendousamount of coalition deliverables work that has been planned for 2011.

Going into 2011, Si2's focus will be on delivering tangible return on investment value to our membership and tothe industry at large, not only with newer efforts such as OpenPDK, OpenDFM, and Open3D, but also estab-lished efforts that also require ongoing innovations in OpenAccess, low power flows, and open modeling. I amproud to serve as Chairman of this fine organization, and I call for your continued support to work alongsideindustry leaders to improve design flow integration and interoperability for us all. Through increased member-ship and participation, we can remove more barriers to reduce costs and further open market opportunity.

- PK -

President’s Message President’s Message

Si2Steve Schulz, President & CEO

When do standards matter most to your business? Business goals vary: to enable or grow amarket, increase share of a market, reduce internal costs, enable faster time-to-market, orsteer an industry in a technology direction favorable to your products or methodologies.

The key to understanding when a standard becomes an important link to your business is torecognize scenarios where the exchange of data is (a) impeding efficient operations, or (b) impedes a desiredbusiness strategy. In the case of impeding operations, this usually takes the form of wasted manual effortaffecting cost and/or schedule, or limits technical features of the product. In the second scenario, a desiredbusiness strategy (for users) may be to provide flexibility in choice of suppliers to adapt to changing marketconditions. For suppliers, it opens up market opportunity with users who embrace open standards and in-creases focus on more differentiating areas of your product.

Next, assess the important attributes of a standard to support those objectives. These will be case-specific,however a standard should be extensible by design, tested with real-world use cases, enable leverage / consis-tency with other existing standards, and supported by reference code, training, and utilities to ease adoption.Standards with broad impact spanning across the design flow will yield a proportionally larger return on invest-ment (ROI) when adopted. When it comes to standards, the ROI business value actually increases as adoptiongrows, so a good investment in a standard now becomes an even better one as more of industry convergesaround it over time.

Although it can be a valid business strategy to wait for a standard to become available for free, many strongmarket leaders repeatedly make the choice to engage early instead. There can be numerous reasons for thischoice. First, if your company has internal methodologies or uses specific data that offers in-house advantages,it would be important to protect those advantages by ensuring the standard does not conflict with or minimizethem. Second, if others developing the standard lack the expertise in your company's core strength areas, thenyour competition's needs would be met while yours may not. Furthermore, many executives tell us that workingwith other peer thought leaders makes for better and more creative engineers.

The process behind developing a standard may not seem too important at first. However, those with experiencewill tell you that these details can matter a great deal and can directly affect the company's ROI. Look for strictlynon-discriminatory processes at all levels, broad representative participation, equally shared rights, control, andownership of the technology, and strong legal protection for your company's IP portfolio.

Please make a conscious decision about participating in Si2 standards, since they may have a large impact onyour business and future competitiveness. It is only through a balance of give-and-take in standards investmentthat our industry can continue to grow in capability and efficiency, and where the right standards at the right timecan help us achieve critical mass in emerging "More Than Moore" technology areas (e.g., 3D die stacking).This essence is also well captured in Si2's tag line, "Innovation Through Collaboration". The members of Si2believe in this model, and the business value of these investments, to help our industry move forward.

Sincerely,

Steven E. Schulz

OpenAccess Coalition OpenAccess Coalition

The OpenAccess Coalition is a community-driven initiative formed to enable the creation of tightly inte-grated flows involving best-in-class commercial and proprietary tools and intellectual property, neces-sary to support design of today's complex chips. This is done through an open-standard application

programming interface (API) and reference database implementation supportingthat API.

OpenAccess adoption has continued unabated in 2010, as proven by the number ofcompanies who are either selling OpenAccess-based tools or are using OpenAccess-based flows in chip design. Coalition membership is at an all-time high of 46 mem-bers, up from 36 just one year ago. A broad membership and increased participationis important to continue to drive the evolution of OpenAccess to meet the needs ofthe entire industry.

Plans for 2011 include: Production release of SWIG-based scripting language bindings, and OpenAccessfunctionality and performance enhancements in line with the published roadmap (pending priorityassessment and approval by the Coalition).

• A major DM4 release with several enhancements - Initial support for Multi-threading, basedon a first set of well-understood use cases, as well as support for 32nm constraints natively inOpenAccess

• The Extensions Steering Group (ESG) was formed to encourage a new generation offunctionality and input from multiple sources in the industry

• The Parasitics WG was kicked off to evaluate the OpenAccess parasitic model and represen-tation and determine possible enhancements to address the needs of leading-edge designsand technologies. The WG is currently seeking feedback on needed enhancements through asurvey that is available on the Si2 web-site (https://www.si2.org/?page=1281)

OpenAccess Coalition MembersAdvanced Micro DevicesAgilent TechnologiesAlteraAnaGlobe Technology, Inc.ANSYSApache Design SolutionsAtrentaAWR CorporationCadence Design SystemsCiranovaCoupling Wave SolutionsD2SDolphin IntegrationEntasys DesignGradient Design Automation

Hewlett-PackardIBMIC ManageIntelInvarianJedatJuspertor UGMagma Design AutomationMatrixOneMentor GraphicsMephisto Design AutomationMicro MagicNangate A/SNXPOracleParallel Engines

PDF Solutions, GmbHPulsic LimitedPyxisR3 LogicRenesas Electronics CorporationSamsung ElectronicsSilvacoSpringSoftSynopsysTanner ResearchTeklatech A/STela InnovationsTexas InstrumentsTool Corp.Zuken

Major Accomplishments - 2010Major Accomplishments - 2010

The OpenAccess Coalition Scripting Languages Working Group (WG), is creating interfaces betweenthe OpenAccess API and popular open scripting languages. Working Group members include repre-sentatives from Agilent, Altera, AMD, Cadence, IBM, Intel, SpringSoft, Synopsys, and Voom.

The Working Group has created new bindings for four popular programming languages: Perl, Python,Ruby and Tcl. Along with the existing C++ API, these new implementations of the OpenAccess APIenable CAD developers and chip designers alike to easily extract and manipulate design data usingtheir favorite scripting language.

The performance and usability of the new Scripting Languages Working Group bindings surpassprevious open scripting language implementations. The Scripting Languages Working Group bind-ings are all based on the popular SWIG (www.swig.org) tool. This unified architecture eases mainte-nance and simplifies the addition of any of the many programming languages supported by SWIG.

"I used the OpenAccess Perl binding on multiple applications in a demanding production environ-ment," commented independent consultant John McGehee. "It leverages the existing C++ APIstructure and OpenAccess documentation, and allows me to quickly develop applications in myclients' choice of programming language."

The beta release is available now at si2.org for download by OpenAccess Coalition member compa-nies. The working group is recruiting more interested companies to add new languages and ex-change other information. If you can contribute, please contact Nick English at Si2 for more informa-tion.( http://www.si2.org/?page=3)

OpenAccess Scripting Languages Project OpenAccess Scripting Languages Project

OpenAccess API C++ Programming Interface

EDA Programmer Centric

OpenAccess API C++ Programming InterfaceOpenAccess API C++ Programming Interface

EDA Programmer Centric

Tcl API

Type MappingType Mapping

Ruby API

Type MappingType Mapping

Perl API

Type MappingType Mapping

Python API

Type MappingType Mapping Common Wrapper Architecture Interface

Language-Specific Bindings

Common SWIG FrameworkCommon SWIG Framework

Tcl APITcl API

Type MappingType Mapping

Ruby APIRuby API

Type MappingType Mapping

Perl APIPerl API

Type MappingType Mapping

Python APIPython API

Type MappingType Mapping Common Wrapper Architecture Interface

Language-Specific Bindings

Common SWIG FrameworkCommon SWIG Framework

OpenPDK Coalition OpenPDK Coalition

• Coalition and structures established, staffed with member representatives

• Started 2 working groups and posted 2 Request For Technology documents to focuson standards for process specs, symbols, Component Description Format (CDF) andcallbacks

• Received a contribution of a UML model describing the structure and relationships ofan Open Process Specification. The working group is currently editing and expandingthe model

• Received two symbol contributions totaling 87 symbols covering the most populardevice and schematic symbols. This standard symbol set will continue to grow

The OpenPDK Coalition was founded in mid-2010 with the goal of defining a set of open standardsto allow an OpenPDK to be created once and then translated into specific EDA vendor tools andspecific foundry formats. This will allow an OpenPDK to be as portable acrossfoundries and as agnostic to EDA tools as possible. The Si2 OpenPDK will enablegreater efficiency in PDK development, verification and delivery; and will provideequivalent support to all foundries, all EDA tool vendors, all IP providers, and allend users.

The goals for the OpenPDK Coalition for 2011 include: Publish roadmap and re-lease standards for process specs, symbols, CDF and callbacks.

A schema and data model for an Open Process structure with defined relationships between theobjects will be published as a UML model for Coalition use as well as an XML representation forstoring actual process data for use in automating design flows. The Coalition is also creating en-abling collateral to support adoption among member companies.

OpenPDK Coalition MembersAnaGlobe Technology, Inc.Cadence Design SystemsGlobal FoundriesIBM CorporationIntel CorporationMagma Design AutomationMentor GraphicsMun EDA GmbH

National SemiconductorNXPPulsic LimitedSilvacoSpringSoftSTMicroelectronicsSynopsys

Major Accomplishments - 2010Major Accomplishments - 2010

Low Power Coalition Low Power Coalition

• Wide acceptance of CPF 1.0 and 1.1 in hundreds of companies world-wide with manyproduction design starts and tapeouts in 2010 based on this proven Si2 Standard

• Release of the Interoperability Guide to industry to support interoperability between CPF1.1 and IEEE1801-2009

• Completion of work on CPF 2.0 prior to standardization

• Release of power modeling requirements specification phases 1 & 2 for non mutuallyexclusive states (non-mutex) and atomic modeling of high level IP blocks

The Low-Power Coalition (LPC) will deliver enhanced capabilities in low-power Integrated Circuit (IC)design flows in particular relating to specifications of low-power design intent, architectural tradeoffs,logical/physical implementation, design verification and testability.

The LPC continues to move forward with standards, flows and other supportingmaterials to support low power design. The Si2 CPF standard has been in produc-tion use for four years worldwide. The CPF-IEEE1801 Interoperability Guide andnew Si2 standards to represent the next version of CPF were developed in 2010.

Plans for 2011 include: Release CPF 2.0 as an open Si2 standard as well as aparser to enable adoption, and update the Interoperability Guide to align with CPF

2.0. They also plan to release an enhancement to the power modeling requirements specificationthat will include variability modeling.

Low Power Coalition MembersApache Design SolutionsARMAtrentaCadence Design SystemsCalypto Design SystemsEntasys Design

IBM CorporationLSI CorporationMagma Design AutomationRenesas Electronics CorporationSynopsys

Major Accomplishments - 2010Major Accomplishments - 2010

Design for Manufacturability Coalition Design for Manufacturability Coalition

Design for Manufacturability design flows have become increasingly critical as normal excursions insemiconductor manufacturing result in significant variations for yield, power and performance at 45 nmprocess nodes and below. Both Process limited yield (PLY) and Circuit limited yield (CLY). lowermanufacturing profits since these circuits must be scrapped or sold at a discountbecause they miss the power and/or performance targets of a nominal device.

In response to these issues, the Design for Manufacturability Coalition (DFMC) hasdeveloped a standard interface format that describes a comprehensive set of DFMparameters that can verify that a circuit will meet it's profit targets. The DFM parametersare defined in an open-source and extensible standard format called OpenDFM whichprovides a common set of DFM parameters to a wide variety of physical verification and analysis toolsdramatically improving the interface between EDA vendors and silicon foundries.

Plans for 2011 include: Release OpenDFM 1.1 with Targeting and Edge Operations as an open Si2standard; Define an XML-like data structure to hold the parameters necessary for the extraction of bothdevice and parasitic parameters. The XML data structure will be a superset of the mostly commonlyused formats to specify the physical process parameters required for extraction.

• OpenDFM 1.0 released as an open Si2 standard

• The OpenDFM Parser with plug-in interface to connect to proprietary DRC formats wasdeveloped and is available to DFMC members. The release also included several dozen test casesto support member adoption

• The definition of OpenDFM 1.1 with Targeting and Edge Operations was prepared for its 60 day IPreview cycle before its release as a standard

• Formation of the OPEX Working Group to develop a standard format for exchange of processparameter data used for parasitic and device extraction

Design for Manufacturability Coalition MembersCadence Design SystemsGlobal FoundriesIBM CorporationIntel CorporationMagma Design AutomationMentor Graphics

Polyteda SoftwareCorporationSTARCSynopsysTela Innovations, Inc.Texas Instruments

Major Accomplishments - 2010Major Accomplishments - 2010

Executive TeamExecutive Team

Jake Buurma – VP, West Coast OperationsJake Buurma currently serves as VP of West Coast Operations for Si2. Mr. Buurma has more than 33years of industry experience equally split between the design of integrated circuits at major semicon-ductor companies such as National Semiconductor and Toshiba Semiconductor and developing EDAsoftware at companies such as Cadence Design Systems, Silicon Navigator and Aprio. Jake hasworked extensively with global development teams in automated physical design, EDA software de-velopment and improving Design for Manufacturability (DFM) at sub-100nm process nodes.Jake wasa founding board member of the Virtual Socket Interface Alliance (VSIA) and he was the GeneralChairman of the Custom Integrated Circuit Conference (CICC). He has authored over 100 papers intechnical conferences and engineering journals, he was a contributing author in the book TalkingChips, and the recipient of three patents in Analog and Digital Circuit Design. Mr. Buurma received hisM.S.E.E. degree from Santa Clara University and graduated cum laude with a global MBA from DukeUniversity

Sumit DasGupta – Sr. Vice President of EngineeringSumit DasGupta joined Si2 in 2002 as Vice President of Technology. As Vice President of Technol-ogy, he is responsible for Si2 engineering and service projects, with a special emphasis onOpenAccess. DasGupta comes to Si2 from Motorola, where he served as director of SoC and IPdesign systems in the semiconductor products sector. While at Motorola, DasGupta served on theSi2 Board of Directors and the Design Technology Council. Prior to Motorola, he worked at IBM inseveral management and technical positions. DasGupta holds a Ph.D. in computer science fromSyracuse University and a master's degree in electrical engineering from Marquette University. Hehas eight patents and 20 publications to his name.

Nick English - Vice President of DevelopmentAs an experienced senior manager, Nick English is known for managing both business and technicalprocesses that affect electronic design. He has over 25 years of high-technology industry experiencein both engineering and management roles. He has previously served as the chair and the key driverof the OpenKit Initiative within Accellera to create standards for the semiconductor industry’s processdesign kits. Over the last twenty years Nick has held senior management positions in semiconductor,EDA, and software companies. As an engineer he worked in the areas of statistical device modelingat the transistor level. He holds a BSEE and MSEE from the University of South Florida.

Bob Carver - VP, Business DevelopmentBob Carver serves as VP of Business Development for Si2. Mr Carver has more than 25 yearsexperience in the Electronic Design Automation Industry. Mr Carver was an early member of SDAwhich later merged with ECAD to become Cadence Design Systems. Bob has experience in EDAproduct development, product marketing and design services. While at Cadence Bob developed theSKILL programming language and much of the early Database system that would later evolve intoOpenAccess. In marketing Bob launched the Design Framework product line, became Cadence'sliaison to MCC in Austin Texas, help found CFI, the precursor to Si2, and later help start SpectrumServices. Bob has been working with Si2 since 2003 and has been instrumental in the launch of all ofSi2 coalitions. Mr. Carver received his B.S.E.E. from the University of Texas at Austin.

End-User FundingEDA/Other Funding

Membership Profile Membership Profile

Sources of Si2 Funding

Si2 Membership Composition

While EDA vendors represent the majority of Si2's membership by count, the majority ofproject funding is provided by end-user companies. Si2 Bylaws stipulate that 7 of the 10elected seats be filled by non-EDA companies to ensure supply chain balance. Notealso that Coalitions are normally chaired by end-user companies, and they typicallyparticipate in multiple coalitions.

Member List Member List

Si2 is the largest organization of industry-leading semiconductor, systems, EDA and manufacturingcompanies focused on the development and adoption of standards to improve the way integratedcircuits are designed and manufactured, in order to speed time-to market, reduce costs, and meet thechallenges of sub-micron design. Now in its 23rd year, Si2 is uniquely positioned to enable timelycollaboration through dedicated staff and a strong implementation focus driven by its member compa-nies. Si2 represents nearly 100 companies involved in all parts of the silicon supply chain throughoutthe world.

www.si2.org

Abound LogicAdvanced Micro DevicesAgilent TechnologiesAlteraAltos Design AutomationAnaGlobe TechnologyAnova SolutionsANSYSApache Design SolutionsARMArtwork Conversion SoftwareAtoptechAtrentaAusdiaAWR CorporationCAD Design SoftwareCadence Design SystemsCalypto Design SystemsCiranovaConcept Engineering GmbHCoupling Wave SolutionsCrayD2SDemos on DemandDolphin IntegrationeASIC CorporationedacentrumEDXACTEntasys DesignEricssonExtreme DAFastrack Design Inc.GLOBALFOUNDRIES

Gradient Design AutomationGRID Simulation TechnologyHewlett-PackardHuada Empyrean Software Co.IBM CorporationIC ManageIMECIn2FabInfineon TechnologiesInfiniscaleIntel CorporationInvarianJedatJuspertor UGKeirex Technology Inc.Kenji MorohashiLSI CorporationMagma Design AutomationMarvell SemiconductorMatrixOneMentor GraphicsMephisto Design AutomationMicro MagicMultiprobeMun EDA GmbHNangate A/SNannor TechnologiesNational SemiconductorNP Komplete Technologies BVNumerical InnovationsNXPOCP-IPON Semiconductor

OraclePDF Solutions, GmbHPerception Software.Pinebush TechnologiesPolyteda Software CorporationPulsic LimitedR3 LogicRenesas Electronics CorporationSamsung Electronics Co.SEMISemiconductor Research Corp.SemitronixShanghai JT-Hyron SoftwareSilicon Frontline TechnologySiliconBlue Technologies Corp.SilvacoSoftJin TechnologiesSpringSoftSTARCSTMicroelectronicsSynCira CorporationSynopsysTakumi TechnologyTanner ResearchTeklatech A/STela Innovations, Inc.TeraRoute LLCTexas InstrumentsTool Corp.TSMCVirage LogicZuken

Cover photos courtesy of Si2 members AMD, NXP and Texas Instruments