semiconductor materials markets & supply-chains€¦ · growing cagr ~4%, 2015-2020 . total...

16
Semiconductor Materials Markets & Supply-Chains CMC Conference 2017 Lita Shon - Roy President / CEO May 2016 www.Techcet.com [email protected]

Upload: others

Post on 05-Jul-2020

2 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Semiconductor Materials Markets & Supply-Chains€¦ · Growing CAGR ~4%, 2015-2020 . Total $26.7 B in 2016 Needs for improved process control, cost reduction driving •

Semiconductor Materials Markets & Supply-Chains

CMC Conference 2017Lita Shon-Roy

President / CEOMay 2016

[email protected]

Page 2: Semiconductor Materials Markets & Supply-Chains€¦ · Growing CAGR ~4%, 2015-2020 . Total $26.7 B in 2016 Needs for improved process control, cost reduction driving •

Analyze, Prepare, and Move Forward –

The World is Changing

[email protected] 5/11/2017

Techcet CA LLC Copyright 2017 all rights reserved. www.techcet.com 2

Page 3: Semiconductor Materials Markets & Supply-Chains€¦ · Growing CAGR ~4%, 2015-2020 . Total $26.7 B in 2016 Needs for improved process control, cost reduction driving •

A Semiconductor Materials Markets & Supply Chain Advisory Firm

[email protected] 5/11/2017

3Techcet CA LLC Copyright 2017 all rights reserved. www.techcet.com

For more info go to : www.cmcfabs.org

TECHCET started in 2000 to support the CMC hosted by Sematech / ISMI and the materials supply chain.

Supporting the CMC / SEMATECH for > 15 years

CMCFabs now include:BroadcomCypressInfineonIntelGlobalFoundriesMicronNXPQorvoSamsungSematechST MicroelectronicsTexas InstrumentsTowerJazzPanasonic& Other tbd

A Fab Centric Organization

Page 4: Semiconductor Materials Markets & Supply-Chains€¦ · Growing CAGR ~4%, 2015-2020 . Total $26.7 B in 2016 Needs for improved process control, cost reduction driving •

Disclaimer This presentation represents the interpretation and analysis of information

generally available to the public or released by responsible agencies or individuals. Data was obtained from sources considered reliable. However, accuracy or completeness is not guaranteed. This report contains information generated by Techcet by way of primary and secondary market research methods.

[email protected] 5/11/2017

Techcet CA LLC Copyright 2017 all rights reserved. www.techcet.com 4

Page 5: Semiconductor Materials Markets & Supply-Chains€¦ · Growing CAGR ~4%, 2015-2020 . Total $26.7 B in 2016 Needs for improved process control, cost reduction driving •

TECHCET MegaTrendsUnprecedented uncertainty in global politics and economic alignments –Active Political Environment – impacting trade and regulations

Continued Shift Toward Asia / China

Raw Material Supply Chain Issues Continue to Increase

Innovation in Efficiency and Material Handling will be of Increased Focus

Semiconductor industry maturing with pockets of materials development

Specialty Materials – high value, low volumeLarge Materials Companies Continue to Search for innovation / IP entities

Advanced lithography challenges being solved, i.e. DSA and EUV

Micro level concerns for Leading Edge

[email protected] 5/11/2017

Techcet CA LLC Copyright 2017 all rights reserved. www.techcet.com 5

Page 6: Semiconductor Materials Markets & Supply-Chains€¦ · Growing CAGR ~4%, 2015-2020 . Total $26.7 B in 2016 Needs for improved process control, cost reduction driving •

Top 5 Suppliers per Process Materials Segment

[email protected] 5/11/2017 6Techcet CA LLC Copyright 2017 all rights reserved.

www.techcet.com

0

500

1000

1500

2000

2500

3000

Up

Chem

AZ /M

erck

AUEC

CKa

nto

Supe

rior T

echn

ical

…W

onik

KMG

Mos

es L

ake

Dow

Mom

entiv

eCe

ram

tec

Adek

aH

oney

wel

lM

cDer

mid

Hita

chi

Kyoc

era

JX N

ippo

nTo

soh

Aria

keTN

SC-M

athe

son

CMC

Fujif

ilmLi

nde

Shin

-Ets

uTo

kyo

Ohk

a Ko

gyo

LG S

iltro

nJS

RPr

axai

rG

loba

l/Sun

Edis

onD

owSi

ltron

icVe

rsum

/ AP

Air L

iqui

deSU

MCO

S.E.

H.

Page 7: Semiconductor Materials Markets & Supply-Chains€¦ · Growing CAGR ~4%, 2015-2020 . Total $26.7 B in 2016 Needs for improved process control, cost reduction driving •

$- $2,000.00 $4,000.00 $6,000.00 $8,000.00

Dielectric Precursors

Hi K precursors

Metal Chemicals

Targets

Quartz

SiC / Ceramics

Wet Chemicals

CMP

Photoresist

Gases

SiWafers

Process Materials

Materials Market TrendsGrowing CAGR ~4%, 2015-2020 . Total $26.7 B in 2016

Needs for improved process control, cost reduction driving • Multi patterning• Planar to 3D (3D NAND, FinFET)

Increase in number and volume of materials for advanced devices.

Little need for novel materials until <10nm; • cobalt although not novel was new to semi processing -

barrier.

Small Form Factor, Multi-Die and SiP applications are driving Advanced Packaging , i.e. 2.5/3D stacking, InFO, TSV, WLP, PoP

Increasing Concerns regarding Waste Management / Handling of Materials, Sustainability.

Source: TECHCET Critical Materials Reports™ commissioned by the CMC

[email protected] 5/11/2017

Techcet CA LLC Copyright 2017 all rights reserved. www.techcet.com 7

Page 8: Semiconductor Materials Markets & Supply-Chains€¦ · Growing CAGR ~4%, 2015-2020 . Total $26.7 B in 2016 Needs for improved process control, cost reduction driving •

0.00

20.00

40.00

60.00

80.00

100.00

120.00

2015 2016 2017 2018 2019 2020

Wafer Starts 300mm Equiv.5 & 7nm Logic

7nm RAM

3D NAND G2

10-11nm Logic

10-11nm RAM

3D NAND G1

14nm Intel

14-16nm RAM

1x-z NV

22,16nm Logic

22-20nm RAM

2x-z NV

32,28,20nm Logic

32-28nm RAM

45nm Logic

65/45 nm RAM

65 nm Logic

90 nm Logic

130 nm Logic

180-150 nm L

>180nm

< 2.5% estimated growth for 2016

The global supply and demand balance for silicon wafers has increasingly tightened due in part to:

• Growing 300mm diameter demand for memory production and foundry logic;

• Recovering / expanding small diameter demand for automotive, industrial and IoT; and

• Lack of “brick and mortar” expansion in wafer supply into 2016

Allocation of 300mm will continue, as prices continue to rise

Investment needed to increase capacity and “Break the log-jam” – but suppliers hesitant

Wafer Starts AnalysisFrom TECHCET’s Critical Materials Report™ on Silicon Wafers

120 M

[email protected] 5/11/2017

Techcet CA LLC Copyright 2017 all rights reserved. www.techcet.com 8

For more information on TECHCET’s Wafer reports please go to www.techcet.com

Page 9: Semiconductor Materials Markets & Supply-Chains€¦ · Growing CAGR ~4%, 2015-2020 . Total $26.7 B in 2016 Needs for improved process control, cost reduction driving •

NF3 Supply/Demand 2016

0.0

5.0

10.0

15.0

20.0

25.0

30.0

35.0

40.0

2014 2015 2016 2017 2018 2019 2020

NF3 Forecast

Supply Demand

From TECHCET’s Critical Materials Report™ on Gases 2017

Total demand likely outpace supply by 2019

Possibility of NF3 shortage starting in 2018Pricing expected to increase in 2017 and 2018

3d NAND market growing at >40% CAGR thru 2018This combined with display demand will push total growth up into the double digits

Capacity is tight in both NF3 and WF6

3,250 TPY of new capacity were added in 2016Need over 2,500 TPY of additional capacity by 2018SK Materials and Hyosung may investPeric?

Manufacturers have been hesitant to invest

Page 10: Semiconductor Materials Markets & Supply-Chains€¦ · Growing CAGR ~4%, 2015-2020 . Total $26.7 B in 2016 Needs for improved process control, cost reduction driving •

3DNAND XeF2 usage expected to climb >40% over the next 2-3 years

Leading to possible shortage by 2018

Satellite usage for ElectonicPropulsion growting 56%/yrover the next few years further straining supply

May 2017 10

~ 9,500 Nm3

Xenon Shared Among IndustriesFrom TECHCET’s Critical Materials Report™ on Xenon & Neon

Page 11: Semiconductor Materials Markets & Supply-Chains€¦ · Growing CAGR ~4%, 2015-2020 . Total $26.7 B in 2016 Needs for improved process control, cost reduction driving •

3DNAND XeF2 usage expected to climb >40% over the next 2-3 years

Leading to possible shortage by 2018

Satellite usage for ElectonicPropulsion growting 56%/yrover the next few years further straining supply

May 2017 11

~13,200 Nm3

Xenon Shared Among IndustriesFrom TECHCET’s Critical Materials Report™ on Xenon & Neon

Page 12: Semiconductor Materials Markets & Supply-Chains€¦ · Growing CAGR ~4%, 2015-2020 . Total $26.7 B in 2016 Needs for improved process control, cost reduction driving •

[email protected] 5/11/2017

EUV Litho StatusAfter 10+ years of plans and postures, EUVL will begin limited production use in 2018 at Intel, Samsung, and TSMC - GF and possibly at a leading US memory manufacturer

Resi

st M

arke

t ($M

M U

SD)

From TECHCET’s Critical Materials Report™ on Photoresist, Extensions & Ancillaries

Techcet CA LLC Copyright 2017 all rights reserved. www.techcet.com 12

Page 13: Semiconductor Materials Markets & Supply-Chains€¦ · Growing CAGR ~4%, 2015-2020 . Total $26.7 B in 2016 Needs for improved process control, cost reduction driving •

SummaryGlobal politics coming into play with regard to commerce and environmental regulations

China advancing in materials competency and market share

Raw material supply-chain issues will be an increasing concern

EHS Issues will continue to be important

Innovation still alive and well but coming from smaller entities

Materials Supply Segments will continue growing 4% CAGR with sweet spots >12% thru 2020

[email protected] 5/11/2017

Techcet CA LLC Copyright 2017 all rights reserved. www.techcet.com 13

Page 14: Semiconductor Materials Markets & Supply-Chains€¦ · Growing CAGR ~4%, 2015-2020 . Total $26.7 B in 2016 Needs for improved process control, cost reduction driving •

TECHCET Critical Materials Report Advisories

1 Ceramics & SiC - Equipt Components2 CMP Slurries and Pads Consumables3 CMP Consumable Equipment Components4 CVD / ALD Dielectric Precursors5 CVD / ALD Metal Precursors6 Device Technology Trends and Materials Requirements7 Electronic Gases8 Neon Supply & Demand9 Photoresists and Ancillaries

10 Quartz -Equipment Components11 Silicon Wafers12. Metal Chemicals (ECD, ELP, AgSn, etc.)13. Sputtering Targets14. Wet Process Chemicals

TECHCET’s Critical Materials Reports™ & Services

Techcet CA LLC Copyright 2017 all rights reserved. www.techcet.com

14

TECHCET’s Services

Training: Materials Markets & Technology

Custom Consulting Projects

Critical Materials Council (CMC)

CMC Fabs Membership

CMC Associate Membership

Page 15: Semiconductor Materials Markets & Supply-Chains€¦ · Growing CAGR ~4%, 2015-2020 . Total $26.7 B in 2016 Needs for improved process control, cost reduction driving •

Jonas, Sundqvist, Ph.D. – Sr. Technology Analyst• Fraunhofer, Quimonda

Bruce Adams – Sr. Market Analyst• Matheson Gas, Air Products, & Chemicals, Honeywell

Terry Francis – Sr. Technology Analyst• Matheson Gases, Applied Materials, Air Products

Brooks Hurd– Sr. Technology Analyst• Quantum Clean, Matheson Gas, SAES Getters, Air Products

Sue Davis – Sr. Market Analysts• Sematech, Rohm & Haas, Rodel, Texas Instruments

► Kuang-Han Ke – Sr. Market Analyst• Gransystems, Applied Materials

► Tim Dyer – Sr. Technology Analyst• Elcon, Matsci, Morgan Ceramics, IPEC/Speedfam

Techcet Group Analysts (& Experience)

Lita Shon-Roy – President / CEO• Rasirc/Matheson Gas, IPEC/Athens, Air Products, Rockwell/

Brooktree, Hughes Aircraft

► Karey Holland, Ph.D. – Chief Technical Officer• MegaFluid Systems, FEI, NexPlanar, IPEC, Motorola, IBM

► Mike Walden – Director, Business Development / Sr. Analyst

• SunEdison, SUMCO, IBM

► Ed Korczynski – Director, Marketing / Sr. Analyst• Solid State Technology, Intermolecular, Nanomarkets, Applied

Materials

► Diane Scott, Ph.D. – Manager, Technical Accounts, Sr. Technology Analyst

• Nexplanar, CMP Solutions, Rohm & Haas / Dow

► Yu Bibby, Ph. D. – Sr. Technology Analyst• UV Global, ipCapital Group, Wilkes University

► H.T. Jeong – Korea Technical Accounts Manager• Renotek, Seojin Electronics

[email protected] 5/11/2017

Techcet CA LLC Copyright 2017 all rights reserved. www.techcet.com 15

Page 16: Semiconductor Materials Markets & Supply-Chains€¦ · Growing CAGR ~4%, 2015-2020 . Total $26.7 B in 2016 Needs for improved process control, cost reduction driving •

Thank you!www.TECHCET.com

ww.cmcfabs.org

[email protected]+1-480-382-8336, x 101

www.Techcet.com

[email protected] 5/11/2017

Techcet CA LLC Copyright 2017 all rights reserved. www.techcet.com 16