resource guide 2012

68
Microcontrollers Microprocessors Development Tools Fall/Winter 2012

Upload: hnphuoc

Post on 02-Dec-2015

71 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Resource Guide 2012

Microcontrollers • Microprocessors • Development Tools

Fall/Winter 2012

ARG/2012/Fall/Winter-FC/IFC/IBC/BC_ResourceGuide2112-Fall-Winter 9/18/12 9:38 AM Page 1

Page 2: Resource Guide 2012

ARG/2012/Fall/Winter-FC/IFC/IBC/BC_ResourceGuide2112-Fall-Winter 9/18/12 9:38 AM Page 2

Page 3: Resource Guide 2012

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:56 PM Page 1

Page 4: Resource Guide 2012

RESOURCE GUIDE FOR ARM® ARCHITECTURE DESIGN

www.arrownac.com 2 Resource Guide • Fall/Winter 2012

TABLE OF CONTENTS

MICROCONTROLLERS AND MICROPROCESSORS

PageARM® Cortex™-M0 and Cortex™-M0+ Microcontrollers Freescale Kinetis L Series MCUs 4-5NXP LPC11U00 ARM® Cortex™-M0 MCUs 6NXP LPC1100(X)L ARM® Cortex™-M0 MCUs 7NXP LPC1200 ARM® Cortex™-M0 MCUs 8 Nuvoton NUMICRO™ NUC100 ARM® Cortex™-M0 MCUs 9STMicroelectronics STM32 F0 Series Entry-Level ARM® Cortex™-M0 MCUs 10

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers Atmel SAM3N AND SAM3U ARM® Cortex™-M3 MCUs 11Atmel SAM3S ARM® Cortex™-M3 MCUs 12Atmel SAM3X ARM® Cortex™-M3 MCUs 13Atmel SAM3A ARM® Cortex™-M3 MCUs 14Atmel SAM4S ARM® Cortex™-M4 MCUs 15Cypress PSOC® 5 Platform 16Freescale Kinetis Low Power, Mixed Signal ARM® Cortex™-M4 MCUs 17-20Infineon XMC4000 ARM® Cortex™-M4 MCUs 21Microsemi SmartFusion Intelligent Mixed Signal FPGAS 22NXP LPC4300 ARM® Cortex™-M4 MCUs 23NXP LPC1300 ARM® Cortex™-M3 MCUs 24NXP LPC1700 ARM® Cortex™-M3 MCUs 25NXP LPC1800 ARM® Cortex™-M3 MCUs 26Silicon Labs SIM3C1XX AND SIM3U1XX ARM® Cortex™-M3 MCUs 27Silicon Labs SIM3L1XX ARM® Cortex™-M3 Ultra-Low-Power MCUs 28STMicroelectronics STM32 L1 ARM® Cortex™-M3 Ultra-Low-Power MCUs 29STMicroelectronics STM32 F1 ARM® Cortex™-M3 MCU Series 30STMicroelectronics STM32 F2 ARM® Cortex™-M3 MCU Series 31STMicroelectronics STM32W ARM® Cortex™-M3 Wireless MCUs 32STMicroelectronics STM32 F3 ARM® Cortex™-M4 Mixed-Signal MCUs with DSP 33STMicroelectronics STM32 F4 ARM® Cortex™-M4 MCUs with DSP 34Texas Instruments TMS470M ARM® Cortex™-M3 MCUs 35Texas Instruments Stellaris® ARM® Cortex™-M3S MCUs 36Toshiba TX03 Microcontroller with ARM® Cortex™-M3 Processor Cores 37

ARM® Cortex™-R4 Microcontrollers Texas Instruments TMS570LS ARM® Cortex™-R4F Series MCUs 40Texas Instruments Hercules RM4 ARM® Cortex™-R4F Series 41

PageARM® Cortex™-A5 Freescale Vybrid™ Controller Solutions 42-43

ARM® Cortex™-A8 and Cortex™-A9 Processors Altera Dual-Core ARM® Cortex™-A9 MPCore™ Processor 44Freescale i.MX53 Applications Processors 45Freescale i.MX6 Applications Processors 46Texas Instruments Sitara™ AM335X ARM® Cortex™-A8 MPUs 47Texas Instruments Sitara™ AM35X ARM® Cortex™-A8 MPUs 48Texas Instruments AM37X ARM® Cortex™-A8 MPUs 49

ARM9™ Microprocessors Atmel SAM9G, SAM9X AND SAM9M ARM926EJ-S™ MPUs 50-51Freescale i.MX28 Applications Processors 52

DEVELOPMENT TOOLS

Aaeon GENE-1350 OMAP™ 3503/3530 CPU Board 54Aeneo ARM® Board Support Products 54Advantech ARM® Cortex™-A8, Cortex™-A9 and Cortex™-A15

Computing Platforms 55Altia GUI Development and Code Generation Tools For Embedded Displays 55BCM ARM® Cortex™-A8 Platform for Freescale i.MX MCUs 56Boundry Devices Nitrogen6X-SOM,

Freescale i.MX6 Single/Dual/Quad-Core CPU 56Digi ConnectCore® i.MX53 ARM® Cortex™-A8 System-on-Modules 57EmCraft Systems Kinetis K70 System-on-Module (SOM) 57IAR Systems Embedded Workbench® for ARM® 58Keil ARM® Microcontroller Development Kit (MDK) 59Kontron PICO-ITX™ Embedded Motherboard 59LOGIC PD DM3730/AM3703 Torpedo + Wireless SOM 60Micrium Real-Time Operating Systems to Meet the Most Rigorous Standards 60Microsoft Windows® Embedded Compact 7 (Formerly CE) 61Motomic QT Development Environment for Freescale’s Kinetis MCUs 61NEXCOM PDSB 102 ARM® Cortex™-A8 Entry-Level Digital Signage Player 62NovTech INC NOVPEK™ i.MX6Q/D System 62QNX® Real-Time Operating Systems for ARM® Core-Based MCUs 63Timesys® LinuxLink for ARM® Core-Based Solutions 63

This Resource Guide for ARM® Architecture Design is published for Arrow by ConVergence Promotions LLC, and the RTC Group (“The Publishers”). The Publishers makeno warranty for the use of its products and assumes no responsibility for any errors which may appear in this document, nor does it make a commitment to update theinformation contained in this printed publication.

The Resource Guide for ARM® Architecture Design is Copyright 2012 The Publishers. No information contained in this Resource Guide and web sites may be reproducedin part or in whole without express permission, in writing, from The Publishers. The Publishers make no warranty as to the content or reliability of the third-party toolvendors information contained within. All product names, descriptions, specifications, prices and other information are subject to change without notice. The Publisherstake no responsibility for false or misleading information, errors or omissions. All registered trademarks and trademarks included in this book are held by their respec-tive companies. Every attempt was made to include all trademarks and registered trademarks where indicated by their companies.

Trademark InformationAll brand names or product names are the property of their respective holders. Neither the whole nor any part of the information contained in, or the product describedin, this document may be adapted or reproduced in any material form except with the prior written permission of the copyright holder. The product described in thisdocument is subject to continuous developments and improvements. All warranties implied or expressed, including but not limited to implied warranties of satisfactoryquality or fitness for purpose are excluded. This document is intended only to provide information to the reader about the product. To the extent permitted by local lawsThe Publishers shall not be liable for any loss or damage arising from the use of any information in this document or any error or omission in such information.

Printed in USA.

Cover Image credit©iStockphoto.com/agsandrew

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:56 PM Page 2

Page 5: Resource Guide 2012

www.arrownac.com 3 Resource Guide • Fall/Winter 2012

Microcontrollers andMicroprocessors

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:56 PM Page 3

Page 6: Resource Guide 2012

FREESCALE

www.arrownac.com 4 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M0 and Cortex™-M0+ Microcontrollers

KINETIS L SERIES MCUs

Description: Kinetis L series MCUs combine the exceptional energy-efficiencyand ease-of-use of the new ARM® Cortex™-M0+ processor with theperformance, peripheral sets, enablement and scalability of theKinetis 32-bit MCU portfolio.

The Kinetis L series frees power-critical designs from 8- and 16-bitMCU limitations by combining excellent dynamic and stop currentswith superior processing performance, a broad selection of on-chipflash memory densities and extensive analog, connectivity and HMIperipheral options.

Kinetis L series MCUs are also hardware and software compatiblewith the ARM® Cortex™-M4 processor-based Kinetis K series, pro-viding a scalable migration path to more performance, memory andfeature integration.

The Kinetis L Series MCUs are Energy-Efficient Product Solutions byFreescale.

The New Freescale Freedom Development PlatformThe new Freescale development platform is a small ultra-low-power, ultra-low-cost evaluation and development system for quickapplication prototyping and demonstration.

It combines an industry-standard form factor with a rich set ofthird-party expansion board options. An integrated USB debuginterface offers an easy-to-use mass-storage device mode flashprogrammer, a virtual serial port and classic programming and run-control capabilities.

Freedom board part #: FRDM-KL25ZPrice: $12.95

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 4

Page 7: Resource Guide 2012

FREESCALE

www.arrownac.com 5 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M0 and Cortex™-M0+ Microcontrollers

KINETIS L SERIES MCUs (CONTINUED)

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 5

Page 8: Resource Guide 2012

NXP

www.arrownac.com 6 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M0 and Cortex™-M0+ Microcontrollers

LPC11U00 ARM® CORTEX™-M0MICROCONTROLLERS

Description:Low Cost ARM® Cortex™-M0 USB Solutions with Smart CardInterfaceThe LPC11U00 series provides a compelling replacement for 8- and16-bit USB microcontrollers, delivering robust USB performance at alow price point. The highly flexible USB architecture is quite simplya better approach to USB. NXP offers the widest range of ARM®

processor-based USB solutions, as well as easy-to-use software andintegrated development platforms that make NXP a one-stop shopfor USB.

Maximizing Connectivity While Minimizing PowerConnectivity options on the LPC11U00 series include twoSynchronous Serial Port (SSP) interfaces, I²C with Fast-mode Plusfeature with 10x higher bus-drive capability, a UniversalSynchronous-Asynchronous Receiver/Transmitter (USART) and aSmart Card interface. The Smart Card interface (ISO7816-3) pro-vides a plug-and-play interface for Smart Cards, making theLPC11U00 a good fit for e-commerce applications. The LPC11U00series also offers on-chip power profiles, driven by an ApplicationProgramming Interface (API), which can be customized for any low-power application so designers can achieve ideal power levels withminimal application intervention.

Designed for Small Form Factor Mobile and ConsumerApplicationsAs an extension of NXP's proven LPC1100 family, the LPC11U00series delivers up to 32 kB Flash, 6 kB SRAM, a variety of serial inter-faces, a smart card interface, 4 system timers with PWM functional-ity, 8 channel 10-bit ADC and up to 40 GPIOs. The LPC11U00 seriesis offered in a miniature 4.5 x 4.5 mm TFBGA48 package, making itespecially suited for small form factor mobile and consumer applica-tions in addition to several standard package offerings.

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 6

Page 9: Resource Guide 2012

NXP

www.arrownac.com 7 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M0 and Cortex™-M0+ Microcontrollers

NXP LPC1100(X)L ARM® CORTEX™-M0PROCESSOR-BASED MICROCONTROLLERS

Description:With the industry’s lowest active power consumption at 110µA/MHz and reduced deep sleep current below 2 µA, theLPC1100XL has set a new benchmark for low-power ARM®

Cortex™-M0 microcontrollers

The LPC1100(X)L (LPC1111/2/3/4/5) is an ARM® Cortex™-M0processor-based low-cost 32-bit MCU series designed for 8/16-bitmicrocontroller applications, offering performance, low power, sim-ple instruction set and memory addressing together with reducedcode size compared to existing 8/16-bit architectures.

With performance capability up to 50 MHz the LPC1100XL micro-controller delivers the industry‘s lowest 32-bit active power con-sumption for ARM® Cortex™-M0 microcontrollers at 110 µA/MHzand reduces deep sleep current below 2 µA. Also on-chip power pro-files can be customized for any low-power application allowingdesigners to reach ideal power levels with minimal application inter-vention. The power profiles serve as an excellent alternative to non-configurable low power modes, as they can conduct dynamic powermanagement and optimize CPU operation for various applicationstates.

The LPC1100XL incorporates NXP’s proprietary embedded flashwhich extends solutions to 64 kB while incorporating 256 bytes ofpage erase. The 256 byte page-erase feature significantly improvesthe efficiency and ease of use of the on-chip flash, allowing forseamless field updates without having to erase a large sector towrite the next page.

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 7

Page 10: Resource Guide 2012

NXP

www.arrownac.com 8 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M0 and Cortex™-M0+ Microcontrollers

NXP LPC1200 ARM® CORTEX™-M0MICROCONTROLLERS

Description:ARM® Cortex™-M0 with up to 128 kB flash, 8 kB SRAM, RTC, comparator, 10-bit ADC, CRC, DMA and more

The LPC1200 Industrial Control Series, featuring the ARM®

Cortex™-M0 processor, extends NXP’s 32-bit ARM® microcontrollercontinuum and targets a wide range of applications, such as whitegoods, motor control, power conversion and power supplies.

It also expands NXP’s ARM® Cortex™-M0 processor-based micro-controller offering with a wide range of Flash memory sizes, rangingfrom 32 kB to 128 kB. The small 512 Byte page erase of the Flashmemory brings multiple design benefits, such as finer EEPROMemulation, boot-load supports from any serial interface, and ease ofin-field programming with reduced on-chip RAM buffer require-ments. The LPC1200 comes with a set of peripherals that are specif-ically suited for appliances and industrial design.

The LPC1200 series has a score of over 45 in CoreMark™ CPU per-formance benchmark testing, equivalent to 1.51 MHz. Taking advan-tage of the ARM® Cortex™-M0 v6-M 16-bit Thumb instruction set,the LPC1200 has up to 50 percent higher code density compared tocommon 8/16-bit microcontrollers performing typical tasks. TheARM® Cortex™-M0 efficiency also helps the LPC1200 achieve loweraverage power for similar applications.

In addition, the LPC1200 comes with an 8-channel 10-bit ADC, mul-tiple timers/serial channels, and up to 55 GPIOs (available in bothLQFP48 and LQFP64 packages), as well as new onboard peripheralsincluding RTC, DMA, CRC and 1 percent internal oscillator, whichprovides the required accuracy for baud rate generation. TheLPC1200 is rated as high immunity, based on the Electrical FastTransient (EFT) test per IEC61697-1 recommendations. ElectrostaticDischarge (ESD) protection is rated at 8 kV.

Key features and benefits• A Windowed Watchdog Timer with an independent internal

oscillator source, designed to comply with IEC 60730 Class B safety requirements for white goods.

• A Programmable Digital Filter on all GPIO pins allowing better control of signal integrity for industrial applications

• I²C with Fast-mode Plus feature with 10x higher bus-drive capability compared to typical I²C I/O drives, allowing for twice as many devices on the same bus, as well as longer transmissiondistances

• Optimized ROM-based divide library for ARM® Cortex™-M0 offering several times the arithmetic performance of software-based libraries, as well as a highly deterministic cycle time combined with reduced Flash code size

• Dual analog comparators with 32 levels of voltage reference, edgeand level detection and output feedback loop supporting multiplestates, such as monostable, astable or simple set/reset.

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 8

Page 11: Resource Guide 2012

NUVOTON

www.arrownac.com 9 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M0 and Cortex™-M0+ Microcontrollers

NUMICRO™ M051 AND NUC100 ARM®

CORTEX™-M0 SERIES MICROCONTROLLERS

Description:NuMicro™ M051 SeriesThe NuMicro™ M051 series is a 32-bit microcontroller with embed-ded ARM® Cortex™-M0 core for industrial control and applicationswhich need rich communication interfaces. The Cortex™-M0 is thenewest ARM embedded processor with 32-bit performance and at acost equivalent to traditional 8-bit microcontroller. The NuMicroM051™ series includes the M052, M054, M058 and M0516 families.

The M052/M054 can run up to 50 MHz. Thus it can afford to supporta variety of industrial control and applications which need high CPUperformance. The M052/M054 has 8K/16K-byte embedded flash,4K-byte data flash, 4K-byte flash for the ISP, and 4K-byte embeddedSRAM. Many system level peripheral functions, such as I/O Port, EBI(External Bus Interface), Timer, UART, SPI, I2C, PWM, ADC, WatchdogTimer and Brownout Detector, have been incorporated into theM052/M054 in order to reduce component count, board space andsystem cost. These useful functions make the M052/M054 powerfulfor a wide range of applications.

Additionally, the M052/M054 is equipped with ISP (In-SystemProgramming) and ICP (In-Circuit Programming) functions, whichallow the user to update the program memory without removing thechip from the actual end product.

Description:NuMicro™ NUC100 SeriesNuMicro™ is Nuvoton's brand-new 32-bit microcontroller familypowered by the ARM® Cortex™-M0 processor - the smallest, lowestpower and most energy-efficient ARM processor optimized for avariety of MCU applications.

NuMicro™ NUC100 series embeds the ARM® Cortex™-M0 corewith the speed up to 50MHz, 32K/64K/128K-byte Flash programmemory, 4K/8K/16K-byte SRAM, and 4K-byte _ash loader memoryfor In-System Programming (ISP). It also comes equipped with a vari-ety of peripherals, such as GPIOs, Timers, Watchdog Timer, RTC,PDMA, UART, SPI/MICROWIRE, I2C, I2S, PWM, LIN, CAN, PS2, USB 2.0FS Device, 12-bit ADC, Analog Comparator, Low Voltage Reset andBrown-out Detector.

Nuvoton’s NuMicro family – ready for mass-production – nowincludes NUC100 series, NUC120/122 series with USB Full Speed2.0 device, NUC130/140 series embedded with Controller AreaNetwork (CAN) 2.0B licensed from BOSCH, M051 series, Mini51series, and Nano, the Ultra-Low-Power series targeting battery pow-ered applications. With a variety of product offerings, the NuMicrofamily is ideal for use in industrial control systems, industrialautomation, consumer products, embedded network control, energy,power systems, motor control, and many more. With the integrationof the industry leading ARM® Cortex™-M0 microprocessor,NuMicro™ family brings 32-bit performance at a cost equivalent totraditional 8-bit microcontrollers.

Key Features: Operates at 2.5V ~ 5.5V and -40°C ~ +85°C with separate ProgramFlash (32KB to 128KB), Data Flash* (4KB) and ISP loader (4KB) andPDMA ( * : except 128KB version )

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 9

Page 12: Resource Guide 2012

STMICROELECTRONICS

www.arrownac.com 10 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M0 and Cortex™-M0+ Microcontrollers

STM32 F0 SERIES ENTRY-LEVEL ARM® CORTEX™-M0 MCUDescription:The ARM® Cortex™-M0 processor-based STM32 F0 series delivers32-bit performance and the essential DNA of the STM32. The STM32F0 benefits from the combination of real-time performance, low-power operation, advanced architecture and peripherals associatedto the STM32 ecosystem.

The new series bridges the gap with applications using 8- and 16-bit microcontrollers, enabling advanced high-end features in eco-nomical end products. The STM32 F0 series offers unparalleledflexibility and scalability for home-entertainment products, appli-ances, and industrial equipment.

STM32 F0 devices are available in UFQFPN32, LQFP48 and LQFP64packages.

Development ToolsST’s STM32 family of 32-bit ARM® Cortex™-M core-based micro-controllers are supported by a complete range of low cost and highend, evaluation software, debugging and programming tools.

This complete line includes third party solutions that come completewith C/C++ compiler, integrated development environment and in-circuit debugger/programmer featuring a JTAG/SWD applicationinterface. Developers can also explore and start applications easilywith any of a range of affordable, easy to use starter kits.

The superb combination of a state of the art and efficient library ofsoftware drivers and extensive support for all major tool providersoffers a fast route to best fit and an optimized development process.

STM32 F0 series (order code: STM32F0DISCOVERY): Discover theSTM32 F0 series based on the ARM Cortex-M0 core. A prototypingboard is included for easy connection of additional componentsand modules.

STM32 F1 series (order code: STM32VLDISCOVERY): Based on theSTM32 F1 series Value line, the STM32 Value line Discovery kit willsatisfy hobbyists, first-time developers and students.

STM32 F3 series (order code: STM32F3DISCOVERY): The STM32F3-Discovery is the perfect kit to discover not only the richness of theSTM32 F3 series, but also ST’s MEMS gyroscope and e-compass.

STM32 F4 series (order code: STM32F4DISCOVERY): To discoverthe STM32 F4 series, the STM32F4-Discovery highlights the per-formances of the F4 series with audio (input, output) and USB Hostcapabilities.

STM32 L1 series (order code: STM32L-DISCOVERY): Based on theSTM32 L1 series, the STM32L-Discovery kit includes a 6-digit LCDdisplay, a touch-sensing slider, two LEDs, a user button and currentmeasurement.

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 10

Page 13: Resource Guide 2012

ATMEL

www.arrownac.com 11 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

ATMEL SAM3N AND SAM3U ARM® CORTEX™-M3 MICROCONTROLLERSDescription:SAM3NThe Atmel® entry point into ARM® Cortex™-M3 technology, theSAM3N intelligently combines high-performance architecture,peripherals and power–saving techniques to deliver uncompromisedperformance. Available in multiple memory densities, pin counts andpackage types, the SAM3N offers a scalable solution to meet appli-cation requirements. It is supported by a full set of software libraries,project examples, tools and a worldwide ecosystem to shortendevelopment cycle time. Pin-to-pin compatible with the SAM7S andthe SAM3S, the SAM3N facilitates migration within the family.

Key Features• Atmel QTouch Capacitive Touch Support — The SAM3N series

is touch-ready, offering native support for Atmel QTouch®

technology for easy implementation of buttons, sliders and wheels in your application.

• Data Speedway — Native 3-layer bus AHB matrix support with 10 peripheral DMA channels and distributed memory for high-speed uninterrupted data flows with minimum processor overhead.

• Simplified PCB Design and Low System Cost — Integrated serial resistors eliminate the need for external resistors to preserve signal integrity, resulting in reduced BOM cost, real estate savings and simplified PCB design.

• 1.62 to 3.6V Operation and Low Power — True 1.8V±10%operation extends device operation when running from two AA alkaline batteries. The SAM3N only consumes 22 mA at 48 MHz operation and 1.9 µA in backup mode with the RTC running.

Description:SAM3UThe Atmel® SAM3U is the industry’s first ARM® Cortex™-M3 Flashmicrocontroller with on-chip high speed USB Device-and-Transceiver,SDIO/SDCard/MMC and SPI interfaces. This connectivity, together withthe SAM3U’s 96 MHz/1.25 DMIPS/MHz operating frequency, makes theSAM3U the unique ARM® Cortex™-M3 device suited to applicationswith intensive communications requirements, such high speed gate-ways in industrial, medical, data processing and consumer applica-tions. For rapid evaluation and code development, industry-leadingthird parties provide a full range of dev tools, RTOS, middleware andsupport services to reduce time-to-market to a minimum.

Key Features• High-Speed USB — The SAM3U is the first ARM® Cortex™-M3

microcontroller with high-speed USB and an integrated transceiver for fast up/downloading of data, robust EMI tolerance,and plug-and-play high-speed serial interconnectivity.

• Atmel QTouch Capacitive Touch Support — The SAM3U is touch-ready, offering native support for Atmel QTouch® technologyfor easy implementation of buttons, sliders and wheels in your application.

• High Performance — Features a 96 MHz maximum operating frequency and a high data-bandwidth architecture based on a 5-layer bus matrix with 22 DMA channels and distributed memory.

• Dual-Bank Flash — Provides safe in-application programming (IAP) including the boot program

• Memory Protection Unit — Improves code protection and secures multi-application/task execution.

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 11

Page 14: Resource Guide 2012

ATMEL

www.arrownac.com 12 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

ATMEL® SAM3S ARM® CORTEX™-M3MICROCONTROLLERS

Description:The Atmel® SAM3S ARM® Cortex™-M3 Flash MCU integrates fea-tures to simplify system design and reduce power consumptiondown to 2.3 mW at 1 MHz, 1.45mW/MHz at 64 MHz operation and1.6 µA in backup mode with the RTC running. Inspired by the best-selling SAM7S series, the SAM3S is the ideal migration path to amore powerful and feature-rich MCU. A renewed peripheral setprovides system control, sensor interfaces, an optional externalparallel bus interface, connectivity and user interface support.

Key Features• Atmel QTouch Capacitive Touch Support — Integrated serial

resistors eliminate the need for external resistors to preserve signal integrity, resulting in reduced BOM cost, real estate savingsand simplified system design.

• Parallel Capture Mode — The SAM3S is the first ARM® MCU withparallel data capture mode on PIOs and DMA support. The parallel data capture mode on the PIOs complements the externalbus interface for data collection from external devices that are notcompliant with standard memory read protocols, such as low-costimage sensors.

• Atmel QTouch Capacitive Touch Support — The SAM3S seriesis touch-ready, offering native support for Atmel QTouch®

technology for easy implementation of buttons, sliders and wheelsin your application.

• Safety and Security — Memory protection unit improves code protection and secures multi-application/task execution. Unique 128-bit ID and scrambled external bus interface ensure softwareconfidentiality while the hardware CRC checks memory integrity.

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 12

Page 15: Resource Guide 2012

ATMEL

www.arrownac.com 13 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

ATMEL® SAM3X ARM® CORTEX™-M3MICROCONTROLLERS

Description:The Atmel® SAM3X ARM® Cortex™-M3 Flash-based microcontroller(MCU) brings more connectivity to the SAM3 family by addingEthernet, dual CAN and high-speed USB (HS USB) MiniHost anddevice with on-chip physical layer (PHY). Devices feature a dual-bank configuration of 256KB and 512KB Flash total and are availablein 100-pin and 144-pin QFP and BGA package options. The architec-ture is designed to support high-speed data transfers and includesa multi-layer bus matrix plus dual SRAM banks, direct memoryaccess (DMA) channels and peripheral DMA controller (PDC) forapplications supporting multi-task operations. The SAM3X series isideal for networking applications in the industrial embedded marketand in home and building automation, smart grids and industrialautomation.

Key Features• Optimized for Connectivity — With its architecture and

peripherals including Ethernet, dual CAN and HS USB MiniHost and device with on-chip PHY, the SAM3X is optimized for applications requiring high levels of connectivity.

• Atmel QTouch Capacitive Touch Support — The SAM3X is touch-ready, offering native support for Atmel QTouch®

technology for easy implementation of buttons, sliders and wheelsfunctionality in your applications.

• Enhanced Safety and Security — A variety of features integrated into the SAM3X series provide safety and security for your system. For example, dual-bank Flash enables safe in-system firmware upgrades. On-the-fly external memory scrambling on the 16-bit external bus interface enhances the protection of your external memory content, without impacting system performance. In addition, the SAM3X offers clock failure detection and a true random number generator.

• Low Power Consumption — All SAM3 MCUs feature a sophisticated, flexible power management scheme that minimizespower consumption under all usage conditions. You can put the devices in back-up mode with the core and peripherals powereddown, reducing power down to 2.5µA for the SAM3X series. A high-speed on-chip RC oscillator accelerates wake-up from back-up mode, further reducing average power consumption.

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 13

Page 16: Resource Guide 2012

ATMEL

www.arrownac.com 14 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

ATMEL® SAM3A ARM® CORTEX™-M3MICROCONTROLLERS

Description:The Atmel® SAM3A ARM® Cortex™-M3 Flash-based microcontroller(MCU) features connectivity peripherals including a dual CAN interfaceand high-speed USB (HS USB) MiniHost and device with on-chip phys-ical layer (PHY). Devices are available in a dual-bank configuration of256KB and 512KB Flash total and in 100-pin QFP and BGA packageoptions. The architecture is designed to support high-speed datatransfers and includes a multi-layer bus matrix plus dual SRAM banks,direct memory access (DMA) channels and peripheral DMA controller(PDC) for applications supporting multi-task operations. The SAM3Aseries is ideal for networking applications in the industrial embeddedmarket and in home and building automation, smart grids and indus-trial automation.

Key Features• Connectivity — With its architecture and peripherals including

dual CAN and HS USB MiniHost and device with on-chip PHY, theSAM3A is optimized for applications requiring high levels of connectivity.

• Atmel QTouch Capacitive Touch Support — The SAM3A is touch-ready, offering native support for Atmel QTouch®

technology for easy implementation of buttons, sliders and wheelsfunctionality in your applications.

• Enhanced Safety and Security — A variety of features integrated into the SAM3A series provide safety and security for your system. For example, dual-bank Flash enables safe in-system firmware upgrades. In addition, the SAM3A offers clockfailure detection and a true random number generator.

• Low Power Consumption — All SAM3 MCUs feature a sophisticated, flexible power management scheme that minimizespower consumption under all usage conditions. You can put the devices in back-up mode with the core and peripherals powereddown, reducing power down to 2.5µA for the SAM3A series. A high-speed on-chip RC oscillator accelerates wake-up from back-up mode, further reducing average power consumption.

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 14

Page 17: Resource Guide 2012

ATMEL

www.arrownac.com 15 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

ATMEL® SAM4S ARM® CORTEX™-M4MICROCONTROLLERS

Description:Based on the powerful ARM® Cortex™-M4 processor, the Atmel®

SAM4S series extends the Atmel Cortex-M portfolio to offer:

• Increased performance and power efficiency• Higher memory densities: up to 2MB of Flash and 160KB of SRAM• And a rich peripheral set for connectivity, system control and

analog interfacing.

Devices are pin-to-pin and software compatible with current SAM3Cortex-M3 processor-based microcontrollers (MCUs), offering asmooth upwards migration path for performance and memory size.

Key Features• Improved Performance Level — Built around the ARM®

Cortex-M4 processor, the SAM4S operates at 120MHz and integrates Atmel's Flash read accelerator and optional cache memory to increase system performance. The SAM4S features amulti-layer bus matrix, multi-channel direct memory access (DMA) and distributed memory to support high data rate communication.

• Low Power Consumption — The SAM4S series achieves 200µA/MHz in dynamic mode at a low operating frequency; 30mAat 120MHz; and 1µA at 1.8V in back-up mode with the real-timeclock (RTC) running. Offering some of the best power consumption/performance rates on the market for standby mode,the SAM4S reaches 120MHz+ operating frequency with a RAM retention mode below 25µA.

• Safety and Security — Integrated best-in-class hardware code protection: - Prevents access to on-chip memory to protect your intellectual

property (IP).- Supports secure device reconditioning (chip erase) for

reprogramming.- A unique 128-bit ID and scrambled external bus interface ensure

software confidentiality while the hardware cyclic redundancy check (CRC) checks memory integrity.

• Ease of Use — Accelerate your development cycle with Atmel Studio 6, a seamless, easy-to-use integrated development environment (IDE). Available free of charge, the IDE comes with hundreds of ARM project examples with source code that can streamline your design process. Get a jump-start on your design with dedicated evaluation kits and software packages. For rapid evaluation and code development, Atmel and industry-leading third parties provide a full range of development tools, real-time operating system (RTOS), middleware and support services to reduce time-to-market.

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 15

Page 18: Resource Guide 2012

CYPRESS

www.arrownac.com 16 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

PSOC® 5 PLATFORM

Description:PSoC® 5 is a true programmable embedded system-on-chip integrat-ing configurable analog and digital peripheral functions, memory andan ARM® Cortex™-M3 microcontroller on a single chip.

PSoC Creator is the Integrated Design Environment (IDE) for PSoC 5.Standalone or coupled with an IDE such as Keil™ μVision4™, PSoCCreator allows concurrent hardware/software design of your PSoCsystems.

Inside the PSoC Creator software are 100+ Components. Componentsare free “Virtual Chips” used to integrate multiple ICs and systeminterfaces into one PSoC. Components are inherently connected to theARM Cortex-M3 MCU in PSoC 5 via the main system bus. Simply dragand drop Component icons in PSoC Creator to design your systems.

PSoC 5 Components simplify advanced analog sensing, monitoring,and control designs, giving you fast, flexible, integrated solutions inapplications such as:

• CapSense™ capacitive touch sensing• Voltage monitoring• Environmental sensing• Fan/motor control

MCUs Can’t. PSoC Can.

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 16

Page 19: Resource Guide 2012

FREESCALE

www.arrownac.com 17 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

KINETIS K SERIES MCUs

Description: Kinetis is the most scalable portfolio of lowpower, mixed signal ARM® Cortex™-M4MCUs in the industry. Phase 1 of the portfolioconsists of five MCU families with over 200pin-, peripheral- and software-compatibledevices. Each family offers excellent per-formance, memory and feature scalabilitywith common peripherals, memory maps,and packages providing easy migration bothwithin and between families. The KinetisMCUs are Energy-Efficient Product Solutionsby Freescale.

Key FeaturesUltra-Low-Power• 10 low-power modes with power

and clock gating for optimalperipheral activity and recoverytimes. Stop currents of <500 nA,run currents of <200 μA/MHz, 4 μswake-up from Stop mode

• Full flash programming and analog peripheral operation down to1.71V for extended battery life

• Low-leakage wake-up unit with up to eight internal modules andsixteen pins as wake-up sources in low-leakage stop (LLS)/verylow-leakage stop (VLLS) modes

• Low-power timer for continual system operation in reduced powerstate

Flash, SRAM and FlexMemory• 32 KB - 1 MB flash. Fast access, high reliability with 4-level

security protection.• 8 KB - 128 KB of SRAM• FlexMemory: 32 bytes - 16 KB of user-segmentable byte

write/erase EEPROM for data tables/system data. EEPROM withover 10M cycles and flash with 100 μsec write time (brownoutswithout data loss or corruption). No user orsystem intervention tocomplete programming and erase functions and full operationdown to 1.71V. In addition, FlexNVM from 32 KB - 512 KB for extraprogram code, data or EEPROM backup.

Mixed-Signal Capability• Up to two high-speed 16-bit ADCs with configurable resolution.

Single or differential output mode operation for improved noiserejection. 500 ns conversion time achievable with programmabledelay block triggering

• Up to two 12-bit DACs for analog waveform generation for audioapplications

• Up to three high-speed comparators providing fast and accuratemotor over-current protection by driving PWMs to a safe state

• Up to two programmable gain amplifiers with x64 gain for smallamplitude signal conversion

• Accurate on-chip voltage reference eliminates need foraccurateexternal voltage reference IC reducing overall system cost

Performance• ARM® Cortex™-M4 core + DSP. 50 - 120 MHz, single cycle MAC,

single instruction multiple data (SIMD) extensions, optional singleprecision floating point unit

• Up to 32-channel DMA for peripheral and memory servicing withreduced CPU loading and faster system throughput

• Cross bar switch enables concurrent multi-master bus accesses,increasing bus bandwidth

• Up to 16 KB of instruction/data cache for optimized bus bandwidthand flash execution performance

• Independent flash banks allowing concurrent code execution andfirmware updating with no performance degradation or complexcoding routines

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 17

Page 20: Resource Guide 2012

FREESCALE

www.arrownac.com 18 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

KINETIS K SERIES MCUs (CONTINUED)

Timing and Control• Up to four FlexTimers with a total of 20 channels. Hardware

dead-time insertion and quadrature decoding for motor control• Carrier modulator timer for infrared waveform generation in

remote control applications• Four-channel 32-bit periodic interrupt timer provides time base for

RTOS task scheduler or trigger source for ADC conversion andprogrammable delay block

Human-Machine Interface• Xtrinsic low-power touch-sensing interface with up to 16 inputs.

Operates in all low-power modes (minimum current adder whenenabled). Hardware implementation avoids software pollingmethod. High sensitivity level allows use of overlay surfaces up to5 mm thick.

Connectivity and Communications• Up to six UARTs, with IrDA support including one UART with

ISO7816 smart card support. Variety of data size, format andtransmission/reception settings supported for multiple industrialcommunication protocols

• Inter-IC Sound (I2S) serial interface for audio system interfacing• Up to two CAN modules for industrial network bridging• Up to three DSPI and two I2C

Reliability, Safety and Security• Memory protection unit provides memory protection for all

masters on the cross bar switch, increasing software reliability• Cyclic redundancy check engine validates memory contents and

communication data, increasing system reliability• Independent-clocked COP guards against clock skew or code run

away for fail-safe applications such as the IEC 60730 safetystandard for household appliances

• External watchdog monitor drives output pin to safe state externalcomponents if watchdog event occurs

External Peripheral Support• FlexBus external bus interface provides interface options to

memories and peripherals such as graphics displays. Supports up to 6 chip selects.

• Secure digital host controller supports SD, SDIO, MMC or CEATAcards for in-application software upgrades, media files or addingWi-Fi support

• NAND flash controller supports up to 32-bit ECC current and futureNAND types. ECC management handled in hardware, minimizingsoftware overhead.

Kinetis K10 Low-Power Mixed-Signal MCUThe K10 family is the entry point into the Kinetis portfolio. Devicesstart from 32 KB of flash in a small-footprint 5 x 5 mm 32 QFN pack-

age extending up to 1 MB in a 144MAPBGA package with a rich suiteof analog, communication, timing and control peripherals. Highmemory density K10 family devices include a single precision float-ing point unit and NAND flash controller. Additionally, pin compatibil-ity, flexible low-power capabilities and innovative FlexMemory helpto solve many of the major pain points for system implementation.

Kinetis K20 with Full- and High-Speed USB 2.0The Kinetis K20 MCU family is pin, peripheral and software compat-ible with the K10 MCU family and adds full and high-speed USB 2.0On-The-Go with device charge detect capability.

Devices start from 32 KB of flash in 5 x 5 mm 32QFN packagesextending up to 1 MB in a 144MAPBGA package with a rich suite ofanalog, communication, timing and control peripherals. High memo-ry density K20 family devices include a single precision floating pointunit and NAND flash controller.

PerformanceThe K30 MCU family is pin, peripheral and software compatible withthe K10 MCU family and adds a flexible low-power segment LCDcontroller with support for up to 320 segments.

Devices start from 64 KB of flash in 64QFN packages extending upto 512 KB in a 144MAPBGA package with a rich suite of analog,communication, timing and control peripherals.

K40 USB and Segment LCD MCUsThe K40 MCU family is pin, peripheral and software compatible withthe K10 MCU family and adds full-speed USB 2.0 On-The-Go withdevice charge detect capability and a flexible low-power segmentLCD controller with support for up to 320 segments.

Devices start from 64 KB of flash in 64-pin QFN packages extendingup to 512 KB in a 144-pin MAPBGA package with a rich suite of ana-log, communication, timing and control peripherals.

K50 Measurement MCUsThe K50 MCU family is pin-, peripheral- and software-compatiblewith other Kinetis microcontrollers and provides designers with anAnalog Measurement Engine consisting of integrated operationaland transimpedance amplifiers and high-resolution ADC and DACmodules.

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 18

Page 21: Resource Guide 2012

FREESCALE

www.arrownac.com 19 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

KINETIS K SERIES MCUs (CONTINUED)

The family also features IEEE® 1588 Ethernet and hardware encryp-tion, full-speed USB 2.0 On-The-Go with device charger detectcapability and a flexible low-power segment LCD controller withsupport for up to 320 segments. Devices start from 128 KB of flashin 64-pin QFN packages extending up to 512 KB in a 144-pin MAP-BGA package.

K60 Ethernet Crypto MCUsThe K60 MCU family includes IEEE® 1588 Ethernet, full- and high-speed USB 2.0 On-The-Go with device charge detect capability,hardware encryption and tamper detection capabilities. Devicesstart from 256 KB of flash in 100-pin LQFP packages extending upto 1 MB in a 256-pin MAPBGA package, including a 5 x 5 mm wafer-level chip-scale package (WLCSP) with a 0.4 mm pitch. Thesedevices come with a rich suite of analog, communication, timing andcontrol peripherals.

High memory density K60 family devices include an optional singleprecision floating point unit, NAND flash controller and DRAM con-troller.

K70 Graphic LCD MCUsThe K70 MCU family includes 512KB-1MB of flash memory, a singleprecision floating point unit, Graphic LCD Controller, IEEE 1588Ethernet, full- and high-speed USB 2.0 On-The-Go with devicecharge detect, hardware encryption, tamper detection capabilitiesand a NAND flash controller.

256-pin devices include a DRAM controller for system expansion.The Kinetis K70 family is available in 196 and 256 pin MAPBGApackages.

Freescale Tower SystemThe Freescale Tower System is a modular development platform for8-, 16- and 32-bit MCUs and MPUs that enables advanced develop-ment through rapid prototyping. Featuring multiple developmentboards or modules, the Tower System provides designers with build-ing blocks for entry-level to advanced MCU development.

Modular and Expandable• Controller modules provide easy-to-use, reconfigurable hardware• Interchangeable peripheral modules (including communications,memory and graphical LCD) make customization easy• Open-source hardware and standardized specifications promotethe development of additional modules for added functionality andcustomization

Speeds Development Time• Open source hardware and software allows quick developmentwith proven designs

• Integrated debugging interface allows for easy programming andrun control via standard USB cable

Cost Effective• Interchangeable peripheral modules can be re-used with all TowerSystem controller modules, eliminating the need to purchase redun-dant hardware for future designs• Enabling technologies like LCD, Wi-Fi®, motor control, serial andmemory interfacing are offered off-the-shelf at a low cost to providea customized enablement solution

Freescale MQX™ Software SolutionsAccelerate your design success with complimentary RTOS, TCP/IPand USB stacks provided by Freescale MQX Software Solutions.Available on Freescale processors for more than 15 years, FreescaleMQX Software Solutions offer a straightforward API with a modulararchitecture, making it simple to fine tune custom applications andscalable to fit most requirements. The combination of our market-proven Freescale MQX Software Solutions and silicon portfolio pro-vides a streamlined and powerful platform by creating a comprehen-sive source for hardware, software, tools and services needs.

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 19

Page 22: Resource Guide 2012

FREESCALE

www.arrownac.com 20 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

KINETIS K SERIES MCUs (CONTINUED)

Certifiable to Medical and Aerospace Standards Even if your application does not require formal certification, therobustness of the MQX™ RTOS helps provide a rock-solid platformproven in thousands of time-critical, sophisticated applications.

For those who must follow a formal certification process, the MQXRTOS platform has a record of past certifications in medical specifi-cations (CFR 820.30 Part 21, IEC 60601-1) and the aerospacerequirements listed under DO-178b. Safety critical applicationsbased on MQX RTOS include eye surgery equipment, drug injectionequipment, radiation dose monitoring equipment, aircraft brakingsystems and aircraft navigation equipment.

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 20

Page 23: Resource Guide 2012

INFINEON

www.arrownac.com 21 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

XMC4000 ARM® CORTEX™-M4 PROCESSOR-BASED MICROCONTROLLER FAMILY FOR INDUSTRIAL APPLICATIONSDescription:Infineon has taken their wealth of know-how in microcontrollerdesign for real-time critical control applications to combine it – forthe first time ever – with all benefits of an industry standard core.The unique result, the XMC4000 microcontroller family based onARM® Cortex™-M4, is dedicated to industrial applications in thefield of renewable energy, factory and building automation, trans-portation, logistics and medical equipment.

Striving for energy-efficient solutions it greatly benefits fromInfineon’s well-known set of configurable peripherals, fast embed-ded Flash technology, high quality standards, long product life times,and the ability to provide high temperature ranges of up to 125°C,backed by Infineon’s background as a leading supplier to automotivemarkets. Adding the next-generation of DAVE™ – DAVE™ 3 –, arevolutionary approach to lower SW efforts, industrial system devel-opers can count on a powerful microcontroller platform for theirnumerous industrial applications (XMC = Cross-MarketMicrocontroller).

XMC4500 Microcontrollers XMC4500, the first product generation of the XMC microcontrollerfamily, delivers the brainpower for a variety of industrial applicationsfacing high innovation pressure at decreasing development cycletimes. It is dedicated to enable highly energy-efficient products withindustrial interconnection capabilities.

Key features of XMC4500• ARM® Cortex™-M4, 120 MHz, incl. single cycle DSP MAC and

floating point unit (FPU) • 1 MB eFlash including hardware ECC • 160 kB RAM • 12-channel DMA • IEEE 1588 compliant Ethernet MAC • USB 2.0 full-speed on-the-go • Comprehensive set of timers and PWM, Delta-sigma Demodulator,

Position Interface • 4x 12-bit ADC, 2x 12-bit DAC • 6x Multi-function serial interface modules configurable to SPI, I2C,

I2S, UART • 3x CAN nodes • External bus interface supporting SDRAM, SRAM, NOR-/NAND-

Flash and memory-mapped IO devices (e.g. LCD) • SD/MMC interface • Touch interface & LED Matrix • Battery-backed real-time clock with calendar function and

time-based or external wake-up capabilities • Extended temp range up to 125°C

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 21

Page 24: Resource Guide 2012

MICROSEMI

www.arrownac.com 22 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

SMARTFUSION®

Description:SmartFusion® intelligent mixed signal FPGAs are the only devicesthat integrate an FPGA, ARM® Cortex™-M3, and programmable ana-log, offering full customization, IP protection, and ease-of-use.Based on Microsemi's proprietary flash process, SmartFusion FPGAsare ideal for hardware and embedded designers who need a truesystem-on-chip (SoC) solution that gives more flexibility than tradi-tional fixed-function microcontrollers—without the excessive cost ofsoft processor cores on traditional FPGAs.

SmartFusion devices offer a compelling solution in a wide variety ofapplications, including motor control, system and power manage-ment and industrial automation. These applications span the indus-trial, military, medical, telecommunications, computing and storagemarkets.

The SmartFusion family is supported by Libero® Integrated DesignEnvironment (IDE), Microsemi's comprehensive software toolset fordesigning with all Microsemi FPGAs.

Libero IDE includes industry-leading synthesis, simulation and debugtools from Synopsys and Mentor Graphics as well as innovative tim-ing and power optimization and analysis. For embedded designers,Microsemi offers free SoftConsole Eclipse-based IDE with GNU, aswell as, evaluation versions of software from Keil™ and IARSystems®. Full versions are available from the respective suppliers.Micrium supports SmartFusion devices with their new real-timeoperating system (RTOS) μC/OS-III, TCP/IP stack and μC/Probe prod-ucts.

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 22

Page 25: Resource Guide 2012

NXP

www.arrownac.com 23 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

NXP LPC4300 ARM® CORTEX™-M4MICROCONTROLLERS

Description: NXP's new Digital Signal Control (DSC) processors, based on theARM® Cortex™-M4 microcontroller core, bring high-performancesignal processing capabilities within the reach of the typical MCUprogrammer. These DSC processors offer a high level of systemintegration, reducing system design cost and complexity, whilesimplifying the design cycle using a single tool chain. Additionally,our ARM® Cortex™-M4 processor-based products will be upward-ly code compatible from existing ARM® Cortex™-M3 andCortex™-M0 processors. These products are an ideal single-chipsolution for applications such as motor control, power conversion,audio, and communications.

Combining MCU and DSP capabilitiesThe ARM® Cortex™-M4 processor combines the benefits of a micro-controller – integrated interrupt control, low power modes, low costdebug and ease of use – with high-performance digital signal pro-cessing features such as single-cycle MAC, Single InstructionMultiple Data (SIMD) techniques, saturating arithmetic, and a float-ing point unit.

Key Features and Benefits• DSP and SIMD extensions• Single-cycle MAC (up to 32 x 32 + 64 → 64)• Single-precision FPU

• Integrated, configurable NVIC• Compatible with ARM® Cortex™-M3• Configurable for ultra-low-power including Deep Sleep Mode and

Wakeup Interrupt Controller

Key applications• Motor control and power management• Industrial automation and robotics• Medical• Automotive accessories• Embedded audio

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:08 AM Page 1

Page 26: Resource Guide 2012

NXP

www.arrownac.com 24 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

NXP LPC1300 ARM® CORTEX™-M3MICROCONTROLLERS

Description:32-bit ARM® Cortex™-M3 microcontroller; up to 32 kB flashand 8 kB SRAM; USB device.

The LPC1300 devices are ARM® Cortex™-M3 processor-basedmicrocontrollers for embedded applications featuring a high level ofintegration and low power consumption. The ARM® Cortex™-M3 is anext generation core that offers system enhancements such asenhanced debug features and a higher level of support block integra-tion. The LPC1300 devices operate at CPU frequencies of up to 72MHz. The ARM® Cortex™-M3 CPU incorporates a 3-stage pipelineand uses a Harvard architecture with separate local instruction anddata buses as well as a third bus for peripherals. The ARM® Cortex™-M3 CPU also includes an internal prefetch unit that supports specula-tive branching.

Extensive range of peripheralsThe peripheral complement of the LPC1300 includes up to 32 kB offlash memory, up to 8 kB of data memory, USB Device (LPC134xonly), one Fast-mode plus (Fm+) I2C interface, one UART, four gener-al purpose timers, and up to 42 general purpose I/O pins.

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:08 AM Page 2

Page 27: Resource Guide 2012

NXP

www.arrownac.com 25 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

NXP LPC1700 ARM® CORTEX™-M3MICROCONTROLLERS

Description:32-bit ARM® Cortex™-M3 microcontroller; up to 512 kB flashand 96 kB SRAM with optional peripherals.

The LPC176x/5x are ARM® Cortex™-M3 microcontrollers forembedded applications featuring a high level of integration and lowpower consumption at frequencies of 100 MHz (120 MHz forLPC1769 and 1759). Features include up to 512 kB of flash memo-ry, up to 64 kB of data memory, Ethernet MAC, USBDevice/Host/OTG, 8-channel DMA controller, 4 UARTs, 2 CAN chan-nels, 3 SSP/SPI, 3 I²C, I²S, 8-channel 12-bit ADC, 10-bit DAC, motorcontrol PWM, Quadrature Encoder interface, 4 general purposetimers, 6-output general purpose PWM, ultra-low power Real-TimeClock with separate battery supply, and up to 70 general purpose I/Opins. The LPC176x are pin-compatible to the 100-pin LPC236xARM7™ series.

A full range of development toolsEmbedded Artists' LPC1788 Developer's Kit lets you get up-and-run-ning quickly with the LPC1788 OEM Board. The LPC1788 OEM Boardis equipped with NXP's ARM® Cortex™-M3 processor-basedLPC1788 microcontroller suitable for a wide range of applicationsthat require advanced communication and high quality graphic dis-plays.The OEM board ships with an LPC1788 device that is a super-set of several other device variants of the NXP LPC177x/8x micro-controller series.

IAR's LPC1788 Developer's Kit contains all the necessary hardwareand software and and allows you to design, integrate and test yourapplications. The LPC1788 Evaluation Board is equipped with theARM® Cortex™-M3 LPC1788 microcontroller and is suitable for awide range of applications that requires advanced communicationand high quality graphic displays.

LPC177x/178x

FlashUp to 512 KB

EEPROM4 KB

SRAMUp to 96 KB

ROM

ARM CO RTEX-M3Up to 120 MHz

5 x UART

3 x SSP/SPI

2 x I 2C

I2C FM+I2S

FS USB 2.0H/D/O

EthernetMAC

2 x CAN 2.0B

LCDController

SD/MMC

ExternalMem Ctrl

4 x 32-bitTimers

RTC with Event Recorder

TickTimer

MPU

NVIC

WIC

DebugTrace

StandardPWM

GPIO

SYSTEM

MOTOR CONTROL

MEMORYCORE

Motor Control

PWM

QuadratureEncoderInterface

BrownoutDetector

CRCEngineGPDMA

USB PLL

CPU PLL

IRC

Power-onReset

WatchdogTimer

INTERFACES TIMERS

12-Bit /8 ChADC

10-bitDAC

ANALOG

Bus System

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:08 AM Page 3

Page 28: Resource Guide 2012

NXP

www.arrownac.com 26 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

NXP LPC1800 ARM® CORTEX™-M3MICROCONTROLLERS

Description:32-bit ARM® Cortex™-M3 MCU; up to 200 kB SRAM, withoptional peripherals

The LPC1800 series of ARM® Cortex™-M3 processor-based micro-controllers offer industry leader performance, large internal memo-ries and advanced peripherals. With up to 1 MB of flexible dual-bankFlash, the LPC1800 series offers the highest reliability in-applicationre-programming, and allows for non-stop Flash operation. TheLPC1800 also includes up to 200 kB of on-chip SRAM provided inmultiple banks. Running up to 180 MHz, the LPC1800 featureadvanced peripherals such as Ethernet, High Speed USB 2.0Host/OTG/Device, LCD controller, and CAN 2.0B and two new inno-vative peripherals: a flexible quad-SPI interface and a StateConfigurable Timer subsystem. All members of the series include aWakeup Interrupt Controller allowing automatic wake from any pri-ority interrupt as well as reduced power modes.

A Full range of development toolsThe Hitex LPC1850 evaluation board has a variety of special featuresshowcasing the high-performance DSC capability of the LPC1850microprocessor. The board is USB-powered, but can also be drivenby external power supply or via power-over-Ethernet. It is equippedwith 65MB SDRAM, 32MB parallel flash and 512kB SRAM and a seri-al EEPROM. For debugging a JTAG as well as a 20-pin Cortex™debug connector with ETM is available. All channels (USB1 andUSB2) as well as Ethernet are provided by Phy. This makes USBdevice, USB host and OTG available as well as UART and CAN.

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:08 AM Page 4

Page 29: Resource Guide 2012

SILICON LABS

www.arrownac.com 27 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

SIM3C1XX AND SIM3U1XX ARM® CORTEX™-M3 MICROCONTROLLERS

Description:The Precision32™ family offers a full lineup of footprint compatibleARM® Cortex™-M3 processor-based 32-bit microcontroller devicesranging in Flash size from 32 to 256 kB with industry-leading benefitsin terms of low power, analog capabilities, configurability, rapid devel-opment and integration.

Initializing peripherals and the patented dual-crossbar is a breeze withthe Precision32 AppBuilder, a free GUI that enables developers to quick-ly and graphically initialize peripherals, properties, and pinouts – allwithout reading the data sheet. Combining the world’s best peripheralswith the de facto standard 32-bit core makes designing with an MCUeasier than ever.

Key Features• 32-bit ARM® Cortex™-M3 CPU• 80 MHz maximum system clock• 32 to 256 kB Flash and 8 to 32 kB SRAM• External bus interface supports up to 16 MB external memory and

parallel LCD interface• Ultra-low-power modes• 85 nA sleep mode w/ brown out detector and 4 kB RAM preserved• 350 nA sleep mode w/ RTC (internal oscillator)• 650 nA sleep mode w/ RTC (external oscillator)• 275 μA/MHz at 80 MHz• Internal oscillator with PLL: 1–80 MHz ±1.5% accuracy; spread-

spectrum mode for reduced EMI• 2 x 12-bit 250 ksps ADCs (1 MSPS in 10-bit mode)• 1.2/1.65/2.4 V internal voltage references

Key Features (Continued)• 2 x 10-bit digital-to-analog converters• Temperature sensor• 2 low-power comparators with 6-bit programmable voltage

reference• 16 capacitive touch inputs• 16-channel DMA• 2 x USART, 2 x UART, 3 x SPI, 2 x I2C, I2S• 2 x 32-bit or 4 x 16-bit timers with capture/compare• 2 x 16-bit, 2-channel counters with capture/compare/PWM• 16-bit, 6-channel motor control counter• Up to 6 high drive (5–300mA) I/O• Up to 65 flexible I/O• 128/192/256-bit hardware AES encryption• 16/32-bit CRC• JTAG, serial-wire, and ETM debugger support• Temperature range: –40 to +85°C• 2.7–5.5 V supply voltage (internal regulator enabled)• 1.8–3.6 V supply voltage (internal regulator disabled)• LGA, QFN and TQFP package options (40/64/80/92-pin)

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:08 AM Page 5

Page 30: Resource Guide 2012

SILICON LABS

www.arrownac.com 28 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

SIM3L1XX ARM® CORTEX™-M3 ULTRA-LOW-POWER MICROCONTROLLERS

Description:High-Performance, Low-Power, 32-Bit Precision32™ MCU Familywith up to 256 kB of Flash

Features• 32-bit ARM Cortex-M3 CPU

- 50 MHz maximum frequency- Single-cycle multiplication, hardware division support- Nested vectored interrupt control (NVIC) with 8 priority levels

• Memory- 32–256 kB flash, in-system programmable- 8–32 kB SRAM with configurable low power retention

• Clock Sources- Internal oscillator with PLL: 23–50 MHz- Low power internal oscillator: 20 MHz- Low frequency internal oscillator (LFO): 16.4 kHz- External real-time clock (RTC) crystal oscillator- External oscillator: Crystal, RC, C, CMOS clock

• Power Management- Three (two scaleable) low drop-out (LDO) regulators- Power-on reset circuit and brownout detectors- DC-DC buck converter allows dynamic voltage scaling for

maximum efficiency (250 mW output)- Multiple power modes supported for low power optimization

• Low Power Features- 50 nA current mode with voltage supply monitor enabled- Low-current RTC (180 nA from LFO, 370 nA from crystal)- 2.5 μs wakeup, register state retention and no reset required

from lowest power mode- 180 μA/MHz at 3.3 V executing from flash- 140 μA/MHz at 3.3 V executing from SRAM- Specialized on-chip charge pump reduces power consumption- Process/Voltage/Temperature (PVT) Monitor

• 5 V Tolerant Flexible I/O- Up to 62 contiguous 5 V tolerant GPIO with one priority crossbar

providing flexibility in pin assignments

• Temperature Range: –40 to +85 °C

• Supply Voltage: 1.8 to 3.8 V

• Analog Peripherals- 12-Bit Analog-to-Digital Converter: Up to 250 ksps 12-bit mode

or 1 Msps 10-bit mode- 10-Bit Current-mode Digital-to-Analog Converter- 2 x Low-current comparators

• Digital and Communication Peripherals- 1 x USART with IrDA and ISO7816 Smart card support- 1 x UART that operates in low power mode- 2 x SPIs, 1 x I2C, 16/32-bit CRC- 128/192/256-bit Hardware AES Encryption- Encoder/Decoder: Manchester and 3 out of 6- Integrated LCD Controller: up to 160 segments (40x4),

auto-contrast and low power operation

• Timers/Counters- 3 x 32-bit or 6 x 16-bit timers with capture/compare- 16-bit, 6-channel counter with capture/compare/PWM and

dead-time controller with differential outputs- 16-bit low power timer/advanced capture counter operational in

the lowest power mode- 32-bit real time clock (RTC) with multiple alarms- Watchdog timer- Low power mode advanced capture counter (ACCTR)

• Data Transfer Peripherals- 10-Channel DMA Controller- 3 Channel Data Transfer Manager manages complex DMA

transfers without core intervention

• On-Chip Debugging- Serial wire debug (SWD) with serial wire viewer (SWV), JTAG (no

boundary scan) allow debug and programming- Cortex-M3 embedded trace macrocell (ETM)

• Package Options- QFN options: 40-pin (6 x 6 mm), 64-pin (9 x 9 mm)- TQFP options: 64-pin (10 x 10 mm), 80-pin (12 x 12 mm)

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:08 AM Page 6

Page 31: Resource Guide 2012

STMICROELECTRONICS

www.arrownac.com 29 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

STM32 L1 ARM® CORTEX™-M3 ULTRA-LOW-POWER 32-BIT MCU SERIES Description:The STM32 family of 32-bit Flash microcontrollers based on theARM® Cortex™-M processor is designed to offer new degrees offreedom to MCU users. It offers 32-bit product range that combineshigh performance, real-time capabilities, digital signal processing,and low power, low voltage operation, while maintaining full integra-tion and ease of development.

The unparalleled and large range of STM32 devices, based on anindustry-standard core and accompanied by a vast choice of toolsand software, makes this family of products the ideal choice, bothfor small projects and for entire platform decisions.

Based on the ARM® Cortex™-M3 core running at 32 MHz and ST’sproprietary ultra-low-leakage process, the STM32 L1 series offers awide portfolio in features, memory size and package pin count. TheSTM32 L1 and the well-known STM8 L1 are both members of theultra-low-power family and share the same ultra-low-leakageprocess technology developed by ST.

The STM32 L1 series combines ultra-low-power and performance.The portfolio covers from 32 to 384 Kbytes of Flash memory (up to48 Kbytes of RAM and 12 Kbytes of true embedded EEPROM) andfrom 48 to 144 pins. The series is available in three different lines:STM32L151 (no LCD), STM32L152 (LCD), STM32L162 (LCD andAES-128).

This innovative architecture (voltage scaling, ultra-low-power MSIoscillator) gives your design more performance for a very low powerbudget. The large number of embedded peripherals, such as the LCDinterface, op-amp, comparator, ADC, DAC and AES, gives it a veryhigh level of integration for all your needs.

To ease your migration and give you all the flexibility you need, theSTM32L is pin-to-pin compatible with the STM32F and opens thedoor to the full STM32 ecosystem.

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:08 AM Page 7

Page 32: Resource Guide 2012

STMICROELECTRONICS

www.arrownac.com 30 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

STM32 F1 ARM® CORTEX™-M3MICROCONTROLLER SERIESDescription:The STM32 F1 series incorporates the high-performance ARM®

Cortex™-M3 32-bit RISC core operating at a 32 MHz frequency, high-speed embedded memories (Flash memory up to 1 Mbyte and SRAMup to 96 Kbytes), and an extensive range of enhanced peripherals andI/Os connected to two APB buses. All devices offer standard commu-nication interfaces (up to two I2Cs, two SPIs, one HDMI CEC, and upto three USARTs), one 12-bit ADC, two 12-bit DACs, up to six general-purpose 16-bit timers and an advanced-control PWM timer.

The STM32 F1 low- and medium-density series operates in the –40to +85°C and –40 to +105°C temperature ranges, from a 2.0 to 3.6 V

power supply. A comprehensive set of power-saving mode allows thedesign of low-power applications.

The STM32 F1 series includes devices in three different packagesranging from 48 pins to 100 pins. Depending on the device chosen,different sets of peripherals are included. These features make theSTM32 F1 series is suitable for a wide range of applications such asapplication control and user interfaces, medical and handheldequipment, PC and gaming peripherals, GPS platforms, industrialapplications, PLCs, inverters, printers, scanners, alarm systems,video intercoms, and HVACs.

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:08 AM Page 8

Page 33: Resource Guide 2012

STMICROELECTRONICS

www.arrownac.com 31 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

STM32 F2 ARM® CORTEX™-M3 HIGH-PERFORMANCE MCU SERIESDescription:The STM32 family of 32-bit Flash microcontrollers based on theARM® Cortex™-M processor is designed to offer new degrees offreedom to MCU users. It offers 32-bit product range that combineshigh performance, real-time capabilities, digital signal processing,and low power, low voltage operation, while maintaining full integra-tion and ease of development.

The unparalleled and large range of STM32 devices, based on anindustry-standard core and accompanied by a vast choice of toolsand software, makes this family of products the ideal choice, bothfor small projects and for entire platform decisions.

The ARM® Cortex™-M3 processor-based STM32 F2 series is builton ST’s advanced 90 nm NVM process technology with the innova-tive adaptive real-time memory accelerator (ART Accelerator™) and

the multi-layer bus matrix offering an unprecedented price/perfor-mance trade-off.

This series is characterized by a high degree of integration combin-ing up to 1 Mbyte of Flash memory and up to 128 Kbytes of SRAMwith Ethernet MAC, USB 2.0 HS OTG, camera interface, and hard-ware encryption support and external memory interface.

ST’s acceleration technology enables these MCUs to achieve up to150 DMIPS at 120 MHz FCPU which is equivalent to zero wait stateexecution, while keeping the dynamic current consumption at theoutstandingly low level of 188 µA/MHz.

The devices are available in LQFP64, LQFP100, LQFP144, WLCSP64(< 4 x 4 mm), UFBGA176 and LQFP176 packages.

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:08 AM Page 9

Page 34: Resource Guide 2012

STMICROELECTRONICS

www.arrownac.com 32 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

STM32W ARM® CORTEX™-M3 WIRELESS MCUsDescription:The STM32 family of 32-bit Flash microcontrollers based on theARM® Cortex™-M processor is designed to offer new degrees offreedom to MCU users. It offers 32-bit product range that combineshigh performance, real-time capabilities, digital signal processing,and low power, low voltage operation, while maintaining full integra-tion and ease of development.

The unparalleled and large range of STM32 devices, based on anindustry-standard core and accompanied by a vast choice of toolsand software, makes this family of products the ideal choice, bothfor small projects and for entire platform decisions.

The STM32 family has been extended to the IEEE 802.15.4 wirelessnetwork domain bringing outstanding radio and low-power micro-controller performances.• Embedded 2.4 GHz IEEE 802.15.4 radio• Best-in-class code density, thanks to its ARM® Cortex™-M3 core• Low-power architecture

It is an open platform with extra resources for application integration:• Configurable I/Os, ADC, timers, SPI, UART• Main software libraries: RF4CE, IEEE 802.15.4 MAC

With a configurable total link budget up to 109 dB and the efficiencyof the ARM® Cortex™-M3 core, the STM32W is a perfect fit for thewireless sensor network market.

The STM32W series includes devices with 64 to 256 Kbytes ofon-chip Flash memory, up to 16 Kbytes of SRAM and is available inVFQFN40, UFQFN48 and VFQFN48 packages.

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:08 AM Page 10

Page 35: Resource Guide 2012

STMICROELECTRONICS

www.arrownac.com 33 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

STM32 F3 ARM® CORTEX™-M4 MIXED-SIGNALMCUs WITH DSP AND FPU INSTRUCTIONSDescription:The STM32 family of 32-bit Flash microcontrollers based on theARM® Cortex™-M processor is designed to offer new degrees offreedom to MCU users. It offers 32-bit product range that combineshigh performance, real-time capabilities, digital signal processing,and low power, low voltage operation, while maintaining full integra-tion and ease of development.

The unparalleled and large range of STM32 devices, based on anindustry-standard core and accompanied by a vast choice of toolsand software, makes this family of products the ideal choice, bothfor small projects and for entire platform decisions.

The STM32 F3 series of microcontrollers combines a 32-bit ARM®

Cortex™-M4 core with a DSP and FPU instructions running at 72MHz with advanced analog peripherals for more flexibility. Thisseries innovates in embedded DSC design by combining a ARM®

Cortex™-M4 core with fast 12-bit 5 MSPS and precise 16-bitsigma-delta ADCs, programmable gain amplifiers (4 gains, 1% accu-

racy), fast 50 ns comparators and versatile time control units runningat 144 MHz, giving optimum integration.

The STM32 F3 series allows designers to tackle mixed-signal con-trol applications. The devices are optimized for efficient handling andprocessing of mixed signals in circuits such as 3-phase motor con-trollers, biometrics and industrial sensor outputs or audio filters.They help simplify design, cut power consumption and reduce PCBsize in consumer, medical, portable fitness, system monitoring andmetering applications.

Pin compatible with the STM32 F1 series, the new STM32 F3 seriesenlarges the STM32’s ARM® Cortex™-M4 processor-based portfoliowhich now offers both entry-level cost with the F3 series and high-est performance with the F4 series.

The STM32 F3 series includes devices with 64 to 256 Kbytes of on-chip Flash memory, up to 48 Kbytes of SRAM and is available inWLCSP66 (less than 4.3 x 4.3 mm), LQPF48, LQFP64, LQFP100 andUFBGA100 packages.

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:08 AM Page 11

Page 36: Resource Guide 2012

STMICROELECTRONICS

www.arrownac.com 34 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

STM32 F4 ARM® CORTEX™-M4 HIGH-PERFORMANCE MCUs WITH DSP AND FPU INSTRUCTIONS Description:The STM32 family of 32-bit Flash microcontrollers based on theARM® Cortex™-M processor is designed to offer new degrees offreedom to MCU users. It offers 32-bit product range that combineshigh performance, real-time capabilities, digital signal processing,and low power, low voltage operation, while maintaining full integra-tion and ease of development.

The unparalleled and large range of STM32 devices, based on anindustry-standard core and accompanied by a vast choice of toolsand software, makes this family of products the ideal choice, bothfor small projects and for entire platform decisions.

The ARM® Cortex™-M4 processor-based STM32 F4 series is anextension of the industry-leading STM32 portfolio towards evenhigher performance. Like the STM32 F2 series, these MCUs leverageST’s 90 nm NVM technology and ST’s ART Accelerator™ to reachthe industry’s highest benchmark scores for ARM® Cortex™-M

processor-based microcontrollers with 210 DMIPS/469 Coremarkexecuting from Flash memory at 168 MHz operating frequency.

The DSP instructions and the floating point unit enlarge the range ofaddressable applications. The STM32 F4 series is the result of a per-fect symbiosis of the real-time control capabilities of an MCU and thesignal processing performance of a DSP, and thus complements theSTM32 portfolio with a new class of devices, digital signal controllers(DSC).

The STM32 F4 series remains pin-to-pin and software compatiblewith the STM32 F2 series and features more SRAM, peripheralimprovements such as full duplex I²S, improved RTC and fasterADCs.

The devices are available in LQFP64, LQFP100, LQFP144, LQFP176and UFBGA176 packages.

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:10 AM Page 12

Page 37: Resource Guide 2012

TEXAS INSTRUMENTS

www.arrownac.com 35 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

TMS470M ARM® CORTEX™-M3MICROCONTROLLERS

Description:TMS470M microcontrollers use the widely adopted ARM® Cortex™-M3CPU running at 80MHz. The family offers Flash memory options rang-ing from 256KB to 640KB (including up to 128KB EEPROM emulationcapability) and RAM memory ranging from 16KB to 64KB. A wide rangeof connectivity and control peripherals include two CAN controllers, twoLINs/UARTs, two multi-buffered SPIs, a 10-bit multi-buffered Analog toDigital converter and the powerful High End Timer co-processor mod-ule (HET). Built-in safety features like CPU and RAM self-test (BIST)engines, error correction code (ECC) and parity-checking make theTMS470M family a great value extension of the well-known ARM®

Cortex™-R4 processor-based TI TM5570 family for safety andtransportation applications.

Key Features• 80MHz ARM® Cortex™-M3 CPU • Up to 640KB Flash / 64KB RAM with ECC protection and EEPROM

emulation • Single 3.3V supply (Vreg on-chip) • Various communication peripherals 2 CAN, 2 MibSPIs, 2 LIN/UART • Flexible Timer module (16ch) • 10-bit Analog/Digital converter (16ch) • Safety features (ECC, BISTs, CRC) • Pin and software compatible family • Embedded debug module

For details on tools and software, go to:www.ti.com/mcu/docs/mcuprodtoolsw.tsp?sectionId=95&tabId=2830&familyId=1999&toolTypeId=1

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:10 AM Page 13

Page 38: Resource Guide 2012

TEXAS INSTRUMENTS

www.arrownac.com 36 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

STELLARIS® ARM® CORTEX™-M3SMICROCONTROLLERS

Description:Stellaris® MCUs and ARM® Cortex™-M3 offer a direct path to thestrongest ecosystem of development tools, software, and knowledge inthe industry. Designers who migrate to Stellaris will benefit from greattools, small code footprint, and outstanding performance.

The Stellaris family offers the industry´s first and broadest implemen-tation of ARM® Cortex™-M3 and the Thumb-2 instruction set. Withblazingly-fast responsiveness, Thumb-2 technology combines both 16-bit and 32-bit instructions to deliver the best balance of code densityand performance. Thumb-2 uses 26 percent less memory than pure32-bit code to reduce system cost while delivering 25 percent betterperformance.

The Stellaris® ARM® Cortex™-M3S microcontrollers (MCUs) feature anon-chip combination of 10/100 Ethernet MAC/PHY, USB On-The-Go/Host/Device, and Controller Area Network (CAN). There is also anoption for the versatile External Peripheral Interface (EPI) with modes tosupport SDRAM, SRAM/Flash, Host-Bus, and M2M parallel communica-tion modes. In addition, the Integrated Interchip Sound (I2S) interface,simultaneous dual ADC capability, a second watchdog timer with inde-pendent clock for safety-critical applications (supported by the IEC60730 library addition to the StellarisWare® Library), and a 16MHz, soft-ware-trimmed, one percent precision oscillator add flexibility and per-formance to any application.

For details on tools and software, go to:http://www.ti.com/lsds/ti/microcontroller/arm_stellaris/kits_modules.page

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:10 AM Page 14

Page 39: Resource Guide 2012

TOSHIBA

www.arrownac.com 37 Resource Guide • Fall/Winter 2012

ARM® Cortex™-M3 and Cortex™-M4 Microcontrollers

TX03 MICROCONTROLLER WITH ARM®

CORTEX™-M3 PROCESSOR CORESDescription:Toshiba's TX03 Microcontroller Series is built around ARM® Cortex™-M3 CPU technology. The ARM Cortex-M3 processing core offers highcode density, efficient data RAM usage, fast interrupt handling andhigher processing efficiency than ARM7™ or ARM9™ cores, and isstrongly supported by many third-party tools and software suppliers.

M360 Group The base versions of the M360 Group include microcontrollers thatoffer large-capacity Flash ROM and up to 18 serial interface channels.The advanced versions also provide USB, CAN and EtherMAC inter-faces, which are becoming de-facto standards in embedded systems,as well as motor control capabilities. The M360 Group are ideal for awide range of applications such as multifunction printers, audiovisualsystems, industrial equipment and digital appliances. • High-performance ARM Cortex-M3 core: 80-MHz operation (max) • Large-capacity embedded Flash ROM fabricated using Toshiba-

original NANO FLASH™ technology • Various serial interfaces (up to 18 channels) • USB device, USB host, CAN and EtherMAC controllers suitable for

multi-connection systems • External bus interface that can be connected to SoCs and external

extended memory • Multi-purpose timers capable of controlling various motors and

IGBTs • CEC interface and remote control signal preprocessor that remain

active even in SLEEP mode • Oscillation frequency detection (OFD) • Small package (9 x 9 mm TFBGA109) • Note: TFBGA package of the TMPM366 and TMPM367 only

M370 Group The M370 Group of microcontrollers contain a Vector Engine (VE) thatimplements the common computation functionality for motor vectorcontrol. Additionally, the VE is closely coupled with a three-phase PWMtimer and a 12-bit AD converter for motor current sensing. The M370Group are designed to improve motor control efficiency while reducingsoftware workload. The M370 Group operate with a single 5V supplyand provide analog comparators and amplifiers to help cut systemcosts. • High-performance ARM Cortex-M3 core: 80-MHz operation (max) • Toshiba-original NANO FLASHTM memory: Fast programming • Toshiba-original Vector Engine (VE) that implements part of motor

vector control as hardware • Various analog circuits* (comparators, op amps) *TMPM370 only• 12-bit AD converter • Oscillation frequency detection (OFD); compliant with the IEC 60730

standard • Single 5V power supply • Small package (7 x 7 mm LQFP48) Note: TMPM373FWDUG only

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:10 AM Page 15

Page 40: Resource Guide 2012

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:10 AM Page 16

Page 41: Resource Guide 2012

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:10 AM Page 17

Page 42: Resource Guide 2012

TEXAS INSTRUMENTS

www.arrownac.com 40 Resource Guide • Fall/Winter 2012

ARM® Cortex™-R4 Microcontrollers

TMS570LS ARM® CORTEX™-R4F SERIES

Description:TMS570 Transportation MCUs are the industry’s first ARM®

Cortex™-R4F processor-based floating point MCUs that meetIEC61508/SIL3 safety standards. Targeted transportation safetyapplications include automotive chassis and stability control, electricpower steering, hybrid and electric vehicles, aerospace, railwaycommunications, and off-road vehicle engine control.

The TMS570 family integrates dual ARM® Cortex™-R4F processorsin lock-step and is designed to meet automotive and transportationsafety standards. These devices provide system-wide protectionthrough seamless support for error detection from the processor,through the bus interconnect, and into the memories. The TMS570development process and safety concept has been IEC61508/SIL3certified by Exida, an independent third party assessor.

TMS570 MCUs also have an integrated high-performance FloatingPoint Unit (FPU) which can provide both single and double precision.The FPU along with flexible control peripherals simplifies the devel-opment of real-time auto coded applications generated from physi-cal based modeling tools.

Key features• Up to 180 MHz, ARM® Cortex™-R4F CPU • Lock-Step CPUs with fail safe detection logic • Up to 3 MB of Embedded Flash Memory with ECC • Dual 12-bit ADC, 8 redundant channels • Ethernet, FlexRay, CAN, LIN, Multi-Buffered SPIs, and DMA • Timer Co-Processer for PWM and input capture • Package options: 144 QFP and 337 BGA

For details on tools and software, go to:www.ti.com/mcu/docs/mcuprodtoolsw.tsp?sectionId=95&tabId=2661&familyId=1870&toolTypeId=1

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:10 AM Page 18

Page 43: Resource Guide 2012

TEXAS INSTRUMENTS

www.arrownac.com 41 Resource Guide • Fall/Winter 2012

ARM® Cortex™-R4 Microcontrollers

HERCULES RM4 ARM® CORTEX™-R4F SERIES

Description:The Hercules RM4x Safety MCU family enables customers to easilydevelop safety critical industrial and medical applications. TheRM48x ARM® Cortex™-R4F core offers up to 220MHz of floatingpoint performance, more than 350 DMIPS. With integrated safetyfeatures, advanced connectivity options, and flexible real-time con-trol peripherals, the RM4x family is an ideal solution for industrialand medical applications with specific safety requirements.

The RM48x is the highest performance Hercules Safety microcon-troller family. Based on the ARM® Cortex™-R4F floating point corerunning at up to 220 MHz it includes several flash memory and con-nectivity options. Developed with the capability to meet the require-ments of the IEC 61508 SIL-3 safety standard and supporting manyfunctional safety features including a dual-CPU lockstep architec-ture, hardware built-in self-test (BIST), memory protection unit(MPU), error correction code (ECC) and parity checking, the RM4xsafety microcontrollers provide a high level of diagnostic coveragewithout costly safety software overhead.

A wide choice of communication interfaces makes this family anideal solution for safety critical industrial and medical applications.

Key features• ARM® Cortex™-R4F core with floating-point support• Up to 220 MHz• Lockstep safety features built-in simplify SIL-3 applications• Up to 3MB Flash/256KB RAM with ECC• Memory protection units in CPU and DMA• Multiple network peripherals:• Ethernet, USB, CAN• Flexible timer module with up to 44 channels• 12-bit analog/digital converter• External memory interface

For details on tools and software, go to:www.ti.com/mcu/docs/mcuprodtoolsw.tsp?sectionId=95&tabId=2843&familyId=2056&toolTypeId=1

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:10 AM Page 19

Page 44: Resource Guide 2012

FREESCALE

www.arrownac.com 42 Resource Guide • Fall/Winter 2012

ARM® Cortex™-A5

VYBRID™ CONTROLLER SOLUTIONS

Description: The Vybrid™ portfolio brings to market a unique, low-power systemsolution that provides customers a way to combine rich applicationsrequiring high-resolution graphical displays and connectivity with real-time determinism. The Vybrid portfolio enables customers to create sys-tems that concurrently run a high-level operating system such as Linux®

and a real-time operating system such as MQX™ on the same device.This, along with a communication API between the rich domain, thereal-time domain and a tool chain that eases debug of such systems,dramatically shortens customer time to revenue. The families in theVybrid portfolio span entry level products for customers who want toupgrade from the Kinetis MCU to devices with large on-chip SRAM upto highly integrated, heterogeneous dual-core solutions that can serveindustrial and consumer markets. Each device in the Vybrid portfoliooffers a rich suite of reference designs, app notes, board support pack-ages and middleware for its market space. This, along with the vastresources of Freescale, ARM® and open source communities, will helpcustomers to develop software solutions and support for their applica-tions and dramatically reduce time-to-market.

Scalable Across Multiple CoresVybrid devices have a dual core architecture that combines the ARM®

Cortex™-A5 application processor and the ARM Cortex-M4 for real-time control. The Vybrid portfolio is designed to be a transitional productfrom Kinetis MCUs featuring the ARM Cortex-M4 core and the i.MX6series featuring the ARM Cortex-A9 core, while also providing scalabledevices that can address the needs of a market that demands criticalsafety and security, connectivity and rich HMI in the same piece of sili-con. The Vybrid roadmap is built with this scalability and code compati-bility in mind so that the performance of the device roadmap grows withthe customer’s needs long into the future.

One of the key benefits of the Vybrid heterogeneous architecture thatcombines the ARM Cortex-A5 core with the ARM Cortex-M4 core is thepartitioning of tasks based on their characteristics. For tasks that needpredictable interrupt management, for example, a typical need for real-time applications, the Vybrid platform has the ARM Cortex-M4 core witha Nested Vector Interrupt Controller (NVIC) while allowing graphicalapplications and connectivity stacks to be run on the ARM Cortex-A5applications processor.

Part #: TWR-VF65GS10Coming Soon

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:10 AM Page 20

Page 45: Resource Guide 2012

FREESCALE

www.arrownac.com 43 Resource Guide • Fall/Winter 2012

ARM® Cortex™-A5

VYBRID™ CONTROLLER SOLUTIONS (CONTINUED)

Software can be segmented so that tasks that need predictable laten-cies can be run on the ARM Cortex-M4 core and computer-intensiveprocesses run on the ARM Cortex-A5 core.

Total System SolutionVybrid devices take a total system approach. Complementing the low-power silicon is a reference Linux® BSP, a full-featured MQX RTOS, ref-erence MQX BSP and a processor-to-processor communication API thatlets customers partition their code between the ARM Cortex-A5 (e.g.,running Linux) and ARM Cortex-M4 (e.g., running MQX) to implementthe lowest power solution for their application demands. In addition,customers have access to industry-leading IDE tool chains such asCodeWarrior with Processor Expert, ARM DS-5™ and IAR. A selection ofconnectivity, motor control, LCD, security stacks and drivers is alsoavailable. Vybrid devices are supported by Freescale’s Tower System,offering the flexibility to easily scale and expand customer designsbased on market need. Tower Systems allow rapid prototyping in adevelopment platform that maximizes hardware reuse and speedstime-to-market. Vybrid devices also accelerate time-to-market by pro-viding a range of on-demand resources including reference designs,application notes, white papers and training to assist in implementingdesigns.

Low-Power ProcessOne of the critical foundational pieces of the Vybrid platform is its low-power process technology. The devices in this portfolio are fabricated inthe 40 nm low-power process. This enables more integration for a givenpower envelope thus dissipating much less power for the same device.

Unprecedented System Integration The Vybrid platform has an unprecedented level of system integrationfor a solution of its class. The centerpiece is the core complex featuringthe ARM Cortex-A5 and ARM Cortex-M4 cores.

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:10 AM Page 21

Page 46: Resource Guide 2012

ALTERA

www.arrownac.com 44 Resource Guide • Fall/Winter 2012

ARM® Cortex™-A8 and Cortex™-A9 Processors

DUAL-CORE ARM® CORTEX™-A9 MPCORE™PROCESSOR Key Features • 800-MHz dual-core processor supporting symmetric and

asymmetric multiprocessing• Each processor includes the following:

- High-efficiency, dual-issue superscalar pipeline (2.5 MIPS* per MHz)

- NEON™ media processing engine for media and signal processing acceleration

- Single- and double-precision floating-point unit- 32-KB instruction and 32-KB data caches- Cache coherence for enhanced inter-processor communication- Memory Management Unit with TrustZone® security technology- Thumb®-2 technology for enhanced code density, performance,

and power efficiency- Jazelle® architecture extensions for accelerating Java Virtual

Machine- Program Trace Macrocell for full visibility of processor

instruction flow

• Shared 512-KB, 8-way associative L2 cache, lockable by way, line,or master

• Acceleration coherency port that extends coherent memory access beyond the CPUs

• Generic interrupt controller• 32-bit general purpose timer• Watchdog timer• Available in Altera® Arria® V SoC FPGAs and Cyclone® V SoC FPGAs

* Dhrystones 2.1 benchmark

DescriptionA dual-core ARM® Cortex™-A9 MPCore™ applications-classprocessor is integrated as a hard intellectual property (IP) componentin Altera's Arria V SoC FPGAs and Cyclone V SoC FPGAs. Optimizedfor maximum system performance, the ARM Cortex-A9 processorleverages best-in-class development tools and operating systemsupport from the vast array of ARM ecosystem partners.

HPSThe ARM Cortex-A9 processor is combined with a rich set of embed-ded peripherals, interfaces, and on-chip memories to create a com-plete hard processor system (HPS). The high-bandwidth on-chipbackbone connecting the HPS and FPGA fabric provides over 100Gbps peak bandwidth, ideal for sharing data between the ARMprocessor and hardware accelerators within the FPGA fabric.

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:10 AM Page 22

Page 47: Resource Guide 2012

FREESCALE

www.arrownac.com 45 Resource Guide • Fall/Winter 2012

ARM® Cortex™-A8 and Cortex™-A9 Processors

i.MX53 APPLICATIONS PROCESSORS

Description: i.MX53 Family of ProcessorsThe i.MX53 family of processors represents our next-generation ofadvanced multimedia and power efficient implementation of the ARM®

Cortex™-A8 core with core processing speeds up to 1.2 GHz. It is opti-mized for both performance and power to meet the demands of high-end, advanced applications. Ideal for a broad range of applications inthe consumer, automotive, medical and industrial markets, the i.MX53includes an integrated display controller, full HD capability, enhancedgraphics and connectivity features. The i.MX53 family also boasts acompanion power management IC (PMIC)—MC34708—designedexclusively for i.MX processors.

Target Applications• Smart mobile devices• Gaming devices• Smart monitors• Auto infotainment• Digital signage• Telehealth• Video-enabled IP phones• HMI for appliances, building control, factory/home automation,

printers and security panels• Patient monitors• Point-of-sale terminals• Surveillance cameras• Security• Barcode scanners

Industrial ApplicationsThe i.MX537 with core processing speeds up to 800 MHz is optimizedfor both performance and power to meet the demands of high-endadvanced industrial and medical applications. Integrated display con-troller, 1080p HD video decode and 720p video encode, enhancedgraphics and connectivity features make the i.MX537 suitable for a widerange of applications such as human-machine interfaces (HMI) andpatient monitors which require rich user interfaces with high color dis-plays and user interaction. The i.MX537 provides key environmental dif-ferentiators for the industrial market. These include 3.3 volt I/O support,a 0.8 mm pitch package to reduce PCB and manufacturing costs,extended temperature coverage for harsh environments, industrial qual-ification for extended reliability and a formal long product supply guar-antee to support product life spans.

i.MX53 Quick Start BoardThe i.MX53 Quick Start Board is a $149 open source development plat-form. Integrated with an ARM® Cortex™-A8 1 GHz processor and theFreescale MC34708 PMIC, the Quick Start Board includes a display con-troller, hardware-accelerated graphics, 1080p video decode and 720pencode as well as numerous connectivity options ideally suited for appli-cations such as human machine interface in embedded consumer,industrial and medical markets.

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 1

Page 48: Resource Guide 2012

FREESCALE

www.arrownac.com 46 Resource Guide • Fall/Winter 2012

ARM® Cortex™-A8 and Cortex™-A9 Processors

i.MX6 APPLICATIONS PROCESSORSDescription:The i.MX6 series unleashes a scalable multicore platform that includessingle-, dual- and quad-core families based on the ARM® Cortex™-A9architecture for next-generation consumer, industrial and automotiveapplications. By combining the power-efficient processing capabilitiesof the ARM® Cortex™-A9 architecture with bleeding edge 3D and 2Dgraphics, as well as high-definition video, the i.MX6 series provides anew level of multimedia performance to enable an unbounded next-generation user experience. Complimenting the industry-leading scala-bility of the i.MX6 single-, dual- and quad-core offering is the PF seriesof power management ICs. Combined with the simplified power require-ments of the i.MX6 platforms, the PF series is capable of supplying allthe voltage rails required in numerous applications, significantly reduc-ing the bill of materials and simplifying the system design.

Target Applications• Media tablets• Education tablets• eReaders• Automotive infotainment• Aero infotainment• HMI• Portable medical• IPTV• IP phones• Smart energy systems• Intelligent industrial control systems

The market for intelligent, multimedia-centric, touch-based devices isincreasing exponentially. Tomorrow’s battery-powered smart devices,auto infotainment and aero infotainment systems, medical systems, per-sonal and enterprise class intelligent control and data systems and newclasses of devices never before seen need to present data and userinterface choices to the end user primarily through rich sound, video,voice, pictures and touch, rather than keyboards and mice. And the needfor manufacturers to quickly provide multiple devices to fit specific mar-ket segments or niches and provide their customers with a broaderrange of choices is increasing just as quickly.

The i.MX6 series was designed specifically to enable this new market bybringing together high-performance scalable multimedia processing, asoftware-compatible family of five processors and pin-compatibleprocessor solutions with integrated power management so that a man-ufacturer can deploy a full portfolio of products with a single hardwaredesign.

Scalable Multicore SolutionsThe i.MX6 series reaches a new level of power versus performance byproviding a scalable family of single-, dual- and quad-core processorfamilies based on the ARM® Cortex™-A9 architecture. Single- and dual-core designs provide cost-effective performance scalability while theflagship i.MX6 Quad processor provides more performance at lowerpower for the most demanding applications with constrained powerbudgets. The pin*- and software-compatible i.MX6 series allows design-ers to create a broad portfolio of products based on a common platformwhile providing compelling performance advantages for systems withconstrained power budgets.*i.MX 6SoloLite not pin compatible

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 2

Page 49: Resource Guide 2012

TEXAS INSTRUMENTS

www.arrownac.com 47 Resource Guide • Fall/Winter 2012

ARM® Cortex™-A8 and Cortex™-A9 Processors

SITARA™ AM335X ARM® CORTEX™-A8MICROPROCESSORS

Description:New AM3352, AM3354, AM3356, AM3357, AM3358 and AM3359,Sitara™ ARM® Cortex™-A8 microprocessors (MPUs) deliver up to720 MHz ARM® Cortex™-A8 performance with robust peripheral setand flexible power management modes that consume as little as7mW of power. These MPUs are ideal for a variety of fan-lessportable navigation terminals, handheld gaming devices and homeand building automation control systems as well as industrialautomation devices including drives and I/O-level devices, industrialPLC applications and HMI products.

Optimized for system and peripheral integration, the AM335xSitara™ ARM® Cortex™-A8 MPUs provide multiple high-perform-ance interfaces, such as, USB2.0 w/ PHY, Gigabit Ethernet two-portswitch, CAN, and more. The PRU enables real-time industrial com-munications capability (master and slave) supporting popular proto-cols, such as EtherCAT®, Ethernet/IP, PROFIBUS®, PROFINET®, POW-ERLINK, and SERCOS III.

AM335x ARM® Cortex™-A8 MPUs key features include:• 275-720 MHz ARM® Cortex™-A8 core with high-level operating

system support including Linux® and Android™ with multiple power and performance operating points

• Integrated high-bandwidth connectivity peripherals (USB2.0 w/ PHY, Gigabit Ethernet two-port switch, CAN, PRU, Crypto, LPDDR1/DDR2/DDR3 interfaces) for device flexibility and quick transmission of data on and off the chip while saving board spaceand bill of materials cost by as much as $40

• Integrated 3D graphics and touch screen controller for advanced GUIs and touch screen interfaces

• On-chip, production-ready, industrial Ethernet and Fieldbus communication protocols with master and slave functionality eliminate the need for an external ASIC/FPGA and save more than30 percent on BOM costs

• TI EZ software development kits for Linux® and Android™ allowsdevelopers to begin evaluation within minutes and includes development software and example code into a single package with only one installation

• AM335x ARM® Cortex™-A8 MPU StarterWare™ software suite provides a microcontroller-like development environment, withoutrequiring an operating system

For details on tools and software, go to:www.ti.com/lsds/ti/arm/toolsw.page

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 3

Page 50: Resource Guide 2012

TEXAS INSTRUMENTS

www.arrownac.com 48 Resource Guide • Fall/Winter 2012

ARM® Cortex™-A8 and Cortex™-A9 Processors

SITARA™ AM35X ARM® CORTEX™-A8MICROPROCESSORS

Description:The new Sitara™ family is offering two new ARM® Cortex™-A8processor-based devices, the AM3505 and AM3517 microproces-sors. The corresponding AM3517 evaluation module supports fast,reliable product evaluation and prototyping. The AM3505 andAM3517 microprocessors provide a combination of industrial tem-perature options, CAN controller, USB PHY, 3.3V I/O and multiplepackage options, making it possible for developers to create prod-ucts that can endure rugged and extreme thermal industrial envi-ronments, for applications such as industrial automation, electron-ic point of service, digital signage, portable data terminals and sin-gle board computers.

AM3517/05 key features: • Superscalar 500 MHz ARM® Cortex™-A8 core provides 1000

Dhrystone million instructions per second (MIPS)• Integrated CAN controller• Sub-one watt power consumption• Connectivity options with a high-speed USB 2.0 on-the-go with

built in PHY to conserve board space and an 10/100 EMAC• Display subsystem with picture-in-picture, color space conversion,

rotation and resizing• AM3517 includes the PowerVR™ SGX graphics engine which

supports OPENGL® ES 2.0.

For details on tools and software, go to:www.ti.com/lsds/ti/arm/sitara_arm_cortex_a_processor/sitara_arm_cortex_a8/am35x_arm_cortex_a8/toolsw.page

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 4

Page 51: Resource Guide 2012

TEXAS INSTRUMENTS

www.arrownac.com 49 Resource Guide • Fall/Winter 2012

ARM® Cortex™-A8 and Cortex™-A9 Processors

AM37X ARM® CORTEX™-A8MICROPROCESSORS

Description:AM37x devices are ARM® Cortex™-A8 based microprocessorssupporting up to 1GHz performance for high-performance, lowpower devices. This solution offers a 40 percent increase in per-formance, twice the graphics performance, and a 30 percentdecrease in power consumption over previous generations.Additionally, device scalability protects software investments andprovides existing customers and developers an easy migrationpath for product enhancements through the Sitara™ andDaVinci™ product lines. Rapid product development is possiblewith the modular AM37x Evaluation Module, which includes aLinux® software development kit. Support for Windows®

Embedded CE and other RTOS solutions are also available.

AM37x (Up to 1GHz) optimized for:• Offer a 40 percent increase in performance, twice the graphics

performance, and a 30 percent decrease in power consumption over previous generations.

• Device scalability protects software investments and provides an easy migration path through the Sitara™ and DaVinci™ product lines.

• Enjoy rapid product development with the modular AM37x Evaluation Module, which includes a Linux® software development kit.

• Support for Windows® Embedded CE and other RTOS solutions.

For details on tools and software, go to:www.ti.com/lsds/ti/arm/sitara_arm_cortex_a_processor/sitara_arm_cortex_a8/am37x_arm_cortex_a8/toolsw.page

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 5

Page 52: Resource Guide 2012

ATMEL

www.arrownac.com 50 Resource Guide • Fall/Winter 2012

ARM9™ Microprocessors

ATMEL® SAM9G, SAM9X, AND SAM9M ARM926EJ-S™ MICROPROCESSORSDescription:SAM9GThe SAM9G devices build the foundation of the ARM926™ core-based Atmel® embedded MPU line. Designed to complement thepower of the ARM926 core, these flexible devices deliver a rich com-bination of peripherals in a highly integrated design. The high band-width architecture is based on a multi-layer bus matrix, multipleDMA channels and External Bus Interface to ensure internal andexternal data flows with minimum processor overhead. Integratedpower management techniques preserve the power budget andreduce BOM cost.

To ease application development, evaluation boards are available,delivered with free packages for Android™, Microsoft® Windows®

and Linux®. The SAM9G devices are fully supported by a worldwideecosystem of industry-leading suppliers of development tools, oper-ating systems, protocol stacks and applications.

Key Features• Extensive peripherals for networking/connectivity — Includes

10/100 Ethernet, SD/SDIO/MMC, FS USB 2.0 Device and Host, USART, SPI, SSC, TWI, and a 10-bit ADC.

• Optimized Internal Data Bandwidth — Integrates a processor clock running at 180 MHz and a high data bandwidth architecturebased on a 6-layer bus matrix with 24 DMA channels.

• Up to 512kB of embedded Flash — Enables critical code to besecurely stored on-chip, reducing the need for external memory.

• 1.8 to 3.3V Operation — True 1.8V±10% operation extends device operation for battery-powered devices and other power-sensitive applications.

Description:SAM9XThe ARM926™ core-based Atmel® SAM9X embedded MPUs are high-performance, highly integrated processors. Running at 400 MHz, theydeliver a rich set of connectivity peripherals, such as dual Ethernet dualCAN, triple USB ports and seven UARTS. Additional features include anintegrated soft modem, high-speed serial communication, TFT LCDcontroller and LPDDR/DDR2 memory support. A multilayer bus matrixarchitecture and multiple DMA channels ensure uninterrupted datatransfer with minimum processor intervention. Low voltage, low powerconsumption and reduced system cost make the Atmel SAM9X idealfor cost-sensitive machine-to-machine applications. The processorsare supported by full-featured evaluation kits to enable rapid applica-tion development, as well as a worldwide ecosystem of industry-lead-ing suppliers of development tools, operating systems, protocol stacksand applications.

Key Features• Extensive Peripherals for Connectivity — Peripheral sets may

include dual 10/100 Ethernet, dual CAN, FS/HS USB 2.0 Device and Host, SD/SDIO/MMC, soft modem, 32-bit timers, USART, SPI, SSC, TWI, 10-bit ADC.

• High-performance Data Speedway — Atmel SAM9X MPUs integrate a processor clock running at 400 MHz and a high data bandwidth architecture based on a 12-layer bus matrix with up to22 DMA channels. The External Bus Interface supports distributedon- and off-chip memory.

• Next-generation Memory — LPDDR/DDR2 support ensures supply and cost efficiency. Plus, these MPUs feature MLC NAND Flash support with 24-bit error code correction.

• Low Power and Low System Cost — Power consumption is only300µW/MHz at 400MHz operation and 8µA in backup mode. 3.3VIOs eliminate the need for external level shifters, while 0.8mm ball pitch packages reduce PCB design complexity and cost.

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 6

Page 53: Resource Guide 2012

ATMEL

www.arrownac.com 51 Resource Guide • Fall/Winter 2012

ARM9™ Microprocessors

ATMEL® SAM9G, SAM9X, AND SAM9MARM926EJ-S MICROPROCESSORS (CONTINUED)Description:SAM9MThe Atmel® SAM9M ARM926™ core-based embedded MPU inte-grates an on-chip hardware video decoder and 2D accelerator,ensuring high-quality video functionality while preserving the fullprocessing power of the CPU for the application. Additional featuresinclude an embedded TFT LCD controller, resistive touchscreen sup-port, camera interface, audio, high-speed serial communication andDDR2 memory support. Architectured for maximum performance,the SAM9M is still power-efficient, with typical consumption levelsbelow 300µW/MHz. The SAM9M includes AES, TDES and SHA hard-ware encryption, making the device suitable for secured networkedapplications and software authentication. For rapid evaluation andcode development, an evaluation kit is available, delivered with freepackages for Android™, Microsoft® Windows® and Linux® to enablerapid application development. Industry-leading third parties supplya full range of development tools, operating systems, protocol stacksand applications to minimize time-to-market.

Key Features• Integrated Multimedia Capability — Hardware video decoder

with playback of multiple formats (H264, H263, MPEG4, MPEG2, VC1, JPEG) in resolutions up to WVGA or D1 at 30 fps and 2D accelerator with scaling, rotation, color conversion and picture-in-picture.

• DDR2 Memory Support — DDR2 support ensures supply and cost efficiency. In addition to SDRAM and NOR Flash, with boot from NAND Flash, SDCard, DataFlash® or serial DataFlash, it alsofeatures NAND Flash support.

• High-performance Data Speedway — Integrates a processor clock running at 400 MHz and a high data bandwidth architecturebased on a 12-layer bus matrix with 36 DMA channels. A Dual External Bus Interface supports distributed on- and off-chip memory.

• Low Power and Low System Cost — Only 300µW/MHz at 400MHz operation and 8µA backup mode. 3.3V IOs eliminate the need for external level shifters while integrated power management saves the cost of an expensive power managementIC. 0.8mm ball pitch package reduces PCB design complexity and cost.

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 7

Page 54: Resource Guide 2012

FREESCALE

www.arrownac.com 52 Resource Guide • Fall/Winter 2012

ARM9™ Microprocessors

i.MX28 APPLICATIONS PROCESSORS

Description:i.MX28 Family of Multimedia Applications ProcessorsThe i.MX28 family of multimedia applications processors is part of ourARM9™ product portfolio. The i.MX28 family integrates display, powermanagement and connectivity features unmatched in ARM9 processor-based devices, reducing system cost and complexity for cost-sensitiveapplications. With optimized performance and power consumption, thei.MX28 is an ideal fit for battery-operated or fanless equipment.Additionally, the LCD controller with touch screen capability makes itpossible to design creative and intuitive user interfaces. The i.MX28family reaches new levels of integration in ARM9 devices and providesthe enablement needed to help design differentiated industrial, automo-tive and consumer products in less time.

Target Applications• Smart appliances• Human-machine interface for appliances, building contol, factory

automation, printers and security panels• Industrial control• Media gateways/accessories• Portable medical• Smart energy gateways/meters• Automotive audio systems• Automotive connectivity modules

Industrial NeedsLike the rest of the i.MX portfolio, the i.MX28 family provides key envi-ronmental differentiators for the industrial market. These include 3.3volt I/O support, a 0.8 mm pitch package to reduce PCB and manufac-turing costs, extended temperature coverage for harsh environments,industrial qualification for extended reliability, a formal long productsupply guarantee to support product life spans and a strong ecosystem,including module manufacturers, software integrators and developmenttools.

i.MX28 Key Features• 454 MHz ARM926EJ-S™ core with 16 KB/32 KB I and D cache• PMU to power the device and drive external components supports

Li-Ion batteries and direct connection to 5 volt supplies• Dual IEEE® 1588 10/100 Ethernet with RMII support and L2 switch• Dual CAN interfaces• NAND support: SLC/MLC and eMMC 4.4 (managed NAND)• Hardware BCH (up to 20-bit correction)• 200 MHz 16-bit DDR2, LV-DDR2, mDDR external memory support• Dual High-Speed USB with PHY• Up to eight general-purpose 12-bit ADC channels and single 2 Msps

ADC channel• Temperature sensor for thermal protection• Multiple connectivity ports (UARTs, SSP, SDIO, SPI, I2C, I2S)• Product family supports various feature sets

BenefitsIndustrial-strength integration• Reduces system cost and complexity and provides greater flexibility in

system design• Industry-leading power management eliminates external components• High level of peripheral integration, including display, connectivity,

real-time control, security and networking

Industrial qualification and product longevity• Supports the full life of the product in the field• Part of the Freescale Product Longevity Program—15 years

Optimized performance and power consumption• Increased battery life for portable equipment• Improved energy efficiency for wall-powered or fanless systems

Software and Tools• Linux® and Windows® Embedded CE BSPs• Multimedia codecs: Proven codecs enable faster time-to-market• Complete software solution at no cost

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 8

Page 55: Resource Guide 2012

www.arrownac.com 53 Resource Guide • Fall/Winter 2012

Development Tools

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 9

Page 56: Resource Guide 2012

www.arrownac.com 54 Resource Guide • Fall/Winter 2012

AAEON DEVELOPMENT TOOLS

GENE-1350 OMAP™ 3503/3530 CPU BOARDDescription: • TI OMAP™ 3503/3530 Processor • 3D Graphics & Video Accelerator Support with 3530 Processor • Onboard 128/256 MB (Optional) LP DDR RAM • 10/100Base-TX Ethernet

• CRT/ 24-bit TTL LCD/ 18-bit LVDS LCD • 2CH Audio • Onboard NAND Flash, SD, MicroSD • USB2.0 Host x 2, USB2.0 Client x 1, COM x 2, 8-bit Digital I/O • Mini Card x 2, Proprietary Expansion Slot x 1 • Onboard 4-wire Resistive Touch Screen Controller • +9V to +24V DC Input • Onboard Battery Charger • Windows® CE 6.0/ Linux® 2.6.32/ Android™ 2.2 • Less Than 3 Watt Power Consumption • Operating Temperature: W2: -40°C ~ 80°C, W1: -20°C ~ 70°C

ADENEO EMBEDDED DEVELOPMENT TOOLS

ADENEO ARM® BOARD SUPPORT PRODUCTS Description: Adeneo Embedded earned a solid reputation for embedded operatingsystem integration into target platforms. We provide thoroughly testedhigh quality BSPs that ease and speed up the development of Windows®

Embedded CE 6.0/Compact 7, embedded Linux® or Android-baseddevices.

We make available a wide range of reference BSPs based on best-in-class silicon vendor processors. Our BSPs offer excellent integrationwith major embedded operating systems including the Windows®

Embedded CE Suite (Windows® CE 5.0, Windows® Embedded CE 6.0and Windows® Embedded Compact 7), Android™ and embeddedLinux®.

Ready-to-Use BSPs for Off-the-Shelf SolutionsWe work closely with board manufacturers to deliver production-readyBSPs for off-the-shelf solutions. These close technology partnershipsallow engineering teams to bring new ideas to market in the most time-ly and cost-efficient manner. Our customers appreciate the ability tofocus on their market, their end-users and what they do best.

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 10

Page 57: Resource Guide 2012

www.arrownac.com 55 Resource Guide • Fall/Winter 2012

ADVANTECH DEVELOPMENT TOOLS

ADVANTECH ARM® CORTEX™-A8, CORTEX™-A9AND CORTEX™-A15 COMPUTING PLATFORMS Description: Advantech’s RISC computing platforms provide system developers andOEMs with a standardized, ultra compact yet highly integrated comput-ing solution that can be utilized across multiple embedded PC, systemand appliance designs. Advantech’s RISC offers system designers amore compact, flexible and feature-rich solution for a range of devicedesigns. It can meet the requirements for power-optimized mobiledevices needing to operate with less than 3W; and performance-opti-mized consumer application requiring 2000 Dhrystone MIPS.

Advantech’s RISC also addresses the need for a simple, modularapproach to Industrial PC design, making it easier to bring to marketultra-compact and lightweight devices that offer comprehensive con-nectivity options and a rich, flexible feature set.

Advantech RISC Features• ARM® Cortex™-A8, Cortex™-A9 and the latest Cortex™-A15 based

solutions• High performance with low power consumption• Heatsinkless operation• Ultra compact/small footprint system designs• Better reliability• Extended temperature• Multi-integrated functions• Guaranteed long product life cycle• Android™, Windows® Embedded Compact 7, and Embedded Linux®

ready

ALTIA DEVELOPMENT TOOLS

GUI DEVELOPMENT AND CODE GENERATIONTOOLS FOR EMBEDDED DISPLAYS Description: Great user interfaces make the complex simple – and so do great userinterface development tools. With Altia's suite of user interface develop-ment software, you get a first class embedded display from your artist’simagination to the lowest cost hardware in the shortest amount of time.Whether you are creating a new product display or giving your currentproduct a face-lift, Altia’s user interface engineering tool chain gives youthe capability to create amazing embedded GUIs. 

Develop Concept: Altia PhotoProto (Adobe Photoshop add-on)FlowProto (Microsoft Visio add-on)

Build User Interface: Altia Design  Generate Code: Altia DeepScreen

Altia supports a wide range of hardware targets from companies likeFreescale Semiconductor, NXP Semiconductors, Renesas,STMicroelectronics, Texas Instruments and many more.

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 11

Page 58: Resource Guide 2012

www.arrownac.com 56 Resource Guide • Fall/Winter 2012

BCM DEVELOPMENT TOOLS

ARM® CORTEX™-A8 PLATFORM FORFREESCALE i.MX MCUsDescription: ARM® Cortex™-A8 Platform, Freescale i.MX515 ARM® Cortex™ 800MHz Processor Onboard, RISC-based form factor, low-power small formfactor.

Product Features: • Freescale i.MX515 ARM® Cortex™-A8 800MHz CPU• Onboard 4 GB MLC NAND Flash• Onboard 512MB DDR2 SDRAM• 16~24-bits TTL/ LVDS LCD Up to 1280 x 800• Audio Codec/ 2.2W Ampilifer• 10/100Mbps LAN• 1 x RS-232, 1 x RS-232/422/485• 4 x USB• +12V DC Input• Optional HDMI• Windows® CE/Linux®/ Android™

BOUNDARY DEVICES DEVELOPMENT TOOLS

NITROGEN6X-SOM, FREESCALE i.MX6SINGLE/DUAL/QUAD-CORE CPU Description: The Nitrogen6X-SOM, is a low cost, highly integrated System-on-Module based on the Freescale i.MX6 Single/Dual/Quad-Core CPU.

The SOM is ideal for customers looking for rapid product developmentwhile maintaining the flexibility of a custom design. The Nitrogen6X-SOM has a wide variety of peripheral options including Gigabit Ethernet,multiple display channels, and PCIe. Design your own carrier board orcontact us for a custom carrier board quote.

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 12

Page 59: Resource Guide 2012

www.arrownac.com 57 Resource Guide • Fall/Winter 2012

DIGI DEVELOPMENT TOOLS

CONNECTCORE® i.MX53 ARM® CORTEX™-A8SYSTEM-ON-MODULESDescription: Build industry-leading products from dedicated network communicationdevices to multi-display image/video solutions using Digi’s high-per-formance 32-bit ARM® ConnectCore® family. Connectivity optionsinclude Ethernet, 802.11abg(n) Wi-Fi®, Bluetooth®, 2G/3G cellular and802.15.4/ZigBee®.

The network-enabled ConnectCore® for i.MX53 is a highly integratedand future-proof system-on-module (SoM) solution based on the newFreescale i.MX53 application processor with a high performance 1 GHzARM® Cortex™-A8 core, wired and wireless connectivity, powerful1080p/720p video encoding/decoding capabilities, dual-CAN bus inter-face and a complete set of peripherals.

EMCRAFT SYSTEMS DEVELOPMENT TOOLS

KINETIS K70 SYSTEM-ON-MODULE (SOM)Description: The K70 SOM is one of a series of Emcraft uClinux-ready ARM®

Cortex™-M System-On-Module products. This member of the series isbased on the Kinetis K70 microcontroller from FreescaleSemiconductor. It incorporates a 120 MHz Kinetis K70 MCU, as well as64 MBytes of LPDRAM, 128 MBytes of NAND Flash, and an EthernetPHY.

The K70 SOM is specifically designed to make it easy, quick, and cost-effective for embedded system designers to start using the Kinetis K70device and uClinux™ software in their applications.

The uClinux kernel and applications execute on the powerful 32-bit ARMCortex-M4 processor core, while the integrated peripherals of the K70are used to implement various communication interfaces and protocols.

All Emcraft uClinux-ready System-On-Modules come preloaded withuClinux and U-Boot. Full source code of the Linux BSP is provided, alongwith a Linux cross-development environment.

Key hardware features:• Compact (30 mm x 57 mm) mezzanine module• External interface using two 80-pin 0.4mm-pitch connectors• Compliant with the Restriction of Hazardous Substances (RoHS)

directive• Mounting hole reducing the risk of connector-to-PCB intermittence• 120 MHz Kinetis K70 (256 pin MAPBGA)• Powered from single +3.3 V power supply• Low-power mode• Deep-sleep power mode• On-module clocks• 64 MBytes LPDRAM• 128 MBytes NAND Flash• Serial console interface• 802.3 Ethernet interface• Watchdog Timer (WDT)• Real-Time Clock (RTC)• Uncommitted interfaces of K70 available on the interface connectors.

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 13

Page 60: Resource Guide 2012

www.arrownac.com 58 Resource Guide • Fall/Winter 2012

IAR SYSTEMS DEVELOPMENT TOOLS

IAR EMBEDDED WORKBENCH® FOR ARM®

Description: IAR Embedded Workbench® with its optimizing C/C++ compiler is an integrateddevelopment environment for building and debugging ARM® processor-basedembedded applications. It provides extensive support for a wide range of ARMdevices, hardware debug systems and RTOSs.

IAR Embedded Workbench® for ARM® provides extensive support for a wide range ofARM® devices, hardware debug systems and RTOSs, and generates very compactand efficient code. Ready-made device configuration files, flash loaders and over3100 example projects are included. IAR Embedded Workbench is compatible withother ARM EABI compliant compilers and supports the following ARM cores:Cortex™-A15, Cortex-A9, Cortex-A8, Cortex-A7, Cortex-A5, Cortex-R7, Cortex-R5,Cortex-R4(F), Cortex-M4(F), Cortex-M3, Cortex-M1, Cortex-M0+, Cortex-M0,ARM11™, ARM9E™ (ARM926EJ-S™, ARM946E-S™ and ARM966E-S™,ARM968E-S™), ARM9™, (ARM9TDMI®, ARM920T™, ARM922T™ andARM940T™), ARM7™ (ARM7TDMI®, ARM7TDMI-S™ and ARM720T™), ARM7EJ-S™, SecurCore™ (SC000™, SC100™, SC110™, SC200™, SC210™, SC300™)and XScale™.

Key features:• Fully integrated development environment for building and debugging

embedded applications• ARM® EABI 2.0 and CMSIS compliance• Advanced optimization technology generating the most compact and efficient

code• Automatic checking of MISRA C (2004) rules for safety-critical systems• Support for ARM®, Thumb1 and Thumb-2 processor modes and VFP

co-processors

• I-jet is a slim JTAG and SWD hardware debug probe; it connects via USB to the PChost running Windows. I-jet integrates seamlessly into IAR Embedded Workbenchand is fully plug-and-play compatible. Refine power measurements with new high-performing in-circuit debugging probe I-jet.

• Extensive Multi-Core Support and ETM Trace support via Signum (an IAR Systemscompany) JTAGjet-ARM and Cortex and Chameleon. JTAGjet™ is an advanced, real-time, in-circuit debugger for high-end applications development. It is based on the JTAG boundary scan port, and integrates with IAR Embedded Workbench ARM IDE.

• RTOS-aware debugging with built-in or 3rd-party plug-ins for Micrium, Express Logic, Quadros Systems, MicroDigital, Enea and others

• Ready-made peripheral register definition files for devices from Actel, AnalogDevices, Atmel, Cirrus Logic, Epson, Faraday, Freescale, Hilscher, Intel, Luminary, Marvell, Micronas, NetSilicon, Nuvoton, NXP, OKI, Samsung, Sharp, STMicroelec-tronics, Texas Instruments and Toshiba, etc.

• Flash loaders and over 3100 project examples included for most popular devicesand evaluation boards

• Tight integration with- IAR visualSTATE® (state machine design and verification tools)

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 14

Page 61: Resource Guide 2012

www.arrownac.com 59 Resource Guide • Fall/Winter 2012

KEIL DEVELOPMENT TOOLS

ARM® MICROCONTROLLER DEVELOPMENT KIT(MDK)

Key Features• Out of the box support for more than 900 devices enables faster

application development start.• Industry-leading ARM® C/C++ Compiler.• Powerful μVision®4 IDE, debugger, and simulation environment.• Advanced analysis tools enable developers to quickly identify

bottlenecks and defects within their code.• RTX fully-featured RTOS including source code.• Comprehensive middleware libraries allow easy implementation of

complex networking and communication systems, and protocols.• Support for a wide range of debug adapters, including the ULINKpro

which supports on-the-fly application analysis and unique streamingtrace.

Description: Keil™ MDK-ARM™ is the complete software development environmentfor ARM® Cortex™-M, ARM7™, and ARM9™ processor-based devices.MDK is optimized for MCU applications and combines the ARM® C/C++Compiler, the μVision® IDE/Debugger, the Keil RTX real-time operating

system, and middleware libraries. It deliv-ers a single, powerful, yet easy-to-useenvironment, for software developers.

KONTRON DEVELOPMENT TOOLS

KONTRON PICO-ITX™ EMBEDDED MOTHERBOARD Description: With its standard-based form factor and readily available environmentof housings and cooling solutions, the new Kontron Pico-ITX™ embed-ded motherboard KTT20/pITX is the fastest route for OEMs to take tobring ARM® technology into their designs.

With a maximum power consumption of only 3 watts, the new embed-ded motherboard enables fanless designs with an unprecedented per-formance per watt ratio and rich graphics capabilities for cost-sensitiveSFF applications.

With Kontron's comprehensive software support, including board sup-port packages for all relevant OS, OEMs can create new applications orscale their applications across all processor platforms with minimalefforts. Especially graphics-intensive applications that have to provide arich user experience for internet, multimedia content delivery andsophisticated human machine interfaces benefit from the long-termavailability of the Kontron KTT20/pITX. Target applications include, forexample, set-top boxes for hotels, compact indoor and outdoor digitalsignage players for stationary or in-vehicle use, POS/POI systems andmobile medical monitors/medical carts plus compact Panel-PCs andthin clients.

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 15

Page 62: Resource Guide 2012

www.arrownac.com 60 Resource Guide • Fall/Winter 2012

LOGIC PD DEVELOPMENT TOOLS

DM3730/AM3703 TORPEDO + WIRELESS SOMDescription: Logic PD’s DM3730 / AM3703 Torpedo + Wireless System on Module(SOM) is designed around the ARM® Cortex™-A8 processor-basedTexas Instruments’ (TI) DaVinci™ DM3730 and Sitara™ AM3703processors, and TI’s WiLink™ 7.0 wireless solution. This SOM encapsu-lates the most complex design components on a dime-sized boardwhile offering high performance and the lowest power consumptionavailable today.

Using a SOM provides significant savings in time and money by reduc-ing design risk. The DM3730 / AM3703 Torpedo + Wireless SOM is the

ideal off-the-shelf solution for applications where network connectivityis required and space is a premium. Applications include unmanned sys-tems, remote monitoring and communication systems, handheld com-munication devices, point-of-care medical products, and more.

Features:• Smaller than one square inch• Speeds up to 1 GHz• 256 MB DDR, 512 MB NAND flash• 802.11a/b/g/n, Bluetooth®, and GPS signals natively integrated with

Logic PD BSPs• FCC certified • Fully featured development kit with Android™ and Linux™ BSPs

available• Typical sub one watt power consumption extends battery life• Pin compatibility with past and future Logic PD Torpedo SOMs

provides upgrade paths and long life cycle support

MICRIUM DEVELOPMENT TOOLS

REAL-TIME OPERATING SYSTEMS TO MEETTHE MOST RIGOROUS STANDARDSDescription: Whether your embedded project involves fairly simple RTOS require-ments or must be compliant with the most rigorous of standards,Micrium has an RTOS to do the job. Micrium’s stringent developmentprocess which includes strict coding standards, code reviews, and clearand concise documentation is at the foundation of a Validation Suite™for μC/OS-II that provides the documentation necessary to meet safety-critical standards and requirements in military, aerospace, medical,nuclear, transportation, and automotive applications. While μC/OS-II isideal for such applications, Micrium's newest RTOS, μC/OS-III is updat-ed to meet the evolving needs of the embedded developer. Designed tosave precious design time, μC/OS-III includes such features as round-robin scheduling, near zero interrupt disable time, unlimited number ofapplication tasks, run-time statistics, error checking and more. μC/OS-III offers a choice so that you get exactly the features and benefits thatyou and your design requires.

Micrium also offers a number of high quality RTOS services such as aTCP/IP stack (µC/TCP-IP), a USB Host stack (µC/USB-Host), a USB devicestack (µC/USB-Device), a Graphical User Interface (µC/GUI), a FAT com-

patible file system (µC/FS), a Modbus communications stack(µC/Modbus), a CAN and CANopen stacks (µC/CAN and µC/CANopen)and more.

Micrium also offers a low-cost, easy to use and highly useful Windows-based data visualization tool called µC/Probe. µC/Probe allows devel-opers to display and change, at run-time, just about any applicationvariable.  Variables can be displayed using gauges, meters, graphs,numeric indicators, bitmaps and more. Variables can be changed usingsliders, button switches and more. µC/Probe works with just about ANYtarget processor from 8 to 64 bits as well as DSPs. µC/Probe accessestarget variables either through JTAG, RS-232C, TCP/IP and soon,USB. µC/Probe is a natural fit for Cortex-M as well as RX processors anda Segger J-Link interface since variables can be accessed withoutrequiring any code in the target.

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 16

Page 63: Resource Guide 2012

www.arrownac.com 61 Resource Guide • Fall/Winter 2012

MICROSOFT DEVELOPMENT TOOLS

WINDOWS® EMBEDDED COMPACT 7(FORMERLY CE) BY MICROSOFTDescription: Windows® Embedded offers a comprehensive suite of operating sys-tems and tools that can help device manufacturers reduce time tomarket and improve connected device performance. WindowsEmbedded technology powers embedded systems that enable organ-izations to connect to IT infrastructure, increase staff efficiency, andimprove customer satisfaction.

Windows® Embedded Compact 7 (Formerly CE)Windows® Embedded Compact 7 is the next generation of Windows®

Embedded CE. Device manufacturers can use Microsoft’s familiar toolsto build the next generation of embedded devices with attractive, intu-itive user interfaces, real browsing using Internet Explorer® with Flash10.1, and connections to peripherals, Windows® PCs, servers, and net-works, with image sizes as small as 500k.

Windows® Embedded Automotive 7Windows® Embedded Automotive 7 - based on the newest generation ofWindows® Embedded Compact 7 and combining Windows® Automotive5.5 and Microsoft® Auto 4.1 platforms - is an open software platformthat provides car manufacturers, suppliers and developers with the toolsfor developing state-of-the-art, in-vehicle infotainment systems. It offersa standardized platform for building communication, entertainment, andservice-enabled location-based solutions.

MOTOMIC DEVELOPMENT TOOLS

QT™ DEVELOPMENT ENVIRONMENT FORFREESCALE’S KINETIS MCUsDescription: For the first time—a robust, commercial development environment forFreescale's Kinetis K Series microcontrollers and MQX™ RTOS.Motomic brings Nokia's Qt™ development environment to Kinetis andMQX.

MQX lets you operate with significantly power consumption than Linux.You can control real-time events. By adding Qt to MQX, you can:• develop Qt-based applications for MQX,• begin with the latest prebuilt, prevalidated, preintegrated version

of Qt,• deploy Qt applications to your embedded devices automatically,• leverage hardware optimizations and• future-proof your hardware platforms.

Motomic also lets you add media to MQX, for example advertisementsor instruction videos. You can add social networking, games and brows-er functionality to your applications and products. Motomic helps youdistribute your Qt application across networks.

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 17

Page 64: Resource Guide 2012

www.arrownac.com 62 Resource Guide • Fall/Winter 2012

NEXCOM DEVELOPMENT TOOLS

PDSB 102 ARM® CORTEX™-A8 ENTRY-LEVELDIGITAL SIGNAGE PLAYER Description: PDSB 102 is an ARM® Cortex™-A8 RISC MPU based entry-level digitalsignage player pre-loaded with user-friendly digital signage software,the PowerDigiS, targeting for advanced digital signage applications.

PDSB 102 is enclosed in a compact chassis and can be easily integrat-ed into display devices, such as LCD TV or PDP at site installation withdual HDMI display output, Giga LAN and WLAN support. PDSB 102 iscapable to layout display into multiple rectangle zones and play richmulti-media contents on each zone in accordance with user definedschedule table. This makes the PDSB 102 work perfect for increasingdigital signage applications within retail outlets, department store,entertainment venues, restaurants, hotels, bus/train station, schools/universities and hospitals for dynamic message delivering, digitalmenu board, advertising, or brand promotion.

NOVTECH INC DEVELOPMENT TOOLS

NOVPEK™ i.MX6Q/D SYSTEMDescription: • Includes NOVPEK™ i.MX6Q/D Module• 201 easily accessible IOMUX pins

- Arranged in 32x2 100mil pin headers• Advanced Power Management (PM) development support via Add-on

Card, various PM options available- Multiple voltage settings for each peripheral voltage rail- Accurate power consumption analysis framework for all 35 voltage

rails on the i.MX6Q/D

• On-board debug ports: JTAG and 16-bit ETM• Bootable with terminal support

- RS232 and TTL interfaces, only uses two i.MX6Q/D pins• All i.MX6Q/D boot options• Simplified firmware/software development through 10/100 Ethernet

port- SPI-based, doesn’t consume the built-in FEC

• USB HOST port and USBOTG port that can be forced to HOST mode• HDMI video out port• SATA interface• LVDS interface• PCI Express• Mini PCIe with SIM slot• MIPI/SDI interface• Highly integrated NovTech PM solution

- Multiple power-on events- Reprogrammable for configurability

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 18

Page 65: Resource Guide 2012

www.arrownac.com 63 Resource Guide • Fall/Winter 2012

QNX DEVELOPMENT TOOLS

QNX® REAL-TIME OPERATING SYSTEMS FORARM® CORE-BASED MCUsDescription: QNX® products are designed for embedded systems running on ARM®

platforms, and a host of boards implemented in virtually every type ofembedded environment.

QNX® Neutrino® RTOSThe QNX® Neutrino® RTOS is the latest incarnation of the QNX® real-timeoperating system, which has been powering mission-critical applica-tions across the globe for three decades.

QNX® Neutrino® RTOS for medical devicesThe QNX® Neutrino® RTOS for medical devices complies with the IEC62304 standard and is designed to help reduce the effort of buildingsafety-critical products that must pass regulatory approval.

QNX® Neutrino® RTOS Certified PlusQNX® Neutrino® RTOS Certified Plus is the first real-time operating sys-tem that provides a dual-certification credential to satisfy functionalsafety and OS security requirements simultaneously.

QNX® Neutrino® RTOS Secure KernelOur secure kernel is the world’s first full-featured RTOS certified toCommon Criteria ISO/IEC 15408 Evaluation Assurance Level (EAL) 4+.

QNX® Neutrino® RTOS Safe KernelThe QNX® Neutrino® RTOS Safe Kernel is certified to IEC 61508 SafetyIntegrity Level 3, or SIL 3, and offers a very high level of reliability andrisk reduction when used in safety-critical systems.

QNX® RTOS v4QNX® RTOS v4 is the predecessor to the QNX® Neutrino® RTOS. It is stilldeployed and maintained in realtime and mission-critical projectsaround the world.

TIMESYS DEVELOPMENT TOOLS

TIMESYS® LINUXLINK FOR ARM® CORE-BASEDSOLUTIONSDescription: LinuxLink has been used in large and small companies alike in morethan 1,000 projects to reduce the time, cost and risk associated withbuilding commercial quality products using open source Linux®.

LinuxLink combines high ease of use with advanced customization andintegration capabilities to meet the entire spectrum of your productdevelopment needs — including unmetered, responsive support whenyou need a helping hand.

Timesys® LinuxLink is based on an innovative Factory platform thatuniquely combines web and desktop environments to deliver a seamlessand easy-to-use development workflow.

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 19

Page 66: Resource Guide 2012

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 20

Page 67: Resource Guide 2012

ARG/2012/Fall/Winter-FC/IFC/IBC/BC_ResourceGuide2112-Fall-Winter 9/18/12 9:38 AM Page 3

Page 68: Resource Guide 2012

ARG/2012/Fall/Winter-FC/IFC/IBC/BC_ResourceGuide2112-Fall-Winter 9/18/12 9:38 AM Page 4