readme

Download Readme

If you can't read please download the document

Upload: vignesh

Post on 19-Aug-2015

214 views

Category:

Documents


1 download

DESCRIPTION

important file to read

TRANSCRIPT

Accellera Universal Verification Methodologyversion 1.2(C) Copyright 2007-2012 Mentor Graphics Corporation(C) Copyright 2007-2012 Cadence Design Systems, Incorporated(C) Copyright 2006-2012 Synopsys Inc.(C) Copyright 2012 Cypress Semiconductor Corp.All Rights Reserved WorldwideThe UVM kit is licensed under the Apache-2.0 license.The full text ofthe license is provided in this kit in the file LICENSE.txtInstalling the kit------------------Installation of UVM requires first unpacking the kit in a convenientlocation.% mkdir path/to/convenient/location% cd path/to/convenient/location% gunzip -c path/to/UVM/distribution/tar.gz | tar xvf -You should define the $UVM_HOME environment variable to thatconvenient location using an absolute path name. The followinginstructions assume that this variable is appropriately set. % setenv UVM_HOME /absolute/path/to/convenient/locationYou must then obtain from your SystemVerilog tool vendor a tool-specificdistribution overlay. That overlay may be specific to the machinearchitecture and/or operating system you are using. Make sure you providethe output of the '$UVM_HOME/bin/uvm_os_name' script as well as the versionof the simulator you are using when requesting a UVM overlay from your vendor.% $UVM_HOME/bin/uvm_os_name IUS: % irun -version Questa:% vlog -version VCS: % vcs -IDFollow the installation instructions provided by your tool vendor forinstalling the overlay in your UVM installation.Note to EDA vendors: to support multiple tool-specific overlays in thesame UVM distribution, please locate any tool-specific files in atool-specific sub-directory.Using the UVM-------------You must compile the file $UVM_HOME/src/uvm.sv first. You will needto specify the location of $UVM_HOME/src as a include directory in yourcompilation command line using the +incdir+ command-line option.You can then make the UVM library accessible to your SystemVerilogcode by importing the package 'uvm_pkg' in the appropriate scope. import uvm_pkg::*;To use the UVM short-hand macros, it is necessary to include the file"uvm_macros.svh". This will also necessitate that you specify thelocation of $UVM_HOME/src as a include directory.`include "uvm_macros.svh"You will also need to compile the file $UVM_HOME/src/dpi/uvm_dpi.ccand/or specify the location of the resulting UVM DPI shared library toyour simulator. This is a simulator-specific process.Please refer toyour simulator documentation.Prerequisites-------------- IEEE1800 compliant SV simulator- gmake-compliant make to execute Makefile based examples- C compiler to compile the DPI code (if not otherwise provided by tool vendor)Running the examples--------------------The examples assume the following steps to be completed:- The Compiler/Simulator environment has been setup according to the vendors instruction and you can execute compile/simulation on the commandline.To run any of the examples:1. change to the example dir (ex: cd examples/trivial)2. execute "make -f Makefile.{ius|vcs|questa}" depending upon your simulator vendor to run the example. The makefiles assume a gmake compiliant make tool.optional:- to see the commands and steps executed use "make -f Makefile.{ius|vcs|questa} -n"- to run against an uvm installation in a different location override the makefile variable UVM_HOMEmake -f Makefile.ius UVM_HOME=`ncroot`/tools/uvm ------------------------------------------------------------------------