presentation calculateur

38
Calculateur pour pilote automatique de bateau Bilan de l’empreinte carbone et ingénierie concurrente d’un système électronique embarqué

Upload: olrin

Post on 10-Jun-2015

184 views

Category:

Documents


5 download

TRANSCRIPT

Page 1: Presentation Calculateur

Calculateur pour pilote

automatique de bateau automatique de bateau

Bilan de l’empreinte carbone et

ingénierie concurrente d’un système

électronique embarqué

Page 2: Presentation Calculateur

Présentation du système

Présentation

du système

Etude

Projet

Pédagogique

Conclusion

2 Concours Professeur Agrégé

Page 3: Presentation Calculateur

L’environnement

Présentation

du système

Etude

Position GPSCap, Position / Nord

magnétique: COMPAS Vent: sens, direction, force

Anémomètre-Girouette

Projet

Pédagogique

Conclusion

Enjeux : Eco-conception, Co-design, CEM

-Marché économique

-Cycle de vie, environnement

3 Concours Professeur Agrégé

Page 4: Presentation Calculateur

Positionnement du système

Présentation

du système

Etude

Projet

Pédagogique

Conclusion

4 Concours Professeur Agrégé

Page 5: Presentation Calculateur

Besoin d’usage

Présentation

du système

Etude

Projet

Pédagogique

Conclusion

5 Concours Professeur Agrégé

Page 6: Presentation Calculateur

Déploiement

Présentation

du système

Etude

Projet

Pédagogique

Conclusion

6 Concours Professeur Agrégé

Page 7: Presentation Calculateur

Etude du système

Présentation

du système

Etude

• Une étude concurrente logicielle et matérielle,

(co-design)

• Problématiques technologiques :

Projet

Pédagogique

Conclusion

7 Concours Professeur Agrégé

• Problématiques technologiques :

- Pilotage automatique d’un bateau,

- Gestion de l’énergie d’un système embarqué,

- Immunité et perturbations électromagnétiques,

- Protection contre les décharges électrostatiques,

- Bilan de l’impact carbone, éco-conception.

Page 8: Presentation Calculateur

Pilotage automatique

Présentation

du système

Etude

Projet

Pédagogique

Conclusion

8 Concours Professeur Agrégé

Page 9: Presentation Calculateur

Ingénierie concurrente

Présentation

du système

Etude

UML VHDL-AMS

Energie

9 Concours Professeur Agrégé

Projet

Pédagogique

Conclusion

Energie

CEMESD

Page 10: Presentation Calculateur

Gestion de l’énergie

Présentation

du système

Etude

10 Concours Professeur Agrégé

Projet

Pédagogique

Conclusion

• 312 W max en activité, 500 mW en veille

Schéma S4, S5

Page 11: Presentation Calculateur

Multiplicateur de tension

Présentation

du système

Etude

-- genhdl\intermos/intermos.vhd

-- Generated by SystemVision netlister 1.0

build 2006.34.1

library ieee;

library edulib;

use ieee.std_logic_1164.all;

use ieee.electrical_systems.all;

use ieee.mechanical_systems.all;

use ieee.fluidic_systems.all;

use ieee.thermal_systems.all;

use ieee.radiant_systems.all;

use work.all;

entity INTERMOS is

C101 : entity EDULIB.CAPACITOR(IDEAL)

generic map ( CAP => 10.0E-6 )

port map ( P1 => E_1,

P2 => V_PULSE );

C99 : entity EDULIB.CAPACITOR(IDEAL)

generic map ( CAP => 10.0E-6 )

port map ( P1 => E_2,

P2 => V_12V );

C105 : entity EDULIB.CAPACITOR(IDEAL)

generic map ( CAP => 10.0E-6 )

port map ( P1 => S_OUT,

P2 => E_2 );

V_PULSE1 : entity EDULIB.V_PULSE(IDEAL)

generic map ( AC_MAG => 1.0,

PERIOD => 4.4 S,

PULSE => 12.0,

WIDTH => 2.2 S )

port map ( POS => V_PULSE,

NEG => ELECTRICAL_REF );

11 Concours Professeur Agrégé

Projet

Pédagogique

Conclusion

entity INTERMOS is

end entity INTERMOS;

architecture arch_INTERMOS of INTERMOS is

terminal V_PULSE: ELECTRICAL;

terminal E_1: ELECTRICAL;

terminal E_2: ELECTRICAL;

terminal V_12V: ELECTRICAL;

terminal E_3: ELECTRICAL;

terminal S_OUT: ELECTRICAL;

begin

C104 : entity EDULIB.CAPACITOR(IDEAL)

generic map ( CAP => 10.0E-6 )

port map ( P1 => E_3,

P2 => V_PULSE );

C100 : entity EDULIB.CAPACITOR(IDEAL)

generic map ( CAP => 10.0E-6 )

port map ( P1 => S_OUT,

P2 => ELECTRICAL_REF );

D22 : entity EDULIB.DIODE(IDEAL)

port map ( P => V_12V,

N => E_1 );

D23 : entity EDULIB.DIODE(IDEAL)

port map ( P => E_1,

N => E_2 );

D24 : entity EDULIB.DIODE(IDEAL)

port map ( P => E_2,

N => E_3 );

D25 : entity EDULIB.DIODE(IDEAL)

port map ( P => E_3,

N => S_OUT );

V1 : entity EDULIB.V_CONSTANT(IDEAL)

generic map ( LEVEL => 12.0 )

port map ( POS => V_12V,

NEG => ELECTRICAL_REF );

end architecture arch_INTERMOS;

Page 12: Presentation Calculateur

Library IEEE;

use IEEE.math_real.all;

-- Use IEEE natures and packages

use IEEE.electrical_systems.all;

-- FUNDAMENTAL_CONSTANTS package

needed for Boltzmann constant

-- (PHYS_K = Joules/Kelvin) and electron

charge (PHYS_Q = coulomb)

use IEEE.FUNDAMENTAL_CONSTANTS.all;

entity diode is

generic ( Isat : current := 1.0e-14); --

Saturation current [Amps]

Multiplicateur de tension

Présentation

du système

Etude

-- Use proposed IEEE natures and packages

library IEEE;

use IEEE.electrical_systems.all;

entity capacitor is

generic ( cap : capacitance;

-- Capacitance [F]

v_ic : real := real'low);

-- Initial voltage

--(activated by IF statement below)

port ( terminal p1, p2 : electrical);

end entity capacitor;

-- This function is to limit the exponential

function to avoid convergence

-- problems due to numerical overflow.

At x=100, it becomes a straight line

-- with slope matching that at the

intercept.

function limit_exp( x : real ) return real is

variable abs_x : real := abs(x);

variable result : real;

begin

if abs_x < 100.0 then

result := exp(abs_x);

port ( terminal p, n : electrical);

end entity diode;

---------------------------------------------------------

-- Ideal Architecture: i = is*(exp(v/vt) - 1)

---------------------------------------------------------

architecture ideal of diode is

-- Declare internal quanties and constants

quantity v across i through p to n;

constant TempC : real := 27.0;

-- Ambient Temperature [Degrees]

constant TempK : real := 273.0 + TempC;

-- Temperaure [Kelvin]

constant vt : real :=

PHYS_K*TempK/PHYS_Q; -- Thermal

Voltage12 Concours Professeur Agrégé

Projet

Pédagogique

Conclusion

end entity capacitor;

-----------------------------------------------

-- Ideal Architecture (I = C * dV/dt)

-- Includes initial condition

-----------------------------------------------

architecture ideal of capacitor is

quantity v across i through p1 to p2;

Begin

if domain = quiescent_domain and v_ic /=

real'low use

v == v_ic;

else

i == cap * v'dot; -- Fundamental

equation

end use;

end architecture ideal;

result := exp(abs_x);

else

result := exp(100.0) * (abs_x - 99.0);

end if;

-- If exponent is negative, set exp(-x) =

1/exp(x)

if x < 0.0 then

result := 1.0 / result;

end if;

return result;

end function limit_exp;

begin -- ideal architecture

-- Fundamental equation

i == Isat*(limit_exp(v/vt) - 1.0);

end architecture ideal;

Page 13: Presentation Calculateur

Présentation

du système

Etude

Multiplicateur de tension

13 Concours Professeur Agrégé

Projet

Pédagogique

Conclusion

Page 14: Presentation Calculateur

Présentation

du système

Etude

Immunité aux perturbations

• Sources de perturbations externes

• Sources de perturbations internes

• Perturbations conduites et rayonnées

14 Concours Professeur Agrégé

Projet

Pédagogique

Conclusion

Boucle et aire de courant

Capacités parasites du circuit

Bouclage des Masses

Page 15: Presentation Calculateur

Présentation

du système

Etude

Immunité aux perturbations Blindage du boîtier

Matériel amagnétique µr=1, susceptibilité magnétique=0

15 Concours Professeur Agrégé

Projet

Pédagogique

Conclusion Séparation physique des parties différentes et masses

Page 16: Presentation Calculateur

Présentation

du système

Etude

Immunité aux perturbations

16 Concours Professeur Agrégé

Projet

Pédagogique

Conclusion

Page 17: Presentation Calculateur

Présentation

du système

Etude

Immunité aux perturbations

Découplage au plus près des circuits intégrés pour

abaisser l’impédance des lignes lors des perturbations

17 Concours Professeur Agrégé

Projet

Pédagogique

Conclusion

Page 18: Presentation Calculateur

Présentation

du système

Etude

Perturbations Norme européenne pour les appareils de traitement de l’information

18 Concours Professeur Agrégé

Projet

Pédagogique

Conclusion

Page 19: Presentation Calculateur

Présentation

du système

Etude

Protections contre les décharges électrostatiques

Varistances , MOV V33ZA_

19 Concours Professeur Agrégé

Projet

Pédagogique

Conclusion

Page 20: Presentation Calculateur

Présentation

du système

Etude

Limitation des perturbations Limitation des variations de tension dans le temps

-----------------------------------------------------------------

--banc d'essai

-- ______ _____ ____

-- | _ | | | | |

-- | _| |_ |---o----| cna |---o-----| RC |

-- |______ | |_____| |____|

--

-----------------------------------------------------------------

LIBRARY DISCIPLINES;

20 Concours Professeur Agrégé

Projet

Pédagogique

Conclusion

LIBRARY DISCIPLINES;

LIBRARY IEEE;

USE DISCIPLINES.ELECTROMAGNETIC_SYSTEM.ALL;

USE IEEE.MATH_REAL.ALL;

ENTITY essai IS

END;

ARCHITECTURE behav OF essai IS

TERMINAL n1 : ELECTRICAL;

SIGNAL S1 : bit;

BEGIN

RC1: ENTITY RC (behav) PORT MAP (n1,electrical_ground);

DA1: ENTITY can (behav) PORT MAP

(n1,electrical_ground,S1);

trm: ENTITY trame (behav) PORT MAP (S1);

END;

Page 21: Presentation Calculateur

Présentation

du système

Etude

Bilan de l’impact carbone,

éco-conception

21 Concours Professeur Agrégé

Projet

Pédagogique

Conclusion

Page 22: Presentation Calculateur

Présentation

du système

Etude

Projet pédagogique

• Du réel au réel en passant par le modèle

• Etude de système, SHEBT

• Une taxonomie CAACAT

• Référentiel du BTS ATI

22 Concours Professeur Agrégé

Projet

Pédagogique

Conclusion

• Référentiel du BTS ATI

UML : Unified Modeling Language

VHDL-AMS: Very high speed integrated circuit Hardware Description Language

Calculateur pour pilote automatique de bateau

Ingénierie Concurrente et éco- conception

Page 23: Presentation Calculateur

Présentation

du système

Etude

Pro

gre

ssion

23 Concours Professeur Agrégé

Projet

Pédagogique

Conclusion

Pro

gre

ssion

Page 24: Presentation Calculateur

Présentation

du système

Etude

Thèmes d’intérêts

Thème 1 : Etude du système pour mettre en

perspective l’ensemble d’éléments en

interactions, organisé, répondant à un besoin,

identifiable par une frontière, consommant de

l’énergie et ayant une dynamique.

24 Concours Professeur Agrégé

Projet

Pédagogique

Conclusion

l’énergie et ayant une dynamique.

Thème 2 : Etude des méthodes de travail et

solutions constructives

Thème 3 : Les enjeux de l’électronique embarquée

Page 25: Presentation Calculateur

Présentation

du système

Etude

Compétences• C71 Mettre en œuvre des postes d’essais et mesures

• C6 Organiser et suivre

- Un projet

- La qualité

- La maintenance

- La sécurité

- La qualité

• C55 Etablir et rédiger un compte rendu de réunion

• C54 Etablir et rédiger un devis

25 Concours Professeur Agrégé

Projet

Pédagogique

Conclusion

• C54 Etablir et rédiger un devis

• C51 Etablir et rédiger un cahier des charges

• C52 Etablir et rédiger une notice technique

• C42 Déterminer les performances d’un système par l’expérimentation

• C41 Déterminer les performances d’un système par le calcul

• C31 Concevoir ou modifier une solution technique

• C2 Analyser un document technique, une solution technique, une norme, un protocole

d’essais.

• C14 Dialoguer avec fournisseurs, utilisateurs, clients, personnels

• C13 Présenter oralement un document

• C12 Participer à la veille technologique

• C11 Rechercher et trier des informations pour mettre à jour une base de données ou une

bibliothèque

Page 26: Presentation Calculateur

Présentation

du système

Etude

Savoirs et objectifs

S84 : Les normes

S841 : Normes et conventions relatives :� Aux règles d’exécution des

installations,� Repérage des circuits,

Etre capable d’exécuter les schémas dans le cadre d’un travail collaboratif.

26 Concours Professeur Agrégé

Projet

Pédagogique

Conclusion

� Repérage des circuits,� Outils de représentation

graphique� Règles d’exécution des

schémasS842 : Logiciels de DAO Etre capable d’établir un

document technique avec le logiciel ISIS.

Page 27: Presentation Calculateur

Présentation

du système

Etude

Savoirs et objectifs

S101 : Analyse des systèmes existants

S1011 : Etude fonctionnelle et structurelle des systèmes

Etre capable de donner les cas d’utilisation du système.

S1012 : Analyse technologique Etre capable d’appréhender les contraintes économiques et environnementales du système.

S1013 : Evaluation des Etre capable de mettre en

27 Concours Professeur Agrégé

Projet

Pédagogique

Conclusion

S1013 : Evaluation des performances

Etre capable de mettre en œuvre le système du laboratoire et vérifier le mode de marche CAP

S1014 : Rédaction d’une notice technique

� Etre capable de mettre sous forme de diagramme de séquence la procédure de réglage à quai.

� Etre capable de réaliser les schémas

Page 28: Presentation Calculateur

Présentation

du système

Etude

Activités

Travail Collaboratif en bureau d’étude

Préconditions (Prérequis) :

Utilisation de l’outil informatique

Reconnaître le schéma des composants de base

Postconditions (Acquis) :

28 Concours Professeur Agrégé

Projet

Pédagogique

Conclusion

Postconditions (Acquis) :

L’étudiant sait placer un composant ou en créer un

L’étudiant sait rappeler le composant qu’il a créé dans la

bibliothèque

L’étudiant reconnait de nouveaux composants

L’étudiant sait documenter son schéma en vue de le laisser à une

autre équipe

L’étudiant sait s’adapter au sein d’une équipe de conception

Page 29: Presentation Calculateur

Présentation

du système

Etude

ActivitésBTS ATI 1 Système Calculateur Pilote Travaux pratiques

Thème 2 Travail Collaboratif en bureau d’étude Tp21

Problématique :

Mise à jour d’un même dossier technique par des techniciens répartis sur des sites différents de

l’entreprise.

Compétences :

C52 : Etablir et rédiger une notice technique.

C11 : Mettre à jour une base de données.

Objectifs :

29 Concours Professeur Agrégé

Projet

Pédagogique

Conclusion

Etre capable de faire un schéma structurel à l’aide de l’outil CAO ISIS à partir du schéma

constructeur papier.

Savoirs associés : S1014, S84, S832

Durée : 4 H

Critères d’évaluation :

Choix de l’espace de travail.

Choix des composants normalisés.

Placement des composants

Création de composant n’existant pas en bibliothèque.

Qualité du compte rendu détaillé, en démarche et comprenant le résultat du travail final.

Page 30: Presentation Calculateur

Présentation

du système

Etude

rou

lem

en

t de

la sé

qu

en

ce

30 Concours Professeur Agrégé

Projet

Pédagogique

Conclusion

rou

lem

en

t de

la sé

qu

en

ce

Page 31: Presentation Calculateur

Présentation

du système

Etude

Travaux Etudiants

31 Concours Professeur Agrégé

Projet

Pédagogique

Conclusion

Page 32: Presentation Calculateur

Présentation

du système

Etude

Conclusion

Une Approche de systèmes complexes

Utilisation Passionnante d’outils Performants de conception concurrente

32 Concours Professeur Agrégé

Projet

Pédagogique

ConclusionUne Richesse technologique et pédagogique de systèmes embarqués

Une Perception de l’éco-conception

Des étudiants captivés

Page 33: Presentation Calculateur

Présentation

du système

Etude

Calculateur pour pilote

automatique de bateau

Merci de votre

écoute.

33 Concours Professeur Agrégé

Projet

Pédagogique

Conclusion

Page 34: Presentation Calculateur

luyf

Page 35: Presentation Calculateur
Page 36: Presentation Calculateur
Page 37: Presentation Calculateur
Page 38: Presentation Calculateur

Présentation

du système

Etude

Savoirs et objectifs

Savoirs associés Objectifs

S81 : Les interfaces électroniques

S811 : Convertisseurs� Convertisseur analogique-

digital

� Etre capable d’identifier les différentes technologies de CAN présentes dans le

38 Concours Professeur Agrégé

Projet

Pédagogique

Conclusion

digital� Convertisseur digital-

analogique

CAN présentes dans le système.

� Etre capable de mettre en œuvre une CAN d’un microcontrôleur.