politecnico di torino repository istituzionale · ieee transactions on circuits and systems i -...

13
08 December 2020 POLITECNICO DI TORINO Repository ISTITUZIONALE All-Digital High Resolution D/A Conversion by Dyadic Digital Pulse Modulation / Crovetti, Paolo Stefano. - In: IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS. - ISSN 1549-8328. - STAMPA. - 64:3(2017), pp. 573-584. Original All-Digital High Resolution D/A Conversion by Dyadic Digital Pulse Modulation ieee Publisher: Published DOI:10.1109/TCSI.2016.2614231 Terms of use: openAccess Publisher copyright copyright 20xx IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, including reprinting/republishing this material for advertising or promotional purposes, creating . (Article begins on next page) This article is made available under terms and conditions as specified in the corresponding bibliographic description in the repository Availability: This version is available at: 11583/2653423 since: 2019-07-23T09:03:46Z IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 445 HOES LANE, PISCATAWAY, USA, NJ, 08855

Upload: others

Post on 22-Aug-2020

0 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: POLITECNICO DI TORINO Repository ISTITUZIONALE · IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I - REGULAR PAPERS, VOL. XX, NO. XX, 2016 1 All-Digital High Resolution D/A Conversion

08 December 2020

POLITECNICO DI TORINORepository ISTITUZIONALE

All-Digital High Resolution D/A Conversion by Dyadic Digital Pulse Modulation / Crovetti, Paolo Stefano. - In: IEEETRANSACTIONS ON CIRCUITS AND SYSTEMS. I, REGULAR PAPERS. - ISSN 1549-8328. - STAMPA. - 64:3(2017),pp. 573-584.

Original

All-Digital High Resolution D/A Conversion by Dyadic Digital Pulse Modulation

ieee

Publisher:

PublishedDOI:10.1109/TCSI.2016.2614231

Terms of use:openAccess

Publisher copyright

copyright 20xx IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all otheruses, in any current or future media, including reprinting/republishing this material for advertising or promotionalpurposes, creating .

(Article begins on next page)

This article is made available under terms and conditions as specified in the corresponding bibliographic description inthe repository

Availability:This version is available at: 11583/2653423 since: 2019-07-23T09:03:46Z

IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC, 445 HOES LANE, PISCATAWAY, USA, NJ, 08855

Page 2: POLITECNICO DI TORINO Repository ISTITUZIONALE · IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I - REGULAR PAPERS, VOL. XX, NO. XX, 2016 1 All-Digital High Resolution D/A Conversion

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I - REGULAR PAPERS, VOL. XX, NO. XX, 2016 1

All-Digital High Resolution D/A Conversionby Dyadic Digital Pulse Modulation

Paolo S. Crovetti,Member, IEEE

Abstract— In this paper, the limitations of digital-to-analog(D/A) conversion by Digital Pulse Width Modulation (DPWM)are addressed and the novel Dyadic Digital Pulse Modulation(DDPM) technique for all-digital, low cost, high resolution,Nyquist-rate D/A conversion is proposed. Thanks to the spectralcharacteristics of the new modulation, in particular, the require-ments of the filter needed to extract the baseband componentof DPWM signals can be significantly released so that to besuitable to inexpensive integration on silicon in analog interfacesfor nanoscale integrated systems. After the new DDPM techniqueand its properties are introduced on a theoretical basis, theimplementation of a D/A converter (DAC) based on the proposedmodulation is addressed and its performance in terms of noiseand linearity is discussed. A 16-bit DDPM-DAC prototype isfinally synthesized on a field-programmable gate array (FPGA)and experimentally characterized.

Index Terms— Dyadic Digital Pulse Modulation (DDPM), Dig-ital Pulse-Width Modulation (DPWM), Digital-to-Analog (D /A)Converter (DAC), On-Chip DAC, Mostly Digital Analog Inter-face, Digital Assisted Analog Interface.

I. I NTRODUCTION

T HE limitations of present-day nano-scale MOS transistorsas analog devices [1] have brought an increasing interest

towards mostly-digital (MD) [2-8] and digitally-assisted(DA)[9-12] analog interfaces (AIs) for present day and futureintegrated systems. Both MD AIs, where analog signal pro-cessing is (almost) completely moved to the digital domainand implemented by synthesizable logic (Fig.1a), and DAAIs, where critical calibration, conditioning, configuration andbiasing are managed by a digital unit (Fig.1b), very oftenrely on accurate monitoring and generation of continuous-in-amplitude voltages and currents which require on-chip analog-to-digital (A/D) and digital-to-analog (D/A) conversion.

Analog-to-Digital Converters (ADCs) and Digital-to-AnalogConverters (DACs) for MD/DA AIs [13] should be mostly-digital circuits themselves, with a very good static accuracy,intrinsically robust to process variations and mismatch andsuitable to be implemented in nanoscale technologies with aminimum overhead in terms of silicon area and power con-sumption. By contrast, bandwidth and dynamic performanceare often not critical [7].

Focusing on DACs, state-of-the-art topologies based onweighted resistances, capacitances or transistor aspect ratiosare not attractive, since they strongly rely on device matchingand therefore require a large silicon area to achieve a highresolution [14-15]. On the other hand, sigma-delta (Σ∆) DACs[16-19] do not provide an output at a fixed rate, often involve

P.S. Crovetti is with the Dept. of Electronics and Telecommunications(DET) - Politectico di Torino, corso Duca degli Abruzzi, 24 -10129 Torino,Italy, Phone: +39 011 0904220, e-mail: [email protected]

mostly digital

DAC

DigitalCore

m

nmostly digital

ADC

Digital DomainFully Synthesizable Logic

DAC

DigitalCore

m

n ADC

AnalogSignal/Power

Processing

Analog SignalConditioning

Voltage Regulator(s)(w/ virtual reference)

Voltage Regulator(s)

DigitalCalibration/

Configuration/Bias CTRL

DAC

DigitalCalibration

andEmbedded

SignalConditioning

analogoutputs

analoginputs

analogoutputs

analoginputs

a)

Digital DomainFully Synthesizable Logic

b)

Mostly Digital Analog Interface

Digitally Assisted Analog Interface

AnalogDomain

PhysicalInterfaces

(Sensors,Transducers,

RF...)on-chip oroff-chip

ADC

AnalogDomain

(almost)no analog

circuit

PhysicalInterfaces

(Sensors,Transducers,

RF...)on-chip oroff-chip

Fig. 1. Target applications of the proposed DAC in a) Mostly Digital [2-8](MD) and b) Digital-Assisted (DA) [9-12] IC analog interfaces.

rather complex, area and power intensive, digital hardwareand require design efforts to avoid in-band spurious andstability issues related to the closed-loop nonlinear dynamics.Moreover, multi-bitΣ∆ DACs [20] are not fully digital sincethey require low-resolution (2-5 bit) accurate DACs based onother techniques.

In this scenario, digital pulse width modulation (DPWM)could be an interesting option for D/A conversion [21], sinceit is intrinsically digital, process insensitive and inexpensive.Unfortunately, however, the requirements of the output filterneeded to extract the baseband component of a DPWM stream,even for a constant digital input, i.e. in (quasi) static condi-tions, are very stringent and not compatible with integration.

In this paper, the limitations of DPWM-based D/A con-version are addressed and the new Dyadic Digital PulseModulation (DDPM) technique for all-digital, low-cost D/Aconversion in MD/DA AIs is proposed. The effectiveness ofthe novel technique is verified on the basis of theory andexperiments performed on a field-programmable gate array(FPGA)-based 16-bit DDPM DAC prototype.

The paper has the following structure: in Section II, the stricttrade-off between resolution and output filter requirements,which limits the application of DPWM for high resolutionD/A conversion, is revised. The possibility to overcome suchlimitation is then addressed in Section III, where the novelDDPM technique is proposed and the spectral characteristicsof DDPM-modulated signals are analyzed. In Section IV,

Page 3: POLITECNICO DI TORINO Repository ISTITUZIONALE · IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I - REGULAR PAPERS, VOL. XX, NO. XX, 2016 1 All-Digital High Resolution D/A Conversion

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I - REGULAR PAPERS, VOL. XX, NO. XX, 2016 2

t

DPWM10n=

(2Nclock periods)

T0

Tclk

BinaryCounter 1 0 1 0

Input DataRegister 1 0 1 1

A

B

A < BDigital

Comparator

C

R

VDPWM

clk, fclk

a)

b)

1

DAC IN

vDPWM

Fig. 2. Digital Pulse Width Modulation (DPWM) for D/A Conversion: a)4-bit DPWM Waveform, b) Hardware Implementation of a 4-bit DPWM DAC.

the hardware implementation of a DDPM DAC is discussedand its synthesizable VHDL description is provided while,in Section V, the static linearity and noise performance ofa DDPM DAC is investigated together with the possibility tocompensate systematic errors by digital calibration. In SectionVI, an FPGA prototype of a DAC based on the novel techniqueis then considered and its measured performance is reported.Finally, in Section VII, some concluding remarks are drawn.

II. D/A C ONVERSION BY DIGITAL PULSE WIDTH

MODULATION (DPWM) AND ITS L IMITATIONS

The conventional DPWM technique and its limitations asa method for on-chip D/A conversion under (quasi) staticconditions, which can be significantly released by the novelDDPM technique proposed in this paper, are shortly revisedin this Section.

A. D/A Conversion by Digital Pulse Width Modulation

In a synchronous digital system operated at a clock fre-quencyfclk = 1/Tclk, where high (“1”) and low (“0”) logiclevels are associated to the constant supply voltageVDD and tothe reference voltage (0V), respectively, a voltage proportionalto an N -bit binary coden to be converted into analog canbe obtained by digital pulse width modulation1 (DPWM), i.e.taking the DC component of the voltagevDPWM,n(t) of adigital line, periodically driven with a sequence of2N bitsconsisting ofn ones followed by2N − n zeros, as shown inFig.2a. Such a sequence can be easily generated by a digitalcomparator whose inputs are fed by a register storing theinput coden and by anN -bit free-running binary counter,as depicted in Fig.2b.

1Uniform sampled trailing edge DPWM is considered unless otherwisespecified. The considerations on the magnitude spectra under static conditions,however, apply to all DPWM modulations (trailing-edge, leading-edge anddouble-edge DPWM), which differ from each other only for a time shiftunder static conditions.

100

101

102

103

104

-100

-90

-80

-70

-60

-50

-40

-30

-20

-10

0

Sp

ectr

um

Mag

nit

ud

e at

, [d

BF

S]

f 0

-20dB/dec

-10dB

Normalized Digital Input, 2n/N

0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1-100

-90

-80

-70

-60

-50

-40

-30

-20

-10

01/p (~ -10dB)

Harmonic Number, 1k+

Sp

ectr

a E

nv

elo

pe,

max

||,

[dB

FS

]c n

,,k+

1

Fig. 3. Digital Pulse Width Modulation (DPWM),N = 16 bit resolution:top) envelope of the spectra of the216 DPWM waveforms for differentdigital inputs, bottom) amplitude of the spectral component at the fundamentalfrequencyf0 = fclk/2

N versus normalized digital inputn/2N .

The resulting voltage waveformvDPWM,n(t), in fact, is asquare wave with a frequencyf0 = 1/(2NTclk) = fclk/2

N

and a duty cycleD = n/2N , can be expressed forn 6= 0 as2

vDPWM,n(t) = VDD

+∞∑

k=−∞

Π

(

t

nTclk

− 1

2− 2N

nk

)

, (1)

where

Π(x) =

1 |x| < 12

12

|x| = 12

0 |x| > 12

,

and its spectrum

Vdpwm,n(f) = VDD

+∞∑

k=−∞

ck,nδ (f − kf0) , (2)

whereδ(·) is the Dirac distribution and

ck,n = D sinc(kD)eπkD =n

2Nsinc

(

kn

2N

)

e−πkn

2N , (3)

in which sinc(x) = sin(πx)/πx, shows a DC componentn/2N VDD proportional to the input coden. This componentcan be extracted by a low pass filter (LPF), as shown in Fig.2b,and taken as the output of a very low cost, fully digital DAC,whose static accuracy and linearity can be excellent being onlylimited by fluctuations in the clock and in the supply voltage.

Unfortunately, however, the effectiveness of DPWM asa D/A conversion technique is completely impaired by therequirements of the LPF needed to extract the DC componentof a DPWM signal, as discussed in what follows.

2The same expression can be extended by continuity to the casen = 0(identically null signal). This extension is implicitly assumed in what follows.

Page 4: POLITECNICO DI TORINO Repository ISTITUZIONALE · IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I - REGULAR PAPERS, VOL. XX, NO. XX, 2016 1 All-Digital High Resolution D/A Conversion

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I - REGULAR PAPERS, VOL. XX, NO. XX, 2016 3

Number of Bits6 7 8 9 10 11 12 13 14 15 16

10-10

10-9

10-8

10-7

10-6

10-5

10-4

10-3

10-2f c

/ f c

lk

1st

order

2 order

3 order

4 order

5 order

6 order

nd

rd

th

th

th

Fig. 4. Clock Frequency/Output Filter Corner Frequency/Resolution tradeoffin a DPWM DAC: output filter corner frequencyfc normalized with respectto the clock frequencyfclk versus number of bits, for different filter orders.

B. DPWM DAC Filter Requirements and Trade-offs

To discuss the requirements of the output filter of a DPWMDAC under (quasi) static conditions, from the envelope ofthe magnitude spectra of the2N N -bit DPWM waveforms,defined as

max0≤n<2N

VDD|ck,n| = max0≤n<2N

n

2NVDD sinc

(

kn

2N

)

,

and reported in Fig.3 forN=16 bits, it can be observed thatthe largest AC component to be rejected over all DPWMwaveforms is at the fundamental frequencyf0 (i.e. for k = 1)and it is only a factorα0 = 1/π, i.e. about 10dB, below thefull swing VDD.

To keep the spectral component at the fundamentalf0 belowthe upper bound of quantization errorε = VDD/2

N+1 in theworst case, a LPF with an attenuation3 αF at f0

αF <ε

VDDα0

2N+1(4)

is therefore needed. Since higher harmonics of DPWM signalsmonotonically decrease with frequency, a LPF providing atleast the same attenuationαF at frequenciesf > f0 issufficient to have all the harmonics below the quantizationerror so that condition (4) can be taken as the specificationfor the output filter of a DPWM DAC.

Nevertheless, meeting (4) is a real challenge for an ICimplementation. For aP -th order LPF with an out-of-bandasymptotic attenuationα(f) = (fc/f)

P increasing withfrequency with a slope of20 · P dB/dec above the cornerfrequencyfc (e.g. a Butterworth filter), to meet condition (4),it should be

fc < P√αFf0 = P

√π 2−

N+1P f0 = P

√π 2−

N(P+1)+1P fclk. (5)

From (5), for a given clock frequencyfclk, the resolutionNof a DPWM-based DAC is traded off with the corner frequencyfc, which is also an upper bound of the DAC bandwidth. Givena 100MHz clock, for instance, a first-order (P = 1) LPF

3The attenuation is here considered as a scaling factorα ∈ (0, 1) and notas its reciprocal. At the same time, in discussions, a value of α close to zerowill be considered as alarge attenuation and a value ofα close to one as asmall attenuation.

with a corner frequency of less than 2.4kHz is required tomeet condition (5) in an 8-bit DPWM DAC, while a first-order (P = 1) LPF with a corner frequency of less than 10Hzor a second-order (P = 2) filter with a corner frequency below400Hz would be needed for a 12-bit resolution.

If the clock frequency is lower and/or a higher resolutionis targeted, the situation is worse and cannot be substantiallyimproved increasing the order of the LPF aboveP = 2, ashighlighted in Fig.4, where a full picture of the trade-off isgiven. In practice, for a clock frequency lower than1GHz,a fully integrated DPWM DAC with more than a 7-8 bitresolution would require active or passive integrated filters,which are complex and/or analog-intensive and/or requiringlarge passives, close to or beyond the limits of feasibility.

The tradeoff between clock, LPF requirements and res-olution highlighted above completely impairs the potentialadvantages of DPWM as a technique for on-chip, high-resolution D/A conversion and makes it not competitive withother methods. To address this substantial limitation, which isalso felt in the fields of digital audio, power electronics andcontrol, improved DWPM techniques [21-30], e.g. involvingmodulated DPWM signals [22], taking advantage of pulseswith a sub-clock time resolution [23], or based on oversam-pling and multibit noise shaping [29], have been proposedand also implemented in commercial devices. Nonetheless,such techniques require more complex, expensive and analog-intensive hardware than standard DPWM and are thereforenot well suited to MD/DA AIs. An alternative approach isproposed in what follows.

III. T HE DYADIC DIGITAL PULSE MODULATION (DDPM)

In this section, considering that the DPWM sequence inFig.2a is just one of the

(

n2N

)

binary sequences of2N bits withn ones and2N−n zeros corresponding to a digital stream witha mean valuen/2N VDD proportional to an integer coden,the possibility to exploit other, non-DPWM sequences, bettersuited to on-chip D/A conversion is explored to overcome thestringent limitations of DPWM discussed so far.

A. Dyadic Sequences

The strict requirements for the output filter of a DPWMDAC are due to the fact that most of the harmonic content ofa DPWM signal is concentrated at the fundamental frequencyf0 = fclk/2

N , as shown in Fig.3a, which is inversely pro-portional to the number of quantization intervals2N and istherefore very low, close to the baseband and difficult to berejected. In Fig.3b, it can be observed that the amplitude atthe fundamental frequency is maximum forn = 2N−1 (i.e. thevalue associated to the MSB in anN bit binary representation),which is therefore the worst-case input code that dictates theDPWM DAC output filter specification (5).

To release such a stringent requirement, it can be observedthat the same input coden = 2N−1, which corresponds to aDPWM sequence with an equal number of ones, all clusteredin the first part of the DPWM period, and zeros, all in thesecond part of the period, can be more conveniently associatedto the sequenceSN−1 = . . . 10101010 . . . including ones

Page 5: POLITECNICO DI TORINO Repository ISTITUZIONALE · IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I - REGULAR PAPERS, VOL. XX, NO. XX, 2016 1 All-Digital High Resolution D/A Conversion

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I - REGULAR PAPERS, VOL. XX, NO. XX, 2016 4

t

t

t

t

t

t

23

22

21

20

(LSB)

(MSB)

DPWM10n=

DDPM10n=

2 +3

21

S3

S2

S1

S0

S10=S3+S1

Fig. 5. Dyadic sequences and Dyadic Digital Pulse Modulation (DDPM)waveforms.

interleaved with zeros, as depicted in Fig.5. The resultingwaveform, in fact, has the same DC component of the MSBDPWM waveform but, being periodic with period2Tclk, itdoes not include any spectral content atf0 and its AC poweris all above2N−1f0 = fclk/2, which is much higher thanf0in a high-resolution DAC, and is therefore much easier to besuppressed by a low-pass filter.

Similarly, the n = 2N−2 input code, which correspondsto the second MSB and requires 1/4 of ones and 3/4of zeros in a period, can be associated to a sequenceSN−2 = . . . 10001000 . . . obtained repeating a pattern ofa one followed by three zeros, as depicted in Fig.5. Thecorresponding waveform, which is actually periodic with aperiod4Tclk, again does not show any spectral component atf0 and its AC power is all above2N−2f0 = fclk/4. It isworth noting that, even though2N−2f0 is lower (one half)than2N−1f0, the second MSB waveform is not more difficultto be filtered than the MSB waveform, since the amplitude ofits spectral lines is reduced as well by a factor two.

The same reasoning can be applied recursively downto the LSB, which can be associated to a sequenceS0 = . . . 00001000 . . . with a single one over2N slots, i.e.to a waveform that is periodic with a period2NTclk: thiswaveform has a spectral component atf0, indeed, nonethelessit is extremely weak and requires just an attenuation of 1/2(i.e. 6 dB) to be rejected below the quantization error level.The sequencesSi introduced above, which include2i onesspaced of2N−i positions, will be indicated asi-th orderdyadicsequences hereafter.

B. Dyadic Digital Pulse Modulation

It is interesting to observe that dyadic sequencesSn upto the (N − 1)-th order introduced thus far, which are mucheasier to be filtered than the DPWM streams with the samemean value, can be arranged in a2N -bit frame so that thepositions of the “one” pulses belonging to different sequences

are non-overlapping, as in Fig.5. Starting from the beginningof the 2N -bit frame, in fact, every other of the2N slots, i.e.2N−1 slots, can be assigned to the (ones of the) MSB sequenceSN−1, every other of the2N−1 remaining slots, i.e.2N−2 slotsspaced by three slots, can be assigned to the (ones of the)second MSB sequenceSN−2 and so on, till the LSB, whichtakes one of the two last available slots.

Following this approach, a set ofN 2N -bit orthogonaldyadic sequencesSi with i = 0 . . .N − 1, including2i ones,is thus defined and any integern ∈ [0, 2N), which can bewritten in terms of its binary representation as

n =

N−1∑

i=0

bi,n2i

where bi,n is the i-th binary digit of n, can be uniquelyexpressed by superposition of orthogonal dyadic sequencesas

Σn =

N−1∑

i=0

bi,nSi. (6)

The sequenceΣn defined in (6) includes by constructionexactlyn ones and2N − n zeros and therefore correspondsto a digital stream with a mean value proportional ton andsuitable to be extracted for D/A conversion.

Considering the more favorable spectral properties of dyadicsequences, the association of an integern to the binary se-quenceΣn defined as in (6), which will be indicated hereafteras dyadic digital pulse modulation (DDPM), is proposed inthis paper as an alternative to DPWM for high-resolution on-chip D/A conversion. The properties of DDPM signals, bothin (quasi) static conditions and for time-varying input codes,are discussed in what follows.

C. DDPM D/A Conversion under Quasi-Static Conditions

The DDPM sequence associated to a constant input codenby (6) corresponds to a digital waveform

vDDPM,n(t) = VDD

+∞∑

k=−∞

xn(t− 2NkTclk) (7)

where

xn(t) =

N−1∑

i=0

2i−1∑

h=0

bi,nΠ

(

t

Tclk

− 2N−ih− 2N−i−1 − 1

2

)

(8)with a spectrum

Vddpm,n(f) = VDD

+∞∑

k=−∞

ck,n sinc

(

k

2N

)

δ (f − kf0) (9)

wheref0 = 1/T0 = fclk/2N and

ck,n =

N−1∑

i=0

bi,n2i−N

2N−i−1∑

m=0

δ[

k − 2im]

e−πm(1+2i−N)

(10)whereδ [·] is the Kroenecker function defined as

δ[n] =

1 n = 00 n 6= 0.

(11)

Page 6: POLITECNICO DI TORINO Repository ISTITUZIONALE · IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I - REGULAR PAPERS, VOL. XX, NO. XX, 2016 1 All-Digital High Resolution D/A Conversion

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I - REGULAR PAPERS, VOL. XX, NO. XX, 2016 5

100

101

102

103

104-100

-80

-60

-40

-20

0

100

101

102

103

104-200

-150

-100

-50

0

First Order SD

DDPM

Harmonic Number, 1k+

Harmonic Number, 1k+

Spec

tra

Envel

ope,

max

||,

[dB

FS

]c n

,,k+

1S

pec

tra

Envel

ope,

max

||,

[dB

FS

]c n

,,k+

1

+20dB/dec2-16

-96dB

-103dB

n<

2N

n<

2N

a)

b)

Fig. 6. Dyadic Digital Pulse Modulation,N = 16 bit resolution: a) envelopeof the spectra of the216, 216-time-slot-long DDPM streams and of the216,streams including the output of a single-bit, first-order, digital Σ∆ modulatorfor a dc input ranging from 0 to216 − 1 (first 216 time slots), b) DDPMspectra envelope in a) filtered by the first-order LPF designed following (12).

On the basis of (9), the DC component (k = 0) of a DDPMwaveform is n/2N VDD as expected and it is thereforesuitable to be filtered to perform D/A conversion. Moreover,the contributions to the spectrum of the terms associated tothe binary digitsbi, which are spaced by2if0 and whoseamplitude is scaled by2i, can be also noticed.

To discuss the requirements of the LPF needed to extract theDC component of a DDPM waveform for D/A conversion inquasi-static conditions, the envelope of the DDPM magnitudespectra for0 ≤ n < 2N is now considered in analogy withwhat presented in Section II for DPWM waveforms, and isplotted in Fig.6a forN = 16. Here, the2i-th harmoniccomponents, corresponding to the powers of twon = 2i,whose amplitude is increasing with frequency by 20dB/decade,are clearly visible. Such increasing behavior is opposite to thatobserved in Fig.3 for DPWM spectra, and can be found in theenvelope of the spectra of first-order, single-bit, digitalΣ∆

0

0.05

0.1

0.15

0.2

0.25

0.3

0.35

0.4

0.45

0.5

0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1

Normalized Input Code, 2n/N

c 215

,n

c 214

,nc 2

13,n

c 212

,n

c 211,n

c 210

,n

c 29,n

c 28,n

Fig. 7. Dyadic Digital Pulse Modulation (DDPM), Harmonic coefficientsck,n for k = 2p with p ∈ [8, 15], versus the normalized input coden

2Nfor

N = 16 bit DDPM waveforms.

Input Code0 1 2 3 4 5 6

-5

-4

-3

-2

-1

0

1

2

3

4

5

DDPM

0.44850

0.44852

0.44854

0.44856

0.44858

0.44860

0.44862

0.44864

0.2

0.3

0.4

0.5

0.6

0.7F

ilt.

DD

PM

Sig

nal

,V

DD

PM

/VD

D

b)

a)

DDPM DAC Output

Ideal D/A Conversion Result

DPWM DAC Output

Ideal D/A Conversion Result

Fil

t. D

PW

M S

ign

al,

VD

PW

M/V

DD

0 1 2 3 4 5 6 ×10 4

Sample #0 1 2 3 4 5 6 ×10× 4

Sample #

c)

Err

or,

[L

SB

]

×10× 4

1 orderst

SD

Fig. 8. Comparison of 16-bit DDPM (a) and DPWM (b) waveforms corre-sponding to the input coden = 29398 (0x72D6, normalized decimal value:0.448577) filtered with a LPF designed following (12) and (c)comparisonof the errors in the filtered output voltage sampled at time2NTclk vs. inputcode in a 16-bit DDPM DAC and in a first-orderΣ∆ DAC with the sameLPF.

streams4 [30] for constant inputs ranging from0 to 2N − 1,which is plotted in Fig.6a for comparison. Unlike inΣ∆streams, however, the spectral content of DDPM waveformsis concentrated for all codes at dominant dyadic harmonicsk = m ·2N−h, with m,h ∈ N for small values ofh > 0. Thiscan be also noticed in Fig.7, where the dominant harmoniccoefficientsck,n for k = 2p with p ∈ [8, 15], are plotted versusthe input coden.

Looking at Fig.6a, a LPF which attenuates the fundamentalat f0 of only 6dB and with an attenuation increasing withfrequency by at least 20dB/dec is sufficient in a DDPM DACto reject the harmonic content of DDPM signals below thequantization error thresholdε = VDD/2

N+1 for any inputcode n. These requirements are easily met by a first-order

4For a fair comparison with DDPM, the spectra of the first-order Σ∆streams have been evaluated taking the first2N clock cycles.

Page 7: POLITECNICO DI TORINO Repository ISTITUZIONALE · IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I - REGULAR PAPERS, VOL. XX, NO. XX, 2016 1 All-Digital High Resolution D/A Conversion

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I - REGULAR PAPERS, VOL. XX, NO. XX, 2016 6

LPF with a voltage transfer function

H(f) =1

1 + ffc

where fc =f0√3

(12)

as shown in Fig.6b, where the envelope of the DDPM spectraconsidered in Fig.6a and filtered by such a LPF is reported.

Comparing (12) and (5), which summarizes the require-ments of a filter for a DPWM DAC, a first-order (P = 1) filter,with a corner frequency2N+1/(π

√3) times higher than that

needed for a DPWM DAC, is suitable to a DDPM DAC withthe same resolution and operated at the same clock frequency:it means that a 16-bit DDPM DAC operated atfclk = 1GHz,requires just a simpleRC output LPF with a corner frequencyof about10 kHz, which can be implemented by a capacitor of20 pF and a resistor of about1MΩ and can be convenientlyintegrated on silicon requiring an area of less than2, 000µm2

in a 40nm CMOS technology.The same LPF would be completely not effective to reject

the AC component of a DPWM signal, as shown in Fig.8a-b, where the time-domain DDPM and DPWM waveformscorresponding to the same input coden = 29398 after filteringare shown. A LPF with a corner frequency of0.4Hz, i.e. morethan 20,000 times lower, not suitable to integration, wouldberequired for a 16-bit DPWM DAC operated at the same clockfrequency. Moreover, from Fig.8c, it can be observed that foralmost all input codesn, the error in the filtered output of aDDPM DAC, sampled at timet = 2NTclk from the beginningof the conversion, is significantly less than in a first-order,single-bitΣ∆ DAC with the same LPF designed as in (12).

D. Time Varying DDPM-Modulated Signals

While DDPM-based D/A conversion in quasi-static condi-tions has been considered thus far, the spectral characteristicsof a DDPM modulated signal corresponding to a generic inputsequencesp of positive integers represented onN bits isnow considered. With the notations introduced above, sucha sequence can be associated to a DDPM waveform

vs(t) =VDD

2N

+∞∑

m=−∞

xsm(t)Π

(

t

T0

− 1

2−m

)

(13)

where xsm = xn|n=smand xn is defined in (8), with a

spectrum

Vs(f) =

+∞∑

k=−∞

Ck (fT0)VZOH (fT0 − k) sinc

(

k

2N

)

(14)

whereVZOH(ξ) = VDD sinc (ξ) e−πξ, (15)

Ck (ξ) =

+∞∑

m=−∞

ck,sme−2πξm = DTFTm ck,sm (ξ) (16)

andck,sm = ck,n|n=smwhereck,n are defined in (10).

It can be observed that the term of the sum in (14) fork = 0 is the spectrum of the ideal zero-order hold (ZOH)signal resulting from the conversion of the sequencesp byanN -bit multilevel DAC [31]. Moreover, the spectral contri-butions due to the terms of the sum fork 6= 0 are centered

-140

-120

-100

-80

-60

-40

-20

0

20

1 10 100-140

-120

-100

-80

-60

-40

-20

0

20DPWM (trail. edge)

DPWM (2-edge)

DDPMZero-Order Hold

DDPM,ZOH:-36dB

@alias freq.

DPWMBasebandDistortion DDPM,

ZOH<-100dB

1 10 100

Norm. Frequency, 1+ 64f /( fs)

Mag

nit

ude

Spec

tra,

[dB

FS

]M

agnit

ude

Spec

tra,

[dB

FS

]modulatingfrequency

samplingfrequency

modulatingfrequency

samplingfrequency

DPWM (trail. edge)

DPWM (2-edge)

DDPMZero-Order Hold

Norm. Frequency, 1+ 64f /( fs)

DPWMBasebandDistortion

DDPM,ZOH

<-100dB

DDPM,ZOH

<-100dB

DDPM, ZOH-24dB

@alias freq.

Fig. 9. Comparison of the spectra ofN = 16 bit DDPM, trailing-edge DPMW, double-edge DPMW, and by zero-order hold (ZOH) modulatedsequences corresponding to a full swing sine-wave sequencesampled with64(top) and16 (bottom) samples per period.

around frequencieskf0 and their amplitude is related to thecoefficientsck,n defined in (10). Since such coefficients arerelevant only fork ≫ 1, as shown in Fig.7, the spectrum of aDDPM signal is similar to the spectrum of a ZOH signal upto the first multiples of the sampling frequency.

To illustrate the spectral properties of a DDPM modulatedsignal in a special case, a full-swing, uniformly sampledsine wave input sequence quantized overN = 16 bits, isnow considered. The spectra of the corresponding DDPM-modulated signals sampled at64 and 16 samples per periodare compared in Fig.9 with the spectra of the ZOH, of thetrailing-edge DPWM and of the double-edge DPWM signalsassociated to the same sequence.

It can be appreciated that, unlike DPWM modulations,whose spectral characteristics are analyzed in [28], DDMPdoes not give rise to baseband signal harmonic distortion andto sideband spectral components and all spurious under theNyquist frequency are more than 100dB below the fundamen-tal. Moreover, the spectrum of the DDPM signal is similarto that of the ideal ZOH signal up to the first multiples ofthe sampling frequencyf0, as expected from (14), while itsharmonic content increases with frequency as in Fig.6a.

The requirements of the reconstruction filter for a DDPMDAC with time-varying inputs are therefore the same discussedin the literature for an ideal ZOH signal [31] and are lessstringent compared with DPWM. In particular, for anN -bitDAC, a P -th order LPF with a corner frequencyfc so that∣

sinc

(

f0 − 2fcf0

)(

fcf0 − 2fc

)P∣

≃ 2

π

(

fcf0

)P+1

<1

2N+1

Page 8: POLITECNICO DI TORINO Repository ISTITUZIONALE · IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I - REGULAR PAPERS, VOL. XX, NO. XX, 2016 1 All-Digital High Resolution D/A Conversion

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I - REGULAR PAPERS, VOL. XX, NO. XX, 2016 7

Parallel-Input Serial-Output (PISO) Shift Register

C

R

vDDPMVDDPM

serialclk, fclk

MSB

LSB

DAC IN

Input DataRegister

1

0

0

1

1

1 1

1 1

1 1

10

0 0

00

0 0

1

SerialOutput

clk

fclk/2N

parallel load

fclk/2N

Fig. 10. Implementation of a 4-bit DDPM modulator using a PISO register.

is required. In particular given the orderP of the filter, itscorner frequency should be

fc <P+1√π 2−

N+2P+1 f0. (17)

The requirement (17) forP = 1 is more stringent than (12)derived under quasi-static conditions since an increased atten-uation is needed to reject the ZOH-like spectral componentsof a DDPM signal at the first alias frequency. Nonetheless,to get accurate2N -level-quantized voltages proportional to asequence of input codes (i.e. to retrieve the ZOH signal initself, rather than its baseband component), as often needed inMD/DA AIs [7], the output filter of a DDPM DAC can be de-signed considering the less stringent quasi-static requirement(12) rather than (17).

The reconstruction filter requirements (17) can be furtherreleased by oversampling, interpolation, and noise-shapingtechniques proposed for DPWM DACs [29]. While theseaspects will be addressed in future work, the hardware im-plementation of a DDPM-based DAC is discussed in thefollowing.

IV. DDPM M ODULATOR HARDWARE ARCHITECTURE

The feasibility and the practical value of a DDPM-basedintegrated DAC is strongly related to the possibility to generateDDPM sequencesΣn using a simple digital architecturelike the DPWM modulator in Fig.2b. To this purpose, thehardware (HW) implementation of a DDPM modulator is nowaddressed.

Considering how orthogonal dyadic sequences are arrangedin a DDPM pattern, a simple DDPM modulator can beimplemented by a parallel-input-serial-output (PISO)2N bitshift register as shown in Fig.10. The content of the registeris loaded from the parallel inputs, which are hardwired to theoutputs of the data register according with the DDPM patternin Fig.5, at the data ratef0 = fclk/2

N and it is shifted to theserial output at the clock frequencyfclk. This architecture doesnot require any combinational logic and is therefore suitableto operate at a very high clock rate. Nonetheless, since a2N -bit PISO register is needed, its implementation is impracticaland area-consuming for a resolution higher than 5-6 bits.

To address the limitations of the DDPM modulator inFig.10, a different implementation is proposed in Fig.11. Such

DDPMOutput

Register

MSB

LSB

0

Priority MUX

S: - - 1 0

S: 1- - -

S: - 1 0 0

S: 1 0 0 0

BinaryCounter

LSBmax

priority

MSBmin

priority

1 0 1 0

1

0

0

1

freq. 1/2

freq. 1/4

freq. 1/8

freq. 1/16

DAC_INOUT

S3 S2 S1 S0

D3

D2

D1

D0

Input DataRegister

C

R

vDDPMVDDPM

clk, fclk

data_clk

fclk/2N

DDPM_OUT

clkCNT

Fig. 11. Implementation of anN = 4 bit DDPM modulator based on apriority multiplexer (variables appearing in the VHDL codeof Fig.12 arereported in frames.)

process (clk)variable INDEX : integer := N;variable DATA : std_logic_vector(N downto 0);begin

if (clk’event AND clk=’1’) thenDATA := DAC_IN(N-1 downto 0) & ’0’;for i in N-1 downto 0 loop

if CNT(i) = ’1’ thenINDEX := i;

end if;end loop;

DDMP_OUT <= DATA(N-INDEX);CNT <= CNT + ’1’;end if

end process

Fig. 12. Simplified VHDL Description of the DDPM Modulator inFig.11.

an architecture, whose behavior is described in synthesizablebehavioral VHDL in Fig.12, is based on apriority multiplexer(PMUX), i.e. a combinational network with2·N inputs, amongwhich N data inputsDN−1 · · ·D0 and N selection inputsSN−1 · · ·S0, and one outputX , described by the Booleanfunction

X =

N−1∑

i=0

DN−i−1 · Si ·i−1∏

k=0

Sk, (18)

where sums and products are intended as Boolean OR andAND operators, respectively. In such a network, the digitaloutputX takes the value of the bitDN−k of the data input,being k the index of the first “one” in the selection inputsstarting from the LSB, i.e. the index for whichSk = 1 andSi = 0 for i < k, and it is assumed thatk = 0 if all selectioninputs are at zero.

The data inputs of the PMUX are fed by the DAC inputdata register, which samples the DAC input codesn at arate fclk/2

N , while the selection inputs are connected toa free-running2N -bit binary counter operated at the clockfrequencyfclk. Considering such an architecture, every otherclock period (i.e.2N−1 times in a full count),S0 = 1 andthe outputX takes the valueDN−1 of the MSB of the inputdata. In the remaining counting periodsS0 = 0 and in one

Page 9: POLITECNICO DI TORINO Repository ISTITUZIONALE · IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I - REGULAR PAPERS, VOL. XX, NO. XX, 2016 1 All-Digital High Resolution D/A Conversion

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I - REGULAR PAPERS, VOL. XX, NO. XX, 2016 8

half of the cases (i.e.2N−2 times in a full count),S1 = 1and the outputX takes the value of the second MSBDN−2

of the input. Applying the same approach recursively downto the LSB, the outputX , which corresponds to the DDPMmodulator output, is driven in a full counting period to thelogical value of the bitDi of the input exactly2i times,arranged according with the DDPM pattern in Fig.5.

Since, based on (18), a PMUX can be implemented bytwo-level logic with justN + 1 gates with an average fan-in of (N + 1)/2, the DDPM modulator in Fig.11 is wellsuited to be operated at a high frequency compared withΣ∆ DACs [30], which require power and area-consumingN -bit pipeline adders [32] for a high throughput. The DDPMmodulator architecture in Fig.11 will be considered hereafterfor performance assessment and experimental validation.

V. DDPM DAC L INEARITY AND NOISE

While the DDPM technique has been introduced thus farwith reference to idealized digital waveforms, the main factorswhich may limit the static accuracy of an on-chip DDPMDAC - including non-instantaneous high-to-low and low-to-high transitions, power supply fluctuations and nonzero powersupply impedance - are discussed in this Section.

A. Finite Switching Time and Double Slope Error

During transitions, thevDDPM voltage waveform is mainlyrelated to parasitics, in particular to the digital line capacitance,to the non-zero output resistance of the driver and to the inputslope of the signal that triggers the transition. Dependingonsuch factors, which affect rising and falling edges in a differentway, the area of a digital pulse lastingk clock periods (dashedarea in Fig.13a), can be expressed as

AP,k =

∫ kTclk+tfall

0

vDDPMdt = kTclkVDD+A+−A− (19)

and is in general different than the areakTclkVDD of arectangular pulse lastingk clock periods, by the area error∆A = A+−A−. Such an error affects the mean value of realdigital waveforms of a quantityh∆A/T , beingh the numberof rising/falling edge pairs (i.e. the number of standalonepulses) in a periodT0, possibly affecting the linearity of D/Aconversion. In DPWM DACs, however, the impact of thepulse area error on linearity is small since DPWM waveformsinclude just one pulse per conversion period (h = 1). Bycontrast, this could be a concern in non-return-to-zero (NRZ)single-bitΣ∆ DACs [19-20] and also in DDPM DACs, wherethe numberh of standalone pulses in a period depends on theinput code and can be quite large.

Nonetheless, looking at the DDPM pattern in Fig.5, it canbe observed that every other time slot in a period is assignedto the MSB, so that all digital inputsn ∈

[

0, 2N−1)

areassociated to DDPM waveforms includingn standalone “one”pulses, which are separated from each other by (at least)one MSB slot at zero, like in return-to-zero (RZ) DACs, asshown in Fig.13b. Hence, for anyn ∈

[

0, 2N−1)

in a DDPMwaveform, beingh = n, the DDPM DAC static characteristiccan be expressed as

t

n>2N-1

MSB “0”

T V + Aclk DDD

t

T V - Aclk DDD

n<2N-1

n2N-1

2NLSB = V /DD 2 (1+ )

Na LSB = V /DD 2 (1- )

Na

A A

DA = A - A

t

vDDPM

VDD

3T V + Aclk DDD

vP

VDD

VDD

n>2N-1

n<2N-1

VDDPM

vDDPM

MSB “0”

MSB “1” MSB “1”

Tclk

VDD

a)

b)

c)

d)

Fig. 13. Double slope error: a) Real vs. Ideal digital pulses: Area Error∆Adefinition, b) incremental DDPM pulse (dashed) forn ∈ [0, 2N−1) increasingof one unity, c) incremental DDPM pulse (dashed) forn ∈ [2N−1, 2N )increasing of one unity, d) DDPM DAC static characteristicVDDPM(n)showing double slope error (intentionally emphasized for illustration).

VDDPM =nVDDTclk + n∆A

2NTclk

=n

2NVDD (1 + α) (20)

and the pulse area error∆A gives rise to a gain errorα = ∆A/(VDDTclk) which does not impair the linearity ofa DDPM DAC. Moreover, from Fig.13c, it can be observedthat for n ∈

[

2N−1, 2N)

, MSB slots are at “one” and anyincrease by one unit inn corresponds to an additional pulse inthe DDPM sequence which fills a gap between two next MSBslots5, thus canceling a rising/falling edge pair and reducingthe actual number of separate pulses by one unit. Consideringthath = 2N−1 for n = 2N−1, for n ∈

[

2N−1, 2N)

the numberof separate pulses is given byh = 2N − n and

VDDPM =n

2NVDD (1− α) + αVDD. (21)

As a consequence, also for input codesn ∈[

2N−1, 2N)

, thepulse area error∆A considered so far gives rise to a gainand offset error but does not impair the linearity of the DAC.Considering both (20) and (21), however, for∆A 6= 0 thecharacteristic of the DAC is piecewise linear, changing itsslope in correspondence ofn = 2N−1. Thedouble slope errorhighlighted so far can be fully compensated by pre-processingthe input data. To this purpose, beingn the actual value to beconverted, the input coden′

n′ =

n1+α

for 0 ≤ n < 2N−1 (1 + α)⌈

n−2N−1α1−α

for 2N−1 (1 + α) ≤ n < 2N(22)

where⌈·⌋ is the rounding operator to the closest integer, shouldbe applied as an input code of the DAC.

5In this range, the DDPM DAC can be regarded as a return-to-oneDAC.

Page 10: POLITECNICO DI TORINO Repository ISTITUZIONALE · IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I - REGULAR PAPERS, VOL. XX, NO. XX, 2016 1 All-Digital High Resolution D/A Conversion

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I - REGULAR PAPERS, VOL. XX, NO. XX, 2016 9

B. Power Supply Fluctuations

While a constant power supply voltageVDD has beenassumed so far, the power supply of digital circuits can beaffected by fluctuations due to noise, interference and alsotothe current absorbed by the same DAC, that is translated intoa power supply voltage drop by the nonzero impedance ofthe PCB and on-chip power network. In order to analyze theeffects of power supply variations, it is now assumed that thesupply voltage can be expressed as:

vDD(t) = VDD + vdd(t) (23)

being VDD the nominal DC value of the supply voltageand vdd(t) a time-varying fluctuation related to noise andother unwanted effects. Limiting the analysis, for the sakeofsimplicity, to a constant input coden (i.e. a periodic DDPM),based on (7) and (9), the error on a DDPM waveform due topower supply fluctuationsvdd(t) can be expressed as

vddpm(t) = vdd(t)

+∞∑

k=−∞

xn(t− 2NkTclk) (24)

and its spectrum

Vddpm,n(f) =+∞∑

k=−∞

ck,nVdd (f − kf0) , (25)

whereVdd(f) is the Fourier Transform ofvdd(t), includes aDC component

δVDDPM,n = Vddpm,n(0) =

+∞∑

k=−∞

ck,nVdd (kf0) (26)

which corrupts the mean valueVDDPM,n associated to theinput coden, with an error related to the spectral componentsof the fluctuationsvdd(t) at the harmonics off0. Such anerror, which can be deterministic or random depending on thenature ofvdd(t), will be analyzed in what follows.

C. Deterministic Supply Fluctuations - Multiple Slope Error

Considering power supply fluctuations which are deter-ministic and periodic with the same period of the DDPMsignal, like those due to the voltage drop on the power supplyimpedance induced by the current absorbed by the DDPMDAC, (25) can be written as

δVDDPM,n = Vddpm,n(0) =

+∞∑

k=1

2ℜck,nVdd (kf0) (27)

beingℜ· the real part operator. Taking into account of thedependance onk andn of the coefficientscn,k illustrated inFig.7, it can be observed that the more relevant contributions tothe sum in (27) are those related to dominant dyadic harmonicsk = m · 2N−h with m,h ∈ N and small values ofh > 0and the magnitude of such contributions is a piecewise linearfunction of the input coden, showing2h triangular oscillationsfor 0 < n < 2N .

As a consequence, the systematic error highlighted in (27) isglobally a piecewise linear function ofn, where the main slopechanges occur in correspondence of input codesn integer

multiples of 2N−h for a small h > 0 and corrupts theideal characteristics of a DDPM DAC with a gain error thatdepends on the input code interval and can be therefore definedas multiple slope error, in analogy with the double slopeerror considered in Section IVa. Such an error can be fullycompensated by digital pre-processing, by the same approachillustrated in (22), dividing the input range intom = 2p

sub-ranges, calculating different slope correction factors αi,i ∈ [1,m] on the basis of best linear fit of the uncalibratedDAC characteristics over each sub-range, and applying suchcorrection factors to the input code, i.e. applying an input

n′ =

n− (i− 1) 2N−pαi−1

1 + αi

for n ∈[

(i − 1) · 2N−p, i · 2N−p)

, i ∈ [1,m] (28)

beingn the actual value to be converted.

D. Random Noise

As far as random supply fluctuations are considered in (26),the standard deviation of noise-induced errors in the outputvoltage can be expressed as

σδVDDPM,n =

n2

22NSVdd

(0) ++∞∑

k=1

c2k,nSVdd(kf0) (29)

where SVdd(kf0) is the power spectral density (PSD) of

supply noise, assumed to be stationary.In (29) two contributions can be highlighted: the first is

related to the low-frequency noise PSD, the second is relatedto the noise PSD at higher DDPM harmonics. While the firstcontribution increases with the input coden, the second term,if a constant noise PSD (white noise) is assumed, is dominatedby the term includingc2N−1,n, which increases forn < 2N−1

and decreases for higher codes, as depicted in Fig.7. As such,according with (29), the overall noise standard deviation isrelated to the input code and increases withn for n < 2N−1,while it remains almost constant forn > 2N−1, where theincreasing contribution of the first term is balanced by thedecreasing contribution of the second.

VI. FPGA PROTOTYPE ANDEXPERIMENTAL RESULTS

To validate the DDPM technique proposed in this paper, a16-bit DDPM DAC prototype has been synthesized on FPGAand its static performance has been measured. Experimentalresults will be discussed in this section, after a short introduc-tion on the prototype implementation and on the test setup.

A. FPGA-based DDPM DAC and Test Setup

A 16-bit DDPM modulator based on the architecture inFig.11 has been synthesised starting from its VHDL descrip-tion on an Altera Cyclone IV FPGA mounted on the DE2-115evaluation board, so that to drive a1.8V digital output with aDDPM signal. The synthesised DDPM DAC required only 53FPGA logic elements (to be compared with 55 logic elementsneeded for a 16-bit DPWM modulator based on the archi-tecture in Fig.2b), operates at a clock frequency of100MHz

Page 11: POLITECNICO DI TORINO Repository ISTITUZIONALE · IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I - REGULAR PAPERS, VOL. XX, NO. XX, 2016 1 All-Digital High Resolution D/A Conversion

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I - REGULAR PAPERS, VOL. XX, NO. XX, 2016 10

Frequency [Hz]10

5-90

-80

-70

-60

-50

-40

-30

-20

-10

0

Calculated

Measured

DCcomp.

Am

pli

tud

e [d

BF

S]

106

107

Fig. 14. Spectrum of an unfiltered 16-bit, 100MHz DDPM signalcorre-sponding to the input coden = 43690 (0xAAAA), calculated on the basis of9 (a), and measured, taking the FFT of the output voltage of the FPGA-basedprototype acquired by a digital oscilloscope.

corresponding to a sample ratef0 = fclk/2N = 1.526 kHz and

includes an on-board outputRC filter 6 with R = 180 kΩ andC = 1nF, designed for a corner frequency of about880Hzin accordance with (12).

The unfiltered output voltage of the FPGA-based DDPMmodulator for the input coden = 43690 (0xAAAA) has beenacquired by a digital oscilloscope and its spectrum, evalu-ated from the Fast Fourier Transform (FFT) of the acquiredwaveform is compared in Fig.14 with the DDPM spectrumcalculated on the basis of (9).

Moreover, the DAC static characteristicVDDPM(n) has beenmeasured with the test setup in Fig.15. Here, the FPGA, onwhich the DDPM DAC under test is synthesized, is configuredto periodically increment the DAC input code by one unit,from 0x0000 up to 0xFFFF, and to generate a triggering pulsesignal every 2s. At each triggering pulse, four samples of thefiltered DDPM output of the DAC are measured by a 6.5-digit digital multimeter Agilent 34401A and are forwardedvia a general purpose interface bus (GPIB) to a PC runningan acquisition procedure developed in the Matlab environment.The measurement of the full DDPM DAC characteristic tookabout 36 hours.

B. Experimental Results and Discussion

Based on the experimental DDPM DAC transfer character-istics VDDPM(n), the integral nonlinearity error

INL(n) =VDDPM(n)− β n− VOFF

LSB16

, (30)

where β (ideally VDD/2N ) and VOFF (ideally zero) are

the coefficients of the best linear fit of the measured data,LSB16 = VDD/2

16 = 27µV is the least significant bit at 16-bit resolution, and the differential nonlinearity error

DNL(n) = INL(n+ 1)− INL(n) (31)

6The clock frequency and of the values of the filter componentsforthe prototype have been chosen considering the PCB implementation (PCBparasitics, external digital drivers, discrete components . . . ). Different choices(e.g. higher clock frequency and lower filter capacitance and/or resistance)would be surely more appropriate for an on-chip implementation and will beconsidered in future work.

DDPMDAC

C

R

vDDPM VDDPM

1.8VGPIO

1.8V VREG

V

Ext. Trigger

Personal Computer

VoltageRegulator

AlteraDE2-115

PCB

GPIB/USBdongle

12V DCSupply

FPGAAltera

Cyclone IV

USB

TestPattern

USB

JTAG

FPGAconfiguration

Data Acquisition

USB

Agilent34401A

Multimeter

Discrete Passives

Matlab

Fig. 15. Experimental test setup used to measure the static conversioncharacteristic of the DDPM DAC FPGA prototype.

have been evaluated and are reported in Fig.16 and Fig.17,respectively.

In Fig.16, in particular, both the raw INL characteristic ofthe converter and the characteristics obtained with the digitalcompensation of the double-slope and of the multiple-slopeerrors are shown.

It can be observed that the uncompensated INL shows atriangular shape due to the double slope error, as describedin Section IV, and reaches a peak value of about 110 LSBs,corresponding to a gain errorα = 4.48 · 10−3 in (20)and limiting the effective number of bits (ENOB) of theconverter over the whole range to about 8.5. After the digitalcompensation of the double slope error, performed as in (22),the peak INL is reduced to about 15 LSBs and the ENOB ofthe converter raises to 12.1. The same INL can be obtainedwithout calibration, using only one half of the input range ofthe DAC, leading, in this case, to an ENOB of 11.1.

It is interesting to observe that the INL characteristic afterthe compensation of the double slope error still includes asystematic piecewise linear component, denoting the effectof the multiple slope error discussed in Section IVc. Afterthe compensation of the multiple slope error overm = 16intervals by (28), the measured INL does not include sys-tematic components any more, is less than 2 LSB for almostall codes and an ENOB of 15.4 is obtained. It is worthnoting that the quite large uncompensated INL of the FPGAprototype can be related to the fact that a standard digitaloutput, not optimized for symmetric edges, is employed andits operation is affected by a significant capacitive load atPCB level (ESD protections, pads, PCB traces,. . . ). A betterperformance without compensation is expected in an on-chipimplementation.

In Fig.17, the measured DNL of the converter is reported(blue dots) for each input code. It can be observed that almostall measured values are in the±1LSB range. Moreover, thestandard deviationσ of the four samples acquired in sequencefor the same input code has been considered to highlightthe impact of random noise in this measurement and the±3σ bounds are reported in the same figure. Since almostall DNL values lie between the±3σ bounds, the resultsof the measurement are dominated by random noise, beingthe actual systematic differential nonlinearity of the converter

Page 12: POLITECNICO DI TORINO Repository ISTITUZIONALE · IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I - REGULAR PAPERS, VOL. XX, NO. XX, 2016 1 All-Digital High Resolution D/A Conversion

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I - REGULAR PAPERS, VOL. XX, NO. XX, 2016 11

0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1-150

-100

-50

0

50

100

0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1-20

-15

-10

-5

0

5

10

15Double Slope Error Compensation

Multiple Slope Error Compensation ( 16)m=

Double Slope Error Comp.Multiple Slope Error Comp. ( 16)m=

no digital compensation

Normalized Input Code, 2n/N

Normalized Input Code, 2n/N

Inte

gra

l N

on-L

inea

rity

(IN

L)

Err

or

[LS

B]

Inte

gra

l N

on-L

inea

rity

(IN

L)

Err

or

[LS

B]

Fig. 16. Integral Nonlinearity Error (INL) expressed inLSB16, for theuncompensated DDPM DAC, with double slope error compensation and with16-segment multiple slope error compensation. In the bottom figure a detailof the top figure is reported.

significantly better.It can be also observed that the measured standard deviation

increases with the input code up ton = 2N−1 and remainssubstantially constant - and equal to about 0.3 LSBs - forhigher codes. This is in qualitative agreement with what it isexpected for a DDPM DAC in the presence of random noise onits power supply voltage, considering (29) and the followingdiscussion in Section IVd.

C. Comparison with DPWM and state-of-the-art techniques

In order to highlight the effectiveness of the proposedDDPM technique, a 16-bit DPWM modulator, based on thearchitecture in Fig.2b has been synthesized on the sameFPGA and using the same output LPF of the DDPM DACprototype and its static characteristicVDPWM(n) has beenmeasured by the same test setup. In Fig.18 the result of theDNL measurement, obtained as in (31), is reported. It can beobserved that the error, not properly due to nonlinearity butrather to the large ripple of the DPWM output voltage, notsufficiently filtered and randomly sampled by the multimeter,can be as large as±2000 LSBs for the worst-case input codesclose to 2N−1. Such a value limits the ENOB to about 4bits and is in agreement with the discussion in Section II. Toobtain approximatively the same performance of the calibratedDDPM DAC reported in Fig.17, an output filter with a cornerfrequency of0.04Hz (e.g. withR = 2.7MΩ andC = 1.8µF)would be required. Using such a filter, however, the DACwould settle to the target 16-bit accuracy in about 6 minutesso that to be impractical not only for a fully integrated, butalso for a PCB implementation and its characterization.

0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1-1.5

-1

-0.5

0

0.5

1

1.5

2DNL - Raw Measured Data

+3 Sample Noises

Normalized Input Code n/2N

Dif

fere

nti

al N

on

lin

eari

ty (

DN

L)

Err

or,

[L

SB

]

Fig. 17. Measured Differential Nonlinearity Error (DNL) expressed inLSB16 of the DDPM DAC.

0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1-2000

-1500

-1000

-500

0

500

1000

1500

2000

Normalized Input Code n/2N

Dif

fere

nti

al N

on

lin

eari

ty (

DN

L)

Err

or,

[L

SB

]

Fig. 18. Measured Differential Nonlinearity (DNL) inLSB16, obtained as in(31), from the measured static characteristicVDPWM(n) of a 16-bit DPWMDAC with the same output filter of the proposed DDPM DAC.

The results of the measurements performed on the FPGAprototype and reported so far are summarized in Tab.I and givea clear picture of the advantages of DDPM over DPWM as ahigh resolution D/A conversion technique suitable to addressthe requirements of high-resolution, low cost D/A conversionin MD/DA AIs.

VII. C ONCLUSION

In this paper, the novel Dyadic Digital Pulse Modulation(DDPM) technique has been proposed to overcome the lim-itations - mainly related to the output filter requirements -of digital pulse width modulation (DPWM) as a technique toperform on-chip D/A conversion in present day mostly digitaland digital assisted analog interfaces. After the effectiveness ofthe DDPM approach is analytically demonstrated consideringthe spectral characteristics of DDPM modulated signals, theimplementation of a DDPM modulator has been addressed anda compact DDPM modulator architecture has been proposedand described in VHDL. Moreover, the limitations to the staticaccuracy of a DDPM-based DAC due to the shape of realdigital voltage waveforms and to fluctuations in the powersupply voltage have been analyzed, showing how the DDPMtechnique is particularly well suited to achieve a good linearity.Finally, a prototype of a fully digital DDPM-based DAC hasbeen synthesized on an FPGA and its main static performancehave been measured and discussed.

Page 13: POLITECNICO DI TORINO Repository ISTITUZIONALE · IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I - REGULAR PAPERS, VOL. XX, NO. XX, 2016 1 All-Digital High Resolution D/A Conversion

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I - REGULAR PAPERS, VOL. XX, NO. XX, 2016 12

TABLE I

EXPERIMENTAL RESULTS FOR THEDDPM AND DPWM DAC.

Param. unit DDPM DPWMcalibration 1 2 16 N/A N/Asegments

ENOB bit 8.5 12.1 15.4 4 ≃ 16§(11.1†)

INL ±LSB 110 15 < 2 4 -DNL ±LSB 1 1 1 2,000 -Logic

53 55 55ElementsSample S/s 1,525 1,525 1,525

RateBW (3dB) Hz 880 880 0.036Settl. time ms 7 9 11 3 360 · 103

R kΩ 180 180‡ 2,700C nF 1 1‡ 1,800

† achieved using only one half of the input swing‡ DPWM DACimplemented using the same RC low pass filter used for the DDPMDAC considered in the first two columns§ DPWM DAC with anRCfilter designed according with (4) for a 16 bit resolution. Estimated dataare reported since the measurement would be impractical.

ACKNOWLEDGMENTS

The author is grateful to prof. Mario Casu and prof.VittorioCamarchia for discussions and support in the experimentsand to the anonymous Reviewers for valuable comments.The DE2-115 FPGA board used for the prototype has beenprovided by Altera corp. under the Altera University program.

REFERENCES

[1] International Roadmap for Semiconductors, 2013 ed., www.itrs.net[2] G. Taylor, I. Galton, “A Reconfigurable Mostly-Digital Delta-Sigma ADC

With a Worst-Case FOM of 160 dB,” IEEE Journ. of Solid-State Circ.,vol.48, no.4, pp.983,995, Apr. 2013.

[3] X. Zhang, D. Brooks, G. Wei “A 20uW 10MHz Relaxation Oscillatorwith Adaptive Bias and Fast Self-Calibration in 40nm CMOS for Micro-Aerial Robotics Application”, proc. of the 2013 IEEE Asian Solid-StateCircuits Conference (A-SSCC), pp. 433-436, Nov. 2013, Singapore.

[4] P. S. Crovetti, “A Digital-Based Analog Differential Circuit,” IEEE Trans.on Circ. and Syst. I, Reg. Papers, vol.60, no.12, pp.3107,3116, Dec. 2013.

[5] S. Weaver, B. Hershberg and U. K. Moon, “Digitally SynthesizedStochastic Flash ADC Using Only Standard Digital Cells,” IEEE Trans.on Circ. and Syst. I: Reg. Papers, vol. 61, no. 1, pp. 84-91, Jan. 2014.

[6] L. Fick, D. Fick, M. Alioto, D. Blaauw and D. Sylvester, “A346µm2 VCO-Based, Reference-Free, Self-Timed Sensor Interfacefor Cubic-Millimeter Sensor Nodes in 28 nm CMOS,” IEEE Journ. of Solid-StateCirc., vol. 49, no. 11, pp. 2462-2473, Nov. 2014.

[7] P. S. Crovetti, “A Digital-Based Virtual Voltage Reference,” IEEE Trans.on Circ. and Syst. I: Reg. Papers, vol.62, no.5, pp.1315-1324, May 2015.

[8] Y. Mortazavi, W. Jung , B. Evans; A. Hassibi, “A Mostly-Digital PWM-Based∆Σ ADC with an Inherently Matched Multi-bit Quantizer/DAC,”IEEE Tran. on Circ. and Syst. II: Expr. Briefs , in press.

[9] B. Murmann, “Digitally Assisted Analog Circuits,” IEEEMicro, vol. 26,no. 2, pp. 38-47, Mar.-Apr. 2006.

[10] H.-Y. Shih, C.-N. Kuo, W.-H. Chen, T.-Y. Yang, K.-C. Juang, “A 250MHz 14 dB-NF 73 dB-Gain 82 dB-DR Analog Baseband Chain WithDigital-Assisted DC-Offset Calibration for Ultra-Wideband,” IEEE Journ.of Solid-State Circ., vol.45, no.2, pp.338-350, Feb. 2010.

[11] Shih-Yuan Kao; Shen-Iuan Liu, “A Digitally-Calibrated Phase-LockedLoop With Supply Sensitivity Suppression,” IEEE Trans. on VLSI, vol.19,no.4, pp.592-602, Apr. 2011.

[12] R. Gangarajaiah, M. Abdulaziz, H. Sjoland, P. Nilssonand L. Liu, “ADigitally Assisted Nonlinearity Mitigation System for Tunable ChannelSelect Filters,” IEEE Trans. on Circ. and Syst.II: Expr. Briefs, vol. 63,no. 1, pp. 69-73, Jan. 2016.

[13] B. Murmann, “Digitally assisted data converter design,” proc. of the2013 IEEE European Solid-State Circuits Conference (ESSCIRC), pp.24-31, Bucharest, 2013.

[14] D. Marche, Y. Savaria and Y. Gagnon, “An Improved SwitchCompen-sation Technique for Inverted R-2R Ladder DACs,” IEEE Trans. on Circ.and Syst. I: Reg. Papers, vol. 56, no. 6, pp. 1115-1124, June 2009

[15] M. Clara, High-Performance D/A-Converters., Springer, Berlin, 2013.[16] J. M. de la Rosa, R. Schreier, Pun Kong-Pang, S. Pavan, “Next-

Generation Delta-Sigma Converters: Trends and Perspectives,” IEEEJourn. on Emerging and Selected Topics in Circ. and Syst., vol.5, no.4,pp.484-499, Dec. 2015.

[17] Pena-Perez, A.; Bonizzoni, E.; Maloberti, F., “A 88-dBDR, 84-dBSNDR Very Low-Power Single Op-Amp Third-OrderΣ∆ Modulator,”IEEE Journ. of Solid-State Circ., vol.47, no.9, pp.2107,2118, Sept. 2012.

[18] A. Donida, R. Cellier, A. Nagari, P. Malcovati and A. Baschirotto, “A40-nm CMOS, 1.1-V, 101-dB Dynamic-Range, 1.7-mW Continuous-TimeΣ∆ ADC for a Digital Closed-Loop Class-D Amplifier,” IEEE Trans. onCirc. and Syst. I: Reg. Papers, vol. 62, no. 3, pp. 645-653, Mar. 2015.

[19] R. Adams and K. Q. Nguyen, “A 113-dB SNR oversampling DACwithsegmented noise-shaped scrambling,” IEEE Journ. of Solid-State Circuits,vol. 33, no. 12, pp. 1871-1878, Dec 1998.

[20] A. Sanyal, L. Chen and N. Sun, “Dynamic Element MatchingWithSignal-Independent Element Transition Rates for Multibit∆Σ Modula-tors,” IEEE Trans. on Circ. and Syst. I: Reg. Papers, vol. 62,no. 5, pp.1325-1334, May 2015.

[21] M.B. Sandler,, “Digital-to-analogue conversion using PWM,” Electr. &Comm. Eng. Journ., vol.5, no.6, pp.339-348, Dec.1993.

[22] Li Peng; Yong Kang; Xuejun Pei; Jian Chen, “A Novel PWM Techniquein Digital Control,” IEEE Trans. on Ind. Electr., vol.54, no.1, pp.338-346,Feb. 2007.

[23] TMS320x2833x, 2823x High Resolution Pulse Width Modulator(HRPWM) Reference Guide, Texas Instruments, Nov. 2011.

[24] Z. Sun, K. W. R. Chew, et al., “A 0.42-V Input Boost dcdc ConverterWith Pseudo-Digital Pulsewidth Modulation,” IEEE Trans. on Circ. andSyst. II: Expr. Briefs, vol. 61, no. 8, pp. 634-638, Aug.2014

[25] X. Wang, X. Zhou, J. Park, R. Guo and A. Q. Huang, “Analysis ofProcess-Dependent Maximal Switching Frequency, Choke Effect, and ItsRelaxed Solution in High-Resolution DPWM,” IEEE Trans. on PowerElectr., vol. 25, no. 1, pp. 152-157, Jan. 2010.

[26] D. Navarro, O Luca, L. A. Barragan, J. I. Artigas, I. Urriza andOJimenez, “Synchronous FPGA-Based High-Resolution Implementationsof Digital Pulse-Width Modulators,” IEEE Trans. on Power Electr.,vol. 27, no. 5, pp. 2515-2525, May 2012.

[27] F. Chierchie and E. Paolini, “Real-time digital PWM with zero basebanddistortion and low switching frequency,” IEEE Trans. Circuits Syst. I,Reg. Papers, vol. 60, no. 10, pp. 27522762, Oct. 2013.

[28] Z. Song, D. Sarwate, “The frequency spectrum of pulse width modulatedsignals,” Signal Processing, vol. 83, no.10, pp. 22272258,Oct. 2003.

[29] R. E. Hiorns, R. G. Bowman and M. B. Sandler, “A PWM DAC fordigital audio power conversion: from theory to performance,” proc. ofthe 1991 IET Int. Conf. on Analogue to Digital and Digital to AnalogueConversion, pp. 142-147, Swansea, 1991.

[30] R. Schreier, G. C. Temes,Understanding Delta-Sigma Data Converters,Wiley-IEEE Press, New York, 2004.

[31] F. Maloberti,Data Converters, Springer, Berlin, 2007.[32] P. Bhansali, K. Hosseini and M. P. Kennedy, “Performance analysis

of low power, high speed pipelined adders for digitalΣ∆ modulators,”Electr. Lett., vol. 42, no. 25, pp. 1442-1444, 7 Dec. 2006.

Paolo S. Crovetti (S’00, M’03) was born in Torino,Italy, in 1976. He received the Laurea (summa cumlaude) and Ph.D. degrees in Electronic Engineeringfrom the Politecnico di Torino in 2000 and 2003,respectively. Currently he is with the Department ofElectronics and Telecommunications (DET) of thePolitecnico di Torino, Italy, where he works as anAssistant Professor of Electronics. His main researchinterests are in the fields of analog, mixed-signal andpower integrated circuits, nonlinear circuits and IC-level EMC. His recent research activities are focused

on the development of fully digital implementations of analog functions. Heis co-author of more than 40 papers appearing in journals andinternationalconference proceedings. He is serving as a regular reviewerfor several IEEEjournals and in 2015 he has joined the Editorial Board of IET ElectronicsLetters as an Associate Editor.