opto-electric characterization of dielectric thin films using a …anilkg/kousik-thesis.pdf ·...

111
Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent Electrode Thesis submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy by Kousik Midya (Roll No. 06407014) Supervisor: Prof. Anil Kottantharayil Co-Supervisor: Prof. Subhabrata Dhar DEPARTMENT OF ELECTRICAL ENGINEERING INDIAN INSTITUTE OF TECHNOLOGY BOMBAY MUMBAI, INDIA 2017

Upload: others

Post on 25-Feb-2020

3 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Opto-Electric Characterization Of Dielectric ThinFilms Using A Transparent Electrode

Thesissubmitted in partial fulfillment of the requirements for the degree of

Doctor of Philosophy

by

Kousik Midya(Roll No. 06407014)

Supervisor: Prof. Anil KottantharayilCo-Supervisor: Prof. Subhabrata Dhar

DEPARTMENT OF ELECTRICAL ENGINEERINGINDIAN INSTITUTE OF TECHNOLOGY BOMBAY

MUMBAI, INDIA2017

Page 2: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

This thesis is dedicated to my parents

Page 3: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent
Page 4: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent
Page 5: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Acknowledgements

First and foremost I would like to express my deepest gratitude to my supervisor Prof. Anil Kot-tantharayil, Department of Electrical Engineering, IIT Bombay, Mumbai for his continuous sup-port and inspiring discussions throughout the years I was working on the thesis. His advice andinspiration have enriched my knowledge, power of thinking, devotion to duty and works. I amhighly indebted for his constant support, valuable guidance, kind co-operation, and encouragementthroughout my journey.I am highly thankful to my co-supervisor Prof. Subhabrata Dhar, Department of Physics, IITBombay, Mumbai for his constant inspiration, invaluable suggestions and motivating guidance forcarrying out this work. His co-operation and timely guidance were instrumental in presenting thiswork in the form of a thesis. His advice on both research as well as on my career is priceless.I also owe many thanks to my RPC members, Prof. J. Vasi and Prof. Udayan Ganguly for theirtime to time evaluation and valuable suggestions at various stages of my research work.I would like to thank Department of Science and Technology of the Government of India for partialfinancial support to this work through contract no. SR/S3/EECE/007/2008.I acknowledge the “IITB Nanofabrication facility” (IITBNF), Department of Electrical Engineer-ing, IIT Bombay where most of the work presented in this thesis has been carried out. I wish manythanks to all the IITBNF staff members for their friendly support throughout the work.I also sincerely wish to thank all my fellow Ph.D. scholars and M. Tech students, with whom I haduseful discussions during my research, especially Shaivalini Singh, Abhishek Mishra, MeenakshiB., Sanchar Acharya, Kalaivani S., Manali Khare, Amritha Janardanan, Rohini Golve, ManiniGour, Sahul Nath, Satya Suresh, Mayur Waikar, Amit Gour, Suresh Gundapaneni, Sunnay Sadana,Senthil Srinivasan, Sanchar Acharya, Kalaivani S, Jitendra Mishra and Sandipto Roy.I would like to thank Ministry of Human Resource Development (MHRD) and Indian Nanoelec-tronics Users Program (INUP) for providing me financial support to carry out PhD research.I would like to thank my parents and sister for their encouragement and moral support. Duringdifferent stages of my thesis the support, encouragement and patience of my wife Swagata are alsoappreciated. Last but not the least I express my sincere thanks to God for his blessings withoutwhich the thesis would never, been a success.

Page 6: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

AbstractThere is a growing interest in the trap characteristics of wide band gap materials, like Silicon

Dioxide (SiO2), Silicon Nitride (Si3N4), Hafnium Oxide (HfO2), Aluminum Oxide (Al2O3) andothers due to their applications in different electronic devices. The quality of dielectric is of primeinterest for better performance of the devices. The performance of electronic devices criticallydepends on type and density of defects (or traps) present in the semiconductor, amorphous dielectricand also at their interface. So, a detailed knowledge of trap characteristics is highly important. Trapis actually an impurity or charged point defect in semiconductor or dielectric where carrier can becaptured for a period of time. Also, trap can be described as localized electronic state in forbiddengap originated from structural defect or extensive doping. From energy position of trap levels in theforbidden gap, they can be divided in two groups: shallow and deep traps. Depending on physicalposition of the traps they can be divided in three groups, interface, border and bulk traps.

Different methods have been proposed to retrieve information about different trap properties. Itis easier to characterize interface traps compared to bulk traps as interface traps respond more easilyto the applied signal. This study is mainly focused on bulk trap characterization. Among differentproposed methods “flat band voltage monitored trap-depopulation” technique seems to be mostpromising, as it can measure maximum number of trap parameters accurately and also with a simpledevice structure. In this technique dielectric, embedded in Metal-Nitride-Semiconductor (MNS)structure, is first programmed electrically to fill all the trap levels by electrons. Then the deviceis illuminated by light of different energy. Photon energy is increased step by step. Dependingon energy position of the trap levels, trapped electrons start to respond to some specific photonenergies. The main difficulty associated with this technique is that, for optical study a transparentgate electrode is required. In previous works, thin metal layers (~ 15 nm) have been used asgate electrode. Low transmission coefficient of metal layer demands the illumination to be carriedout for extended duration. Also, transmittance of metal layer falls beyond the wavelength of 600nm (~ 2 eV). So, trap levels with energy position < 2 eV cannot be distinguished using metalelectrode. Moreover, device with thin metal electrode is difficult to fabricate as annealing results inagglomeration of metal with such thickness. To address all these issues, in our work thick IndiumTin Oxide (ITO) layer with high transmittance up to near Infrared (NIR) region (up to ~ 3000 nm)has been used as the gate electrode. Also, in previous works the photon energy was scanned evenabove the mid-gap to obtain information about the trap levels lying below the mid-gap. However,for excitation with photon energy larger than EG/2 (EG is the band gap of the material), there isa probability of transfer of an electron from valance band to that trap level or some other emptystate. This transition leads to erroneous result for the calculated trap density. Such drawback canbe overcome by filling all the traps either by holes (-ve bias) or electrons (+ve bias) followed bywavelength dependent photo-detrapping experiment by using photon energy up to EG/2.

The study was first focused on controlling the resistivity of the deposited ITO film, withoutcompromising transmittance in the NIR region of the spectrum. It has been observed that, (222)

iv

Page 7: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

oriented film only shows high transmittance in NIR region. For optimization ITO films were de-posited on quartz substrate using RF sputtering technique. In most cases, deposition was performedat elevated substrate temperature. The X-ray diffraction (XRD) analysis was performed for all thefilms. It was found that the samples deposited at elevated substrate temperature shows (222) crystalorientation even though the deposition ambient was oxygen free. For the films deposited at roomtemperature, significant presence of (400) peak has been observed even after post deposition an-nealing (PDA). It has been observed that, for all samples the average grain size is increased withsubsequent annealing. Transmittance of the film has been measured for the wavelength range from190 to 3300 nm. Average transmittance of 84.4%, 90.2% and 85.3% for wavelengths up to 800 nm,2500 nm and 3300 nm respectively has been obtained. The resistivity in this case is found to be aslow as ~ 10−3 Ω-cm. The transmittances of the samples do not vary substantially upon annealing,but the resistivity decreases by several factors.

The optimized ITO films have been used to establish modified trap spectroscopy technique.Energy levels of traps in Si3N4 are determined using a modified trap spectroscopy method, basedon filling of traps using electrical stress followed by optical detrapping, in a MNS structure. Photonenergy dependent shift in the flat band voltage is used to estimate type and energetic position ofthe traps. Here, we report detection of two prominent hole trap levels at 0.5 and 1.1 eV above thevalance band edge. The study suggests that phonons hardly participate in the detrapping process ofholes in Si3N4.

The optimized ITO film has also been used to characterize Al2O3 films. Al2O3 thin films areused for passivation of p-type Silicon surface in solar cells. In this work we investigate how atomiclayer deposition (ALD) and pulsed-dc (p-DC) reactive sputtered Al2O3 films respond to UltraViolet(UV) illumination. UV exposure of the passivation is inevitable in the field and stability of the filmsto UV is an important criteria for solar cell application. We find that the negative fixed charge inthe film increases upon UV illumination, which could lead to a better passivation. In conventionalprocess, the film has to be annealed after deposition for the activation of the passivation. Fixedoxide charge density is found to be 5.8×1012 cm−2 and 9×1012 cm−2 for annealed and UV illumi-nated film respectively. So, it is proposed that annealing step can be replaced by UV illuminationfor activation of passivation.

v

Page 8: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

List of Abbreviations

AC Alternating CurrentAl AluminumALD Atomic Layer DepositionAl2O3 Aluminum Oxidea-Si:H Hydrogenated Amorphous SiliconAu GoldBiMOSFET BJT-MOSFETCV Capacitance-VoltageCP Charge PumpingCVD Chemical Vapor DepositionCMOS Complementary Metal Oxide SemiconductorIV Current versus VoltageDLTS Deep Level Transient SpectroscopySiH2Cl2 DichlorosilaneDC Direct CurrentDCIV Direct-Current Current-Voltagee-beam Electron BeamESR Electron Spin ResonanceFWHM Full width at half maximumGaN Gallium NitrideHfO2 Hafnium OxideHe-Cd Helium-CadmiumHFCV High Frequency CVHCl Hydrochloric AcidIn IndiumInCl3 Indium ChlorideITO Indium Tin OxideLPCVD Low Pressure Chemical Vapor DepositionMOSCap MOS CapacitorMOSFET Metal Oxide Semiconductor Field-Effect TransistorMNOS Metal-Nitride-Oxide-SemiconductorMNS Metal-Nitride-Semiconductor

Page 9: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

NH3 AmmonianMOSFET n-Channel MOSFETNIR Near InfraRedPMMA Poly(Methyl Methacrylate)PDA Post Deposition AnnealingRCA Radio Corporation of AmericaRF Radio FrequencyRI Refractive IndexRTS Random Telegraphic Noise SignalSEM Scanning Electron MicroscopeSiO2 Silicon DioxideSi3N4 Silicon NitrideSONOS Silicon-Oxide-Nitride-Oxide-SiliconTSC Thermally Stimulated CurrentTSD Thermally Stimulated DepolarizationTSEE Thermostimulated Exoelectron Emission TechniqueSn TinSnCl4 Tin TetrachlorideTiN Titanium NitrideUV UltravioletXRD X-ray DiffractionXPS X-ray Photoelectron SpectroscopyY2O3 Yttrium Oxide

vii

Page 10: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Contents

1 Introduction 81.1 Scope of the present work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101.2 Organization of the thesis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11

2 Literature Review 122.1 Different trap characterization techniques . . . . . . . . . . . . . . . . . . . . . . 12

2.1.1 Trap characterization techniques based on electrical measurements . . . . . 122.1.1.1 Charge Pumping Technique . . . . . . . . . . . . . . . . . . . . 122.1.1.2 Capacitance-Voltage Measurement . . . . . . . . . . . . . . . . 132.1.1.3 Statistical Random Noise Analysis . . . . . . . . . . . . . . . . 152.1.1.4 Direct-Current Current-Voltage Technique . . . . . . . . . . . . 162.1.1.5 Thermally Stimulated Current Spectroscopy . . . . . . . . . . . 182.1.1.6 Thermostimulated Exoelectron Emission Technique . . . . . . . 192.1.1.7 Summary of Electrical Characterization Techniques . . . . . . . 21

2.1.2 Trap characterization techniques based on opto-electrical measurements . . 212.1.2.1 Photo-IV Measurement . . . . . . . . . . . . . . . . . . . . . . 212.1.2.2 Flat Band Voltage Monitored Trap-Depopulation Technique . . . 232.1.2.3 Other Techniques . . . . . . . . . . . . . . . . . . . . . . . . . 252.1.2.4 Summary of Opto-Electrical Measurement Techniques . . . . . . 26

2.2 Review of optimization of ITO films . . . . . . . . . . . . . . . . . . . . . . . . . 272.2.1 Different techniques for deposition of ITO films . . . . . . . . . . . . . . . 272.2.2 Reported work on optimization of different parameters . . . . . . . . . . . 28

2.2.2.1 Deposition Rate Optimization . . . . . . . . . . . . . . . . . . . 282.2.2.2 Resistivity Optimization . . . . . . . . . . . . . . . . . . . . . . 292.2.2.3 Transmittance Optimization . . . . . . . . . . . . . . . . . . . . 302.2.2.4 Summary and Inferences . . . . . . . . . . . . . . . . . . . . . 31

2.3 Modeling of energy distribution of trap levels in Nitride films . . . . . . . . . . . . 312.3.1 Model proposed by C. T. Kirk Jr. [70] . . . . . . . . . . . . . . . . . . . . 322.3.2 Model proposed by K. L. Ngai and Y. Hsia [68] . . . . . . . . . . . . . . . 332.3.3 Model proposed by J. Robertson [69] . . . . . . . . . . . . . . . . . . . . 33

1

Page 11: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

2.3.4 Model proposed by D. T. Krick et al. [72] . . . . . . . . . . . . . . . . . . 342.3.5 Model proposed by Y. Kamigaki et al. [67] . . . . . . . . . . . . . . . . . 34

2.4 Silicon surface passivation using Al2O3 . . . . . . . . . . . . . . . . . . . . . . . 352.5 Summary of literature review . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37

3 Experimental Techniques 383.1 Device structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 383.2 Different fabrication techniques . . . . . . . . . . . . . . . . . . . . . . . . . . . 39

3.2.1 Low pressure chemical vapor deposition technique . . . . . . . . . . . . . 393.2.2 Radio frequency sputtering system . . . . . . . . . . . . . . . . . . . . . . 403.2.3 Pulsed-DC sputtering system . . . . . . . . . . . . . . . . . . . . . . . . . 413.2.4 Thermal evaporation technique . . . . . . . . . . . . . . . . . . . . . . . . 423.2.5 Annealing chamber . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43

3.3 Different characterization techniques . . . . . . . . . . . . . . . . . . . . . . . . . 443.3.1 Scanning electron microscopy . . . . . . . . . . . . . . . . . . . . . . . . 443.3.2 X-ray diffraction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 453.3.3 UV/Vis/NIR spectrometer . . . . . . . . . . . . . . . . . . . . . . . . . . 463.3.4 Optical capacitance-voltage measurement system . . . . . . . . . . . . . . 46

4 Deposition and Optimization of ITO Films 484.1 Pre-processing of the ITO target . . . . . . . . . . . . . . . . . . . . . . . . . . . 484.2 Experiment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 494.3 Results and discussion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

4.3.1 Grain size and crystal orientation . . . . . . . . . . . . . . . . . . . . . . 504.3.2 Transmittance and optical band gap measurements . . . . . . . . . . . . . 554.3.3 Comparison of results with reported literature . . . . . . . . . . . . . . . . 58

4.4 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60

5 Establishment of Modified Trap Spectroscopy Technique and Characterization of Sil-icon Nitride Thin Films 615.1 Study of the Silicon Nitride thin films deposited in various process conditions . . . 615.2 Trap characterization of Silicon Nitride film by modified trap spectroscopy technique 63

5.2.1 Proposed theory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 645.3 Experiments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

5.3.1 Sample preparation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 665.3.2 Measurement setup for trap spectroscopy . . . . . . . . . . . . . . . . . . 67

5.4 Results and discussion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 685.4.1 Comparison of performance of ITO with other standard gate electrode . . . 685.4.2 Trap spectroscopy results . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

2

Page 12: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

5.4.3 Comparison of results with reported literature . . . . . . . . . . . . . . . . 785.5 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80

6 Study on UV Stability of Al2O3 Thin Films 816.1 Experiments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 816.2 Sample preparation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82

6.2.1 Sputtering method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 826.2.2 ALD method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82

6.3 Results and discussions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 836.3.1 Thickness and RI measurements . . . . . . . . . . . . . . . . . . . . . . . 836.3.2 Band gap measurements . . . . . . . . . . . . . . . . . . . . . . . . . . . 83

6.4 UV stability measurements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 846.4.1 Comparison of results with reported literature . . . . . . . . . . . . . . . . 89

6.5 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90

7 Conclusions and Future Scope 917.1 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 917.2 Future scope . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92

3

Bibliography 93

List of Publications from this Thesis 102

Page 13: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

List of Figures

2.1 Experimental setup for CP technique. . . . . . . . . . . . . . . . . . . . . . . . . 132.2 Conduction band profile explaining trap capture and emission process under +ve

gate bias. The figure is adapted from [16]. . . . . . . . . . . . . . . . . . . . . . . 152.3 Cross sectional view of BiMOSFET structure. This figure is adapted from [17]. . . 172.4 Schematic diagram of TSC measurement setup. . . . . . . . . . . . . . . . . . . . 182.5 Schematic diagram of TSEE measurement setup. . . . . . . . . . . . . . . . . . . 202.6 Device structure for photo-IV measurement. . . . . . . . . . . . . . . . . . . . . . 212.7 Pictorial description of the methodology for flat band voltage monitored trap-depopulation

technique. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23

3.1 Schematic structure of device used in our study for trap characterization of dielec-tric films. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

3.2 Schematic diagram of LPCVD setup. . . . . . . . . . . . . . . . . . . . . . . . . . 393.3 Schematic diagram of DC sputtering system. . . . . . . . . . . . . . . . . . . . . . 403.4 Schematic diagram of basic RF sputtering system with self bias configuration. . . . 413.5 Voltage pulse for different types of pulsed-DC sputtering (a) unipolar pulsing (b)

symmetric bipolar pulsing (c) assymetric bipolar pulsing. . . . . . . . . . . . . . . 423.6 Schematic diagram of thermal evaporation system. . . . . . . . . . . . . . . . . . 433.7 Schematic diagram of annealing chamber. . . . . . . . . . . . . . . . . . . . . . . 443.8 Schematic drawing of SEM system. . . . . . . . . . . . . . . . . . . . . . . . . . 453.9 Pictorial description of working principle of XRD. . . . . . . . . . . . . . . . . . 453.10 Schematic diagram of spectrometer. . . . . . . . . . . . . . . . . . . . . . . . . . 463.11 Block diagram of optical CV measurement system. . . . . . . . . . . . . . . . . . 47

4.1 SEM images of ITO thin films; S1: substrate temperature 400 C and no PDA,S2: substrate temperature 400 C and annealing temperature 400 C, S3: substratetemperature 400 C and annealing temperature 600 C, S4: substrate temperature400 C and annealing temperature 800 C. Inset of S4 shows the cracks generatedin the film during annealing process. . . . . . . . . . . . . . . . . . . . . . . . . . 51

4.2 (a) X-ray diffraction pattern of various ITO thin films, (b) Variation of FWHM, for(222) peak, as a function of annealing temperature for the samples S1 to S4. . . . . 52

4

Page 14: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

4.3 Magnified (222) peak and FWHM for S1, S2, S3 and S4. . . . . . . . . . . . . . . 534.4 Grain size as a function of annealing temperature measured by SEM and XRD

analysis. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 544.5 Impact of annealing on the crystallinity of the film. XRD pattern of the films grown

with different substrate temperatures and post deposition anneal. . . . . . . . . . . 544.6 Transmittance as a function of wavelength for the samples (a) S1 to S4 (b) S5 to S7. 554.7 Transmittance for S1 to S7 at wavelength of 3000 nm. . . . . . . . . . . . . . . . . 564.8 Average Transmittance (for the range of 350 - 3300 nm) versus (a) resistivity and

(b) sheet resistance. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 574.9 Tauc plot for S1 to S7. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58

5.1 Influence of NH3 flow rate on deposition rate. . . . . . . . . . . . . . . . . . . . . 625.2 Influence of NH3 flow rate on refractive index. . . . . . . . . . . . . . . . . . . . . 635.3 Pictorial description of the methodology for characterization of trap levels lying

above mid-gap: (a) after programming of the device by +ve voltage all trap levelsare filled by electrons, (b) detrapping of electrons from the trap levels, as a resultof illumination of the device by appropriate photon energy. . . . . . . . . . . . . . 65

5.4 Pictorial description of the methodology for characterization of trap levels lyingbelow mid-gap: (a) after programming of the device by -ve gate voltage all traplevels are filled by holes, (b) detrapping of holes from the trap levels, as a result ofillumination of the device by appropriate photon energy. . . . . . . . . . . . . . . 66

5.5 Pictorial depiction of the measurement set up. The inset shows the cross sectionalschematic of the MOS device used. . . . . . . . . . . . . . . . . . . . . . . . . . . 67

5.6 Schematic diagram of the devices with (a) Al (b) ITO and (c) ITO/TiN gate elec-trode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

5.7 CV characteristics for devices with Al, ITO and ITO/TiN gate electrode. . . . . . . 695.8 IV characteristics for Silicon Nitride thin film with Al, ITO/TiN, ITO as gate elec-

trode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 705.9 CV characteristics obtained at different conditions; (a) before programming (solid

squares), (b) after programming (solid circles) and (c) 12 h after programming(solid triangle). CV, after 20 min of illumination with different excitation energies(red solid lines) are also shown. . . . . . . . . . . . . . . . . . . . . . . . . . . . 71

5.10 Flat band voltage as a function of excitation energy after 5 min of illumination.Inset: Pictorial description of detrapping mechanism. Band gap of Si3N4 has beenconsidered as 4.7 eV [96]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72

5.11 Photocurrent as a function of gate voltage before and after charging of the Nitridelayer. Programming of the device was done by −15 V gate bias for 1 s. . . . . . . 73

5

Page 15: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

5.12 Results of Photo CV measurements after illumination, of programmed device. Il-lumination was carried out with photons of energy 0.4 eV, 0.7 eV and 0.5 eV re-spectively in that order for 20 min each. . . . . . . . . . . . . . . . . . . . . . . . 75

5.13 Schematic diagram of the detrapping process in k-space. | φ (k) |2 represents theprobability distribution of electron in the trap level. . . . . . . . . . . . . . . . . . 76

5.14 Detrapping transient for ITO and ITO/TiN gate at excitation energy 0.5 eV. . . . . 775.15 Flat band voltage as a function of excitation energy after 30 min of illumination

with 10 nm TiN/80 nm ITO gate stack. . . . . . . . . . . . . . . . . . . . . . . . 78

6.1 Schematic structure of the device. . . . . . . . . . . . . . . . . . . . . . . . . . . 826.2 Tauc plot for ALD-Al2O3 with and without PDA. . . . . . . . . . . . . . . . . . . 846.3 Fixed oxide charge (QOX) as a function of illumination time for (a) sputtered and

(b) ALD-Al2O3 films. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 856.4 CV characteristics obtained for (a) sample 2 (b) sample 2 after 60 min of illumina-

tion (c) sample 4 and (d) sample 4 after 60 min of illumination. . . . . . . . . . . . 856.5 CV characteristics after different sequential steps: (a) initial state (b) after illumi-

nation of the device by 325 nm laser for 60 min (no gate bias) (c) after illuminationof device under 405 nm laser with +1.5 V gate bias for 20 min (d) voltage stress by-12 V for 1 s and (e) voltage stress by -12 V for another 1 s. . . . . . . . . . . . . 87

6.6 (a) Initial CV characteristic of a fresh device and (b) CV profile after voltage stressby -12 V for 1 s. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89

6

Page 16: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

List of Tables

2.1 Tansmittance of Al film of different thickness as reported by G. Hass and J. Way-lonis [30]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

4.1 Process splits, used in the experiment along with a summary of the key electricaland optical data. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

4.2 Resistivity and average transmittance of ITO films. . . . . . . . . . . . . . . . . . 59

5.1 Deposition parameters and measured thickness and RI of the Nitride films. . . . . . 625.2 Parameters representing the electrical properties of Si3N4 for different gate dielectrics. 705.3 4V S

FB and NT for different trap levels observed for Si3N4 layer. . . . . . . . . . . 745.4 Energy position of electron and hole trap levels in Si3N4 thin films. . . . . . . . . . 80

6.1 Thickness and RI of the films deposited by sputter and ALD systems. . . . . . . . 836.2 Values of QOX and Dit calculated from CV characteristics. . . . . . . . . . . . . . 87

7

Page 17: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Chapter 1

Introduction

There is a growing interest in the trap characteristics of wide band gap materials, like Silicon Diox-ide (SiO2), Silicon Nitride (Si3N4), Hafnium Oxide (HfO2), Aluminum Oxide (Al2O3) and othersdue to their applications in different electronic devices like Complementary Metal Oxide Semi-conductor (CMOS) field effect transistors, semiconductor memory, solar cell etc. The quality ofdielectric is of prime interest, as aggressive scaling continues in the CMOS technology. The per-formance of electronic devices critically depends on type and density of defects (or traps) presentin the semiconductor, amorphous dielectric and also at their interface.

Trap is actually an impurity or charged point defect in semiconductor/dielectric where carriercan be captured for a period of time. Also, trap can be described as localized electronic state inforbidden gap originated from structural defect or extensive doping. So, position of trap refers toits location both in energy and physical space. Now, the question arises, what is the origin of suchstates in the band gap of semiconductor or dielectric.

Perfect crystal is simply a model or theoretical idea. In reality it is near to impossible to finda perfect crystal. Impurities and broken bonds are two main causes of imperfect crystal structure.Imperfections introduce electronic state in forbidden gap, which acts as trap for electron or hole. So,imperfection, either intentional or unintentional, plays important role to determine the suitabilityof the material (semiconductor/dielectric) for a certain application.

From energy position of trap levels in the forbidden gap, they can be divided in two groups:shallow and deep traps. Electronic states lying near valance or conduction band edge are shallowtraps, whereas states nearer to the mid-gap are called deep traps. It is difficult to define the criteriafor which shallow or bulk traps will be generated. In case of semiconductor, mostly substitutionalimpurities introduce shallow traps. But in some cases interstitial atoms may also introduce suchtype of traps. Impurities, which introduce shallow traps, are mainly used for doping to controlcarrier concentration, mobility and resistivity of the material. Deep traps can influence generationand recombination of electron and hole and optical or opto-electronic properties of the material.

Depending on physical position of the traps they can be divided in three groups, interface,border and bulk traps. Traps lying at interface of two layers (say, semiconductor/dielectric) in

8

Page 18: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

device, originated mainly due to the disruption in the material structure at the interface, are calledinterface traps. Border traps are the traps situated near the interface. Bulk traps lie in the bulk ofsemiconductor or dielectric layer, originated from inherent structural imperfections. As structuraldefects mainly cause deep traps, bulk traps are also found to be deeper state compared to interfacestate. Interface traps are also known as fast traps as they are capable of exchanging charge rapidlycompared to bulk traps. Border traps are slower than interface traps and faster than bulk traps.

So, due to dissimilar behavior, different types of traps affect the device performance in differ-ent ways. The device performance is also determined by different properties of traps lying in thematerial, like density, depth (both energy and positional depth), type (electron or hole trap), crosssection etc. For example, for a Silicon-Oxide-Nitride-Oxide-Silicon (SONOS) memory device,trap density defines memory window, trap depth and cross section defines retention and program-ming/erazing speed.

It is also interesting that different applications need different trap properties. CMOS applica-tions look for dielectrics with low trap density. For SONOS-like devices, charge trapping layershould be trap rich to increase memory window. At the same time, tunnel and blocking dielectricshould have bulk defect and interface state as low as possible because electron hopping throughtunnel or blocking layer can increase leakage of stored charge. In case of solar cell, efficiency issignificantly affected by carrier recombination at wafer surface. Introduction of a layer, at Silicon(Si) interface, with high fixed charge density can reduce electron or hole concentration at the in-terface. This leads to decrease in surface recombination. Such layer is called passivation layer.So, increase in trap density increases the efficiency of passivation layer by introducing more fixedcharge density.

So, a detailed knowledge of trap characteristics is highly important. Different methods havebeen proposed to retrieve information about different trap properties. It is easier to characterizeinterface traps compared to bulk traps as interface traps respond more easily to the applied sig-nal. This study is mainly focused on bulk trap characterization. So, first aim of the work is tofind an efficient technique to extract different parameters of traps. The proposed techniques forcharacterization of bulk traps can be divided in two groups: electrical and opto-electrical methods.

Among different electrical techniques, thermostimulated exoelectron emission (TSEE) tech-nique seems most efficient for characterization of bulk traps lying in wide band gap materials[1, 2]. But it is not a direct technique and also it needs complex experimental setup and mathemat-ical modeling.

Comparative study shows that opto-electrical measurement based techniques are more efficientand need simpler device structure. Among different opto-electrical techniques, “flat band voltagemonitored trap-depopulation” is most promising, as it can measure maximum number of trap pa-rameters (e.g., energy position of the trap levels, density of traps at each level, capture and emissioncross section) accurately and also with simple device structure [3–5]. In this technique, dielectricis embedded in Metal-Insulator-Semiconductor (MIS) structure. The device is first programmed

9

Page 19: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

electrically or opto-electrically to fill all the trap levels by electrons. Then the device is illuminatedby light of different energy. Photon energy is increased step by step. Depending on energy positionof the trap levels, trapped electrons start to respond to some specific photon energies. The maindifficulty is that, for this study a transparent gate electrode is required. Thin (10-15 nm thick) Alu-minum (Al) and Gold (Au) films have been used as transparent gate electrode in different studies.So annealing cannot be performed after metalization, since the thin metal film would agglomerateduring annealing. Also, it is reported that, transmittance of metal layer falls beyond the wavelengthof 600 nm (2 eV). So, trap levels with energy position < 2 eV cannot be distinguished using metalelectrode. Moreover, it is found that device needs to be illuminated for around 1 h at each excitationenergy. So, the method is time consuming too. If the thin metal gate is replaced by a thick conduct-ing electrode with high optical transparency in broad frequency range, then all the above mentionedissues can be overcome. Also, in the reported work excitation energy more than EG/2 (EG is theband gap) has been used to detrap electrons, which may lead to erroneous result in calculation oftrap density.

Silicon Nitride is an important material in modern technology as it is widely used in CMOS,solar cell and memory devices. Many researchers have reported trap characterization of Si3N4 thinfilms. But comparatively less works have been reported on energy profiling of trap levels lying innitride thin films [1, 2]. Again, the reported works were mainly focused on energy profiling of traplevels lying above mid-gap. Properties of hole trap levels in nitride films, lying near valance bandedge, have not been explored well.

In recent years, Al2O3 films have drawn much attention in the field of solar cell, due to its ex-cellent performance as passivation layer. Most interestingly, it has been found that after ultraviolet(UV) exposure, performance of Al2O3 film is improved [6], whereas opposite trend is observedfor SiO2 [7] and Si3N4 [8] films. As solar cells are bound to be exposed to illumination, the pos-itive response of Al2O3 films to illumination has drawn much attention of current research. It isreported that, improvement comes due to photo-injection of electrons to Al2O3 layer from Si sub-strate [9, 10]. Unfortunately very less work is reported on stability of this photo-injected chargecarriers [9].

1.1 Scope of the present work

Characterization of deep traps lying in bulk of wide band gap materials is a challenge of modernnanoelectronic technology. Flat band voltage monitored trap depopulation technique with novelgate material can be an efficient technique for this purpose. In this study Indium Tin Oxide (ITO)layer has been chosen as thick transparent electrode. Process optimization has been done to obtainan ITO layer even with higher transmittance in near infrared (NIR) region. Also, in the reportedwork based on this technique, excitation energy more than EG/2 has been used to detrap electrons,which may lead to erroneous result in trap density calculation. Modification in methodology has

10

Page 20: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

also been introduced to get more accurate result.Silicon Nitride is an important material as it finds its application in various fields of modern

electronics. Low pressure chemical vapor deposition (LPCVD) method is a widely used techniqueto produce high quality dielectric thin films. In this work, LPCVD Silicon Nitride thin films havebeen characterized by using modified trap spectroscopy (or modified flat band voltage monitoredtrap depopulation) technique.

Al2O3 film shows excellent performance as passivation layer in the field of solar cell. It showssome interesting property after illumination in UV light. Response of trap properties of Al2O3 filmto UV illumination has been studied. Optimized ITO layer has been used as gate electrode for thiscase also.

1.2 Organization of the thesis

The rest of the thesis is organized as follows. In chapter 2 a detailed comparative study of differentbulk trap characterization techniques has been described. The discussion leads to selection of mostappropriate characterization technique for wide band gap materials. A review of reported literatureon optimization of ITO films has been presented. Theoretical and experimental model proposed bydifferent researchers to explain origin of traps in nitride film have been presented here.

A brief discussion on working principle of different fabrication and characterization techniques,used in this study, has been presented in chapter 3.

Chapter 4 details the development of ITO layer with high transparency in a wide spectral range.This is followed by the development of radio frequency (RF) sputtered ITO films.

In chapter 5, modified trap spectroscopy method is applied to the characterization of Si3N4 filmsdeposited by LPCVD. This is followed by experiments and discussions.

In chapter 6, we present the impact of UV illumination on the fixed charges in the Al2O3 filmsdeposited by pulsed direct current (p-DC) sputtering and atomic layer deposition (ALD). Fixedoxide charges play an important role in determining the passivation quality of Al2O3 films forapplications in Silicon solar cells. It is demonstrated that films deposited by ALD can be improvedsignificantly by UV illumination.

Thesis ends with chapter 7 reporting conclusion and future scope.

11

Page 21: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Chapter 2

Literature Review

2.1 Different trap characterization techniques

2.1.1 Trap characterization techniques based on electrical measurements

2.1.1.1 Charge Pumping Technique

Basic principle and measurement techniqueCharge pumping (CP) effect was first reported by J. Brugler and P. Jespers in 1969 [11]. They

proposed that, the effect can be used to characterize interface trap density in metal–oxide–semiconductorfield-effect transistor (MOSFET). In 1984 Groeseneken et al. gave major breakthrough to this tech-nique for characterization of interface states in MOSFET structure with more accurate explanationof the technique [12]. Fig. 2.1 shows basic experimental setup for charge pumping method with ann-channel MOSFET (nMOSFET).

The source and drain of the transistor are shorted and kept grounded or at certain reverse biasvoltage with the substrate. A pulse voltage signal is applied at the gate. When the applied voltageis higher than threshold voltage (VT ), electrons start to flow from source and drain to the deeplydepleted channel. During this process some of the electrons are captured by interface states. Duringnegative slope of the pulse, the surface is driven again to accumulation and the mobile charges aredriven back to source and drain due to the influence of reverse bias. But, the previously capturedcharges will now start to recombine with majority carriers of the substrate, resulting in a negativecurrent to the substrate. This is called charge pumping effect. For a pulsed voltage signal withfrequency f , the charge pumped per cycle QSS leads to a charge pumping current in substrategiven by

ICP = f.QSS (2.1)

Now, as the frequency f is decreased mobile charges can get enough time to interact with the

12

Page 22: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Figure 2.1: Experimental setup for CP technique.

deeper traps lying in dielectric, specially near interface. Paulsen et al. observed a ’breakpoint’frequency, below which QSS suddenly increases as near interface traps also start to contribute tototal current [13]. Breakpoint frequency was found to be nearly equal to inverse of theoreticallycalculated trap-to-trap tunneling time constant. So, charge pumping is an efficient technique tocharacterize interface and near interface states.

Advantages:

1. It is a direct method for characterizing interface and border traps.

2. The technique is adequate for evaluation of type of degradation of MOS devices, e.g. due tohot carrier injection or FN tunneling.

Limitations:

1. The technique is not capable to characterize bulk traps lying far away from interface.

2. The interpretation of frequency and voltage amplitude dependencies of measured currentsand the extraction of dielectric trap density in the case of ultra thin dielectric is not straight-forward due to increase in parasitic leakage current [14].

2.1.1.2 Capacitance-Voltage Measurement

Measurement theoryCapacitance-Voltage (CV) characteristic of MOSFET or metal-oxide-semiconductor capacitor (MOSCap)

13

Page 23: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

is the plot of capacitance versus gate voltage (VG), as the device is driven from accumulation toinversion or in opposite direction. High frequency CV (HFCV) measurement has mostly been usedfor characterization of interface traps and to get an estimation of bulk trap lying in the dielectric.Interface charge and oxide charge can be calculated from shift in mid-gap voltage (V MG) andthreshold voltage (V T ) and flat band voltage (VFB). Shift in mid-gap voltage (∆V MG) is influ-enced only by oxide charge density. But shift in threshold voltage (∆V T ) and flat band voltage(∆VFB) are influenced by both interface and oxide charge density. So, combination of both can beused to calculate oxide and interface charge density following the formulas

QOX = −4V MGCox (2.2)

4V FB −4V T = (−qDit2φB/Cox) (2.3)

φB = EF − Ei (2.4)

where, Qox - effective oxide charge (C/cm2) localized at Si/dielectric interface, Dit - averageinterface state density (cm−2eV−1),Ei - intrinsic fermi level of Si (eV),EF - fermi level after dopedSi (eV), Cox - oxide capacitance per unit area (F/cm2).

But this method cannot be used for spatial profiling of the traps. To study physical distributionof traps in a dielectric lying in a Si/dielectric structure, etch back technique has been used [15]. Inthis technique top contact is made by mercury contact for such measurement. First, the dielectric ischarged by electrons or holes. After that, oxide layer is etched back step by step and V FB or V MG

is measured after each step. Change in mid-gap voltage (4VMG) due to oxide charge is given by

4V MG = − (Qox/εox) (tox − x1) (2.5)

where, Qox - total oxide charge (cm−2), x1 - distance of the charge centroid measured fromSi/dielectric interface and tox - dielectric thickness.

As long as the etching process does not affect the charge distribution,4V MG versus tox remainsa straight line and the intercept denotes x1. Woods and Williams used this technique to investigatehole trap distribution in a Si/SiO2 structure [15]. For their experiment first the dielectric was treatedby negative corona, which resulted in sufficient hole trapping in the oxide. By etch back experimentthey found the hole traps are mainly distributed near the Si/SiO2 interface, not in bulk of the oxide.

Advantages

1. This is easy to realize.

2. The technique does not need any complex device structure.

14

Page 24: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Limitations

1. In conventional CV measurement technique actually effective oxide charge density is mea-sured. Effective oxide charge density is the sum of oxide fixed charge, mobile ionic chargeand oxide trapped charge. These components cannot be distinguished by this technique.Also, it is here assumed that, the oxide charge is located at Si/SiO2 interface. Therefore, con-ventional CV measurement technique is not a good option for detailed study of bulk traps.

2. With mercury probe setup, it is difficult to probe on exactly same area of the dielectric. So,non-uniformity in dielectric can lead to erroneous result.

3. Energy position of trap level cannot be obtained by this technique.

2.1.1.3 Statistical Random Noise Analysis

Measurement theoryStatistical random noise analysis is a comparatively new technique. The technique aims at study

of statistical behavior of traps lying in a dielectric and their effect on device performance. In thismethod, the drain current of a MOSFET is measured under a fixed bias condition as a function oftime. Consider a trap level lying at xt from substrate/dielectric interface at energy level Et. Nowsingle electron can be captured by the trap from the substrate or can be emitted to the substrate withan average time τ c and τ e respectively, resulting in shift in V T . The properties of trap responsiblefor random telegraphic noise signal (RTS) can be extracted from V G dependance of τ c and τ e. Fig.2.2 shows the trapping and detrapping phenomenon.

Figure 2.2: Conduction band profile explaining trap capture and emission process under +ve gatebias. The figure is adapted from [16].

15

Page 25: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Statistical model for RTSFor a single trap there are two possible states: filled (state 1) and empty (state 0). Consider a

trap in state i (1 or 0) at time t1, which has been converted to state j (1 or 0) at time t2 = t1 + T .Here, T denotes the time period between two consecutive measurements. Pij(i, j = 1, 0) denotesthe probability of the trap to be converted from i to j state in time interval T . For example, if thetrap is in filled state (state 1) at time t1 then P 10 is the probability of the trap to be in empty state(state 0) at time t2. For a fixed VG (i.e., fixed τc and τe), expression for P ij are reported as [16]

P 11 =1

τc + τe

[τc.exp

−(

1

τc+

1

τe

)T

+ τe

](2.6)

P 10 = 1− P11 (2.7)

P 00 =1

τc + τe

[τe.exp

−(

1

τc+

1

τe

)T

+ τc

](2.8)

P 01 = 1− P00 (2.9)

τc and τc are the average time of the trap state to be in 1 and 0 states respectively.These values can be used to compute probability of a trap causing positive or negative VT shift.

This model can be extended for multiple traps considering different RTS amplitude. Traps withdifferent τc and τe, resulting from different xt and Et, should also be considered.

Advantages

1. It is a new concept of bulk trap characterization with very simple setup.

2. The technique can give information about both physical and energy position of the trap.

Limitations

1. The technique needs transistor structure.

2. The method is not direct and includes complex calculations.

2.1.1.4 Direct-Current Current-Voltage Technique

Measurement techniqueDirect-Current Current-Voltage (DCIV) is a technique which can differentiate between interface

16

Page 26: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

traps (Dit) and oxide traps (QOX) densities, which makes analysis of degradation mechanism moreaccurate and reliable. DCIV technique has got attention since it was proposed in 1995 [17], due toits wide range of applications [18–20].

DCIV was proposed and demonstrated by A. Neugroschel et al. in 1995 [17]. The method wasdescribed using a BiMOSFET (BJT-MOSFET) structure with nMOSFET in p-base well on an nepitaxy n+ Si wafer with parasitic n+/p/n+ structure as shown in Fig. 2.3.

Figure 2.3: Cross sectional view of BiMOSFET structure. This figure is adapted from [17].

In this structure the base current (IB) in vertical BJT can be measured in two configurations:top emitter and bottom emitter configurations with n+-drain/p-base or n+-substrate/n-epitaxy/p-base as forward biased emitter/base junction. In both configurations, Dit is measured from theshape of base current (IB) versus gate voltage (V G) graph and value of IB at constant V EB [21].Stress induces a change in the base current,4IB, which arises from the recombination of electron- hole pairs at the stress induced interface traps. So, IB is a function of 4Dit. But change (lateralshift) in collector current (IC) versus gate voltage (V G) curve is sensitive to both 4Dit as well as4QOX . So combination of both data would differentiate between two types of traps.

Advantage

1. This technique can differentiate interface and oxide traps directly. It can also distinguish theinterface traps lying in channel region and drain region (not discussed here).

Limitations

1. The technique needs complex device structure.

17

Page 27: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

2. As scaling progress, gate oxide becomes thinner, which results in parasitic tunneling current.The tunneling current adds up to the recombination current, leading to erroneous result.

2.1.1.5 Thermally Stimulated Current Spectroscopy

Measurement techniqueThermally Stimulated Current (TSC) is an useful method to study defect state in semiconductor

or dielectric. The schematic diagram of the experiment is shown in Fig. 2.4.

Figure 2.4: Schematic diagram of TSC measurement setup.

In this technique device is first excited by light or ultraviolet (UV) or X-Ray. So, traps areinitially occupied by carriers. Next, the device is short circuited and its temperature is increased at aconstant rate. The short circuit current is measured as a function of device temperature. Dependingon energy position of the trap level at some specific temperature trapped carriers are excited enoughto be released from the trap level. Excitation of carriers from the trap level results in increase inshort circuit current. So, the peaks in current versus temperature graph indicates energy position ofthe trap level.

Consider temperature of the device at time t is

T = T0 + βt (2.10)

where, β is heating rate (K/s) and T 0 is the initial temperature (K). Then following relationshipcan be derived [22, 23]

EC − Et = Tm

(1.92× 10−4log10

vthσnNc

β+ 3.2× 10−4

)− 0.015 (2.11)

where, Tm - temperature at which peak in TSC current occurs (K), EC - the energy of con-duction band minima (eV), Et - energy position of the trap level (eV), νth - thermal velocity of an

18

Page 28: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

electron (cm/s), σn - capture cross section of the trap for electrons (cm2), and Nc - density of statesin conduction band (cm−3). So, plotting the TSC characteristic as a function of temperature andthen converting temperature scale to the energy scale using above equation, a direct image of theoccupied trap distribution can be obtained.

Advantages

1. The technique needs simple device structure and comparatively simple mathematical model-ing.

2. Comparatively less number of measurement techniques give information about energy posi-tion of bulk traps. TSC is one of them.

Limitations

1. Sensitivity depends on current measuring instrument.

2. With the increase in temperature mobility of the material also varies, which makes the resulterroneous. The issue is more prominent for wide band gap materials, where traps are verydeep and consequently carriers need quite high temperature to be excited from trap level.

3. The peaks in temperature scale may come both from electron and hole traps. So, differentia-tion is not possible here.

2.1.1.6 Thermostimulated Exoelectron Emission Technique

Measurement techniqueIn this measurement simplest device structure is required, dielectric or semiconductor deposited

on substrate. Like TSC, in Thermostimulated Exoelectron Emission Technique (TSEE) also ma-terial is first irradiated by controlled electron gun or X-ray or UV ray. In both TSC and TSEEmethods excitation should be done in a controlled way so that no trap would be generated due toexcitation. The irradiated sample is then heated in a vacuum system. During heating, device isplaced in front of an electron multiplier tube. Depending on position of the trap levels, at somespecific temperatures trapped electrons would be excited enough to be emitted from the sample.The emitted electrons will be multiplied by electron multiplier, resulting in a measurable current atoutput. The schematic diagram of the experimental setup is shown in Fig. 2.5.

19

Page 29: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Figure 2.5: Schematic diagram of TSEE measurement setup.

Energy required by an electron to be emitted from the material is [1]

EA = Et + χ (2.12)

where, EA is activation energy (eV),Et is the energy of the trapping level (eV) and χ is electronaffinity (eV).

Pure thermionic emission model is used for different materials to explain TSEE mechanism[24]. This mechanism is applicable for the materials with low electron affinity and shallow trapswith EA < 1 eV. But for materials like Si3N4, activation energy is quite high due to high electronaffinity and presence of deep trap levels. For such cases thermionic mechanism is no longer ap-plicable and emission can be explained by Auger recombination [1]. Then the technique includescomplex mathematical modeling to retrieve energy level of the traps. Very few reported work isavailable on mathematical modelling of TSEE including Auger recombination process [2].

Advantages

1. The device structure required is simple.

2. Both energy position and density of trap levels can be obtained.

3. The technique is also applicable for wide band gap materials with proper mathematical mod-eling.

Limitations

1. Complex experimental setup is required.

2. More appropriate for III-V materials.

20

Page 30: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

3. As discussed earlier, for wide band gap materials TSEE mechanism includes Auger recom-bination process, which is proportional to n3 (n - carrier concentration). So, the technique isnot sensitive for wide band gap materials with lower density of traps.

2.1.1.7 Summary of Electrical Characterization Techniques

All the techniques have some serious limitations. Most of the techniques are indirect and efficientfor interface trap or shallow traps. Reported literature shows prominent trap levels exists evenbeyond 1 eV (from conduction band edge), in wide band materials. With proper mathematicalmodeling TSEE may be an efficient technique for trap rich dielectrics like Si3N4. But for dielectriclike SiO2, where trap concentration is relatively less TSEE is not so efficient. So, efficient techniqueto find properties of bulk trap in wide band gap material is not found from the above discussion.

2.1.2 Trap characterization techniques based on opto-electrical measure-ments

2.1.2.1 Photo-IV Measurement

Measurement theoryCurrent versus voltage (IV) measurement on a device under illumination is called photo-IV mea-

surement. DeKeersmaecker and DiMaria studied SiO2 layer by Photo-IV measurement technique[25]. In their study the device structure they have used is shown in Fig. 2.6.

Figure 2.6: Device structure for photo-IV measurement.

10-15 nm Al layer was used as transparent electrode. In this technique trap levels in the di-electric are filled electrically or by internal photo-emission. In this technique IV measurement isperformed under illumination, before and after trapping of the carriers in the dielectric. The theoryis described below.

21

Page 31: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Photo-IV characteristic in MOS structure mainly depends on barrier height and distribution oftrapped charge in the dielectric, which determines the internal field distribution in the dielectric.If x0 is the position of the potential maximum including trapped space charge and metal-oxideinterface is considered as origin, then field at x0 can be expressed as [26, 28]

E (x0) =V −G − φms − ψ−

s

L− 1

ε1− (x/L)+

1

ε

ˆ x−0

0

ρ (x) dx (2.13)

when x0 is near the metal-oxide interface and negative gate voltage;

E (x0) =(V +G − φms − ψ+

s

)/L

+ xQ/ (εL)− 1

ε

ˆ L

x+0

ρ (x) dx (2.14)

when x0 is near the Si-oxide interface and positive gate voltage

where, V G - applied voltage (V); φms - work function difference between metal and Si substrate(V); ψs - Si surface potential (V); ρ (x) - Trapped space charge density in the insulator (cm−3); Q- integral of ρ (x) over thickness L (C); x - position of centroid of the space charge (cm); ε - lowfrequency dielectric constant; - or + refers to polarity of the applied voltage at metal gate.

For a given photo-current level and voltage, E (x0) should be equal before and after bulk charg-ing [25]. Using that condition and considering 1

ε

´ x−00

ρ (x) dx and 1ε

´ Lx+0ρ (x) dx are negligible and

ψ+s and ψ−

s are negligible for degenerate Si or have not been changed significantly after charging(for non-degenerate Si), the following relations can be obtained

4V −G = V −

GB − V −GA =

1

ε(L− x)Q (2.15)

when x0 is near to the metal-oxide interface (for negative gate bias) and

4V +G = V +

GB − V +GA = − x

εQ (2.16)

when x0 is near to the Si-oxide interface (for positive gate bias)

The subscripts A and B refer to the sample before and after charging of the device. Combiningabove two equations,

Q =(ε

L

) (4V −

G −4V +G

)(2.17)

andx = L

[1−

(4V −

G /4V +G

)](2.18)

In their work, Dekeersmaecker and Dimaria analyzed Arsenic (As) doped SiO2 layer in Si/SiO2

structure. Photo-IV measurement were taken before and after charging of As-related traps byavalanche injection.

22

Page 32: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

From the above result they found x = 64.7 nm and Qe

=-2.2×1012 cm−2.D. Felnhofer et al. have performed experiments consisting of photo-IV and CV measurement

[29]. That work is almost repetition of the work, done by D. Maria. But they also have not derivedexact energy position of the trap level. In other work they have derived band gap offset by photo-IVand effective trap density by photo-CV measurement [27].

Advantages

1. Efficient technique to characterize bulk trap in dielectric.

2. Simple device structure required.

Limitation

1. Energy position of trap level cannot be found using the method.

2.1.2.2 Flat Band Voltage Monitored Trap-Depopulation Technique

Measurement theoryThe technique can also be mentioned as optical detrapping technique. In this technique traps

are first filled electrically or by internal photoemission. Then the device is illuminated by differentexcitation energy (Eexcitation). Trap levels lying at a depth less than Eexcitation, from bottom of theconduction band would respond to the excitation energy, i.e, trapped electrons from those levelswould be excited and released to conduction band. CV measurement is taken before and after eachexcitation.

Figure 2.7: Pictorial description of the methodology for flat band voltage monitored trap-depopulation technique.

23

Page 33: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

The change in flat band voltage (V FB) indicates release of electron and amount of change inflat band voltage (4VFB) shows amount amount of electrons released. The device structure for thisexperiment is also same as shown for photo-IV measurement. The experimental steps have beenschematically described in Fig. 2.7.

Kapoor and Turi studied (10 nm)Al/(110 nm) Si3N4/(100 nm)SiO2/Si structure [3]. 10 nmthick Al layer was used as gate electrode. Electron trapping in the device was done by internalphotoemission with excitation energy of 4.97 eV and +5V gate voltage. Excitation energy shouldbe more than conduction band offset between Si and SiO2 (4.2 eV) and less than Si3N4 band gap(~ 5.1 eV). It was observed from programing transient that,4VFB saturates after around 70 min.

The same sample was then discharged with a photon energy of 4.14 eV and gate voltage of +5V. Low gate voltage was used to avoid direct tunneling. They calculated amount of trapped chargeusing this technique.

In another work, Bibyk and Kapoor used same (10 nm)Al/(110 nm) Si3N4/(100 nm)SiO2/Sistructure for their experiment [4]. In this work they mainly focused on trap depopulation charac-teristic. First the electrons were photo injected from Al electrode by using 4.14 eV light energyand -80 V gate voltage. 4V FB was tracked by CV measurement. After charging of the devicedepopulation of trapped charge was performed. Depopulation was done with +10 V gate voltageand depopulating energy was varied from 2 to 3.4 eV with 0.1 eV increment.

From rate of depopulation of the trapped charge they calculated poto-ionization cross sectionof the deep trap centers lying in Si3N4 layer.

W. C. Wang et al. used the same technique to characterize Yttrium Oxide (Y2O3) layer de-posited on Si wafer [5]. For their experiment they used 15 nm thick Gold (Au) as transparent topelectrode in the Au/Y2O3/(2 or 5 nm)SiO2/Si structure. Electron injected device was illuminatedby photon and photon energy was varied from 1.3 to 4 eV with 0.3 eV increment. At each photonenergy excitation was performed for 60 min. The experiment enables to calculate trap density from4VFB at each 0.3 eV energy window.

Advantages

1. Needs simple device structure.

2. Various parameters like energy position of the trap levels, density of traps at each level,capture and emission cross sections can be calculated by this technique.

Limitations

1. During depopulation process excitation energy has been increased more than EG/2, whereEG is the band gap of the dielectric. At such energy electron can be excited from valanceband and trapped at some state which has been emptied before. So, population can even occurduring depopulation process. Then trap density calculated from4V FB would be erroneous.

24

Page 34: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

2. Thin metal layers (10 - 15 nm thick) have been used as transparent gate electrode. So an-nealing cannot be done after metalization as the thin metal film would agglomerate duringthe annealing.

3. Use of metal layer introduce another difficulty. G. Hass and J. Waylonis measured transmit-tance of Al layer, for different thickness, as a function of wavelength [30].

Table 2.1: Tansmittance of Al film of different thickness as reported by G. Hass and J. Waylonis[30].

The result shows that transmittance decreases as wavelength increases or photon energy de-creases. Consider the Al layer of thickness 12 nm (which thickness was used in the charge de-population experiments in [3, 4]). At 650 nm (1.9 eV) transmittance reaches up to 9%. So, asphoton energy is decreased below 2 eV, thin Al layer does not behave as transparent layer. So,depopulation of charged device can be started with minimum photon energy of 2 eV. That’s why inall the reported work, where thin Al layer has been used as gate electrode, excitation energy startsfrom 2 eV. But, at this excitation energy all the trap levels lying up to 2 eV from conduction bandedge, would start to respond. So, discrimination of the trap levels shallower than 2 eV would notbe possible. Even, some of these trap levels may not respond to arbitrary higher energy (say, 2 eV)if phonon interaction is not so strong in that material (details discussed in chapter 5).

Wang et al. used 15 nm thick Au as gate electrode. Table 2.1 shows transmittance of Al layeras a function of wavelength.

Au shows better transmittance characteristic than Al. But for Au also transmittance decreasessignificantly as we move to higher wavelength. Also, Au is not a good candidate as a gate electrode,as it affects dielectric quality [31].

2.1.2.3 Other Techniques

Various techniques, frequently used to characterize wide band gap materials, have been discussedabove. There are many other opto-electrical techniques that can be used for the characterization

25

Page 35: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

of deep traps in different materials. Deep level transient spectroscopy (DLTS), photo capacitance,photoconductivity and photo-induced current transient spectroscopy are also used to retrieve infor-mation about deep traps. DLTS studies [32–34] can provide information about energy position oftraps lying between 0.2 and 1.5 eV below the conduction band edge and density of traps with con-centrations in the range of 1013-1015 cm−3 range. Photo capacitance measurement [35, 36] can beused to detect deeper traps within the range of 0.8 to 2.5 eV below the conduction band edge. Opti-cal absorption [37] and photoconductivity [38] are also able to characterize such deep levels. DLTSand photo-conductance methods are not applicable for samples with high resistivity ( 1012 Ω-cm).Photo stimulated current spectroscopy has been used for such high resistive materials like GalliumNitride (GaN) [39]. Also Photo stimulated current spectroscopy technique do not have the abilityto handle very high resistive material like Silicon Nitride (resistivity ~ 1014 Ω-cm).

2.1.2.4 Summary of Opto-Electrical Measurement Techniques

The discussion shows, proper study of bulk traps specially in dielectric is a difficult challenge.Every characterization technique has its own virtue and limitation. So, characterization methodshould be chosen depending upon the material under test and also which parameters need to bemeasured. From the above discussion it is clear that opto-electrical techniques have number ofadvantages over electrical techniques specially for characterization of bulk traps. Simpler devicestructure is required and also more number of trap parameters can be extracted from one technique.Techniques are more direct and deals with comparatively simpler mathematical modeling. Tech-niques are sensitive to low trap density also. For trap characterization of wide band gap materials(SiO2, Si3N4, HfO2 or Al2O3), “flat band voltage monitored trap-depopulation” technique seems tobe most promising, as it can measure maximum number of trap parameters (e.g., energy positionof the trap levels, density of traps at each level, capture and emission cross section) accurately andalso with simple device structure. The main difficulty is that, for optical study a transparent gateelectrode is required. The problem arises due to transparent thin metal layer has already been dis-cussed in section 2.1.2.2. Annealing of the device with thin metal gate cannot be performed. Thinmetal layer is not a good candidate as gate electrode when shallow traps need to be characterized.Also, it is found that device needs to be illuminated for around 1 hour at each excitation energy. So,the method is time consuming too. If the thin metal gate is replaced by a thick conducting electrodewith high optical transparency in broad frequency range, then all the above mentioned issues canbe solved.

In our work ITO has been used as a gate electrode to overcome the issues related to thin metalgate. To reduce duration of experiment and also to distinguish trap levels with energy position < 2eV, we need ITO film with low resistivity and high transmittance even in NIR region.

26

Page 36: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

2.2 Review of optimization of ITO films

Indium Tin Oxide is widely used in various optoelectronic devices and solar cells due to uniquecombination of very high transmittance and low resistivity. Extensive work is reported on opti-mization of transmittance and resistivity of the ITO layer. Till date, different techniques have beenproposed to deposit ITO films. Chemical vapor deposition (CVD), evaporation technique, spraypyrolysis, ion beam sputtering, DC and RF sputtering are some common techniques, used for ITOdeposition. Each of these processes have their own advantages and limitations. Resistivity andconductivity of deposited films depend on the deposition technique and process conditions. Here,different ITO deposition techniques have been discussed shortly with their advantages and short-comings.

2.2.1 Different techniques for deposition of ITO films

In evaporation technique In2O3/SnO2 powder is evaporated using electron beam (e-beam) or ther-mal evaporation. Another option is evaporation of In/Sn alloy in O2 ambient. But in the latercase due to differential evaporation rate, after few minutes concentration ratio of In and Sn alloyis changed. Hamberg et al. deposited ITO film in e-beam evaporation system using hot pressedpallets consisting of In2O3 + 9 mol% SnO2. Deposition was performed in O2 ambient and 310C substrate temperature [40]. ITO film with resistivity of 3×10−4 Ω-cm and approximately 90%transmittance was obtained in their experiment.

CVD method is relatively simple and cost effective as it does not require high vacuum. Volatileorganometallic compound of metal is used in this method. Substrate is kept on a rotating hot platereactor. For ITO deposition this technique involves vaporization of appropriate organic compoundlike Indium 2-Ethyl Hexanoate/Tin Chloride [41] or Indium/Tin Acetyl Acetonates [42]. Com-pound gas mixture along with carrier gas is allowed to flow in reaction chamber. Parameters ofthe deposited film can be monitored by controlling substrate temperature, composition of the gas,gas flow rate and rotating speed of the substrate. This technique generally faces difficulty, for ITOdeposition, due to lack of thermally stable and volatile source materials. Uniformity of the film isanother issue of this technique. Normally small size substrate is used to obtain the uniformity.

Spray pyrolysis is another technique which has been used for many years for ITO deposition.In this technique first Indium Chloride (InCl3) and Tin tetrachloride (SnCl4) are dissolved in ap-propriate solvent like Hydrochloric Acid (HCl), Propanol, water etc. The solution is sprayed onthe heated substrate by an atomizer. Nitrogen (N2), Argon (Ar) or air are normally used as carriergas. ITO deposited by this technique can have very low resistivity (~ 5×10−4 Ω-cm) and about90% transmittance in visible and near infra-red regions [43]. Very high deposition rate (200 to 400nm/min) can be achieved by this technique. But film deposited, by this technique, has been foundto have very rough surface. Homogeneous and reproducible film deposition is a challenge for thistechnique.

27

Page 37: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Sol-gel method is another cost effective technique. In this technique, dip-coating of the sub-strate in appropriate precursor sol is followed by heat treatment. Gallagher et al. deposited ITOfilms by dip coating of the substrate in an Acetylacetone solution of Indium and Tin Acetylaceto-nate [44]. The resistivity of the film was reported to be 1.1×10−3 Ω-cm.

Sputtering is one of the most extensively used techniques for ITO deposition. This techniqueoffers high quality, homogeneity, better controlled composition and purity of the film throughoutthe wafer. This is a physical vapor deposition process where, target holder and surface holder areused as cathode and anode respectively. Ar is used as sputtered gas due to low reactivity. In thismethod target material is subjected to bombardment of accelerated Ar+ ions. The bombardmentresults in ejection of target atom from cathode surface and subsequent deposition on the substrate.Due to conducting nature of In2O3-SnO2 and In-Sn alloy, both DC [45] and RF [46] sputteringhave been extensively used for ITO deposition. RF sputtering technique has some advantages overDC sputtering technique. In case of RF sputter system electrons gain energy directly from RFpower (secondary electrons are not required to maintain plasma) and oscillating electrons are moreefficient to ionize the gas. RF sputter is capable of maintaining plasma in lower pressure (1-15mTorr) which results in fewer gas collisions and more line of sight deposition. In this study RFsputtering technique has been used for deposition of ITO film.

2.2.2 Reported work on optimization of different parameters

There are several sputtering variables, like RF power, sputtering pressure, substrate temperatureand gas composition which affect the deposition rate considerably.

2.2.2.1 Deposition Rate Optimization

Work done by D. K. Maurya shows the variation of deposition rate as a function of O2 percentagein the sputtering gas [47]. They observed that deposition rate decreases with increase in O2 con-centration in the reactive gas mixture. It is difficult to describe the exact mechanism responsiblefor the phenomenon because sputtering of a metallic alloy target involves many processes causingchanges in the discharge conditions.

Work done by M. Chaung shows the effect of sputtering power on deposition rate [48]. As RFpower increases from 30 to 60 W, deposition rate is enhanced from 0.8 to 3.3 nm/min. At higherRF power, more energized Ar ions pull more number of atoms and molecules out of the target.Therefore, amount of material, deposited on the substrate increases.

M. Chaung studied how deposition rate varies with the working pressure [48]. ITO was de-posited in RF sputtering system in absence of oxygen flow. The deposition rate increases withworking pressure, and the value increases from 2.1 to 2.6 nm/min.

According to M. Chaung, as working pressure increases total number of Ar ion increases re-sulting in more atoms (from target) being bombarded. So, deposition rate increases.

28

Page 38: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

2.2.2.2 Resistivity Optimization

ITO is deposited both in Ar or Ar+O2 environment. Yan-Kuin Su et al. studied the electrical resis-tivity of the deposited film as a function of O2 concentration [49]. Their study indicates increasein resistivity with the increase in O2 flow rate. The reason behind increment in resistivity has beenexplained by H. N. Cui et al. [50]. They proposed, defect density in the film increases with theincrease in O2 pressure. As a result, scattering of charge carriers is increased leading to rise in re-sistivity. In addition, as partial pressure ofO2 increases oxygen vacancy is decreased, which resultsin less carrier concentration (nc) [50, 51].

Resistivity of ITO film strongly depends on annealing temperature. Annealing improves crys-talline nature of the film which increases grain size. As grain boundary decreases mobility in-creases. D. K. Maurya observed in his study that, resistivity decreases with increase in annealingtemperature and reaches at its minimum value for the film annealed at 400 C [47]. At this tempera-ture out-diffusion rate of oxygen atom from ITO becomes maximum. It has already been discussedthat lack of oxygen results in better conductivity of ITO film.

Substrate temperature during deposition is another important parameter which can be used forcontrolling the resistivity of the deposited film. Ren Bingyan et al. observed that the resistivitydecreases as substrate temperature is being increased [52]. Crystallinity of the film increases withsubstrate temperature and better crystallinity results in better conductivity. Their study show that,for a specific substrate temperature, the resistivity monotonically decreases with the increase in RFpower. But study by M. Chuang shows resistivity initially decreases with deposition power andshows minimum value for deposition power of 40 W in their system [48]. Simultaneously, X-rayphotoelectron spectroscopy (XPS) result shows Sn/In ratio is also maximum for 40 W. Explanationis straightforward, as discussed earlier each In atom replaced by Sn atom contribute one electron toconduction [53]. So, maximum Sn concentration at 40 W power leads to minimum resistivity.

Work done by D. H. Kim shows that the sheet resistance decreases as film thickness increases[54]. The reason were found by X-ray Diffraction (XRD) analysis of the samples. For the films withthickness below 80 nm, no characteristic peak was obtained except the broad peak for amorphoussubstrate Poly(Methyl Methacrylate) (PMMA). As thickness increases crystallinity improves anddominant peak for both (222) and (400) orientations are observed alongwith other peaks. It shouldbe noted here that, PMMA substrate has been used in this work whereas for other reported in-vestigations mostly glass or quartz substrates were used. So, for this case substrate temperaturewas maintained at relatively low temperature (70 C) and no post deposition annealing (PDA) wasperformed. Annealing and higher substrate temperature may produce better crystallinity at com-paratively lower thickness. High temperature treatment may result in better crystallinity at lowerthickness.

29

Page 39: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

2.2.2.3 Transmittance Optimization

Optical transmittance is an important parameter for the ITO film. ITO thin films, deposited bydifferent techniques, have been studied by several authors [55–58]. Most of the reported worksare focused on optical property of ITO films in the visible range [57–59]. However, there are fewreports showing transmittance of these films in visible as well as in NIR region. Some studieshave shown that the transmittance of ITO thin film falls sharply after around 1400 nm [60–62],while, others have shown good transmittance of the film beyond 1400 nm even with low resistivity[63–65].

Previous studies have shown that the ITO films have two dominant characteristic XRD peakscorresponding to the (222) and (400) planes. The XRD results for most of the reported ITOthin films with higher transmittance in NIR region show very high values for the intensity ratioI(222)/I(400). Hall measurement shows higher carrier concentration for (400) oriented film com-pared to (222) oriented film [55]. So, for higher carrier concentration transmittance decreases inNIR region. This can be explained following prediction of classical Drude’s model [66]. Accordingto this model, higher free carrier concentration results transition of material behavior from dielec-tric to metal type and transition point moves towards shorter wavelength as carrier concentrationincreases.

Benoy et al. have demonstrated how transmittance varies with wavelength for different filmthicknesses. For the film of thickness 80 nm, nearly 85% transmittance up to 2600 nm and resistiv-ity of 3.3×10−2 Ω-cm were obtained [63].

As thickness was increased to enhance the conductivity of the film, transmittance decreasedsharply. The result can be explained in analogy of the work done by D. H. Kim et al., as discussedpreviously. (400) peak becomes more prominent as thickness increases. So, for higher thicknesstransmittance would decrease in NIR region.

Y. K. Su et al. observed that the transmittance of ITO film improves in visible range as O2 flowrate decreases [49]. 90% transmittance was obtained for ITO film prepared in absence of oxygen.The explanation for such behavior has not been mentioned in their report.

Abd. El-Raheem et al. have studied properties of ITO films in different device structure [64].First, SiO2 layers of various thicknesses were deposited on glass substrates. After that, 200 nm ITOlayers were deposited by thermal evaporation, on SiO2, at various substrate temperature. The SiO2

layer was used as buffer layer. They observed that the transmittance increases with the increasein buffer layer thickness. 92% average transmittance in visible region and 83% in NIR region (upto 2500 nm) were obtained in their case for ITO film deposited on 80 nm buffer SiO2 layer withresistivity of 1.6×10−4 Ω-cm. In another work, transmittance of the ITO film deposited by thermalevaporation, in the wavelength range from 200 nm to 2500 nm, was found to decrease with theincrease in thickness of the film [65].

To obtain better transmittance with lower resistivity H. M. Ali et al. annealed 150 nm thickfilm at different temperatures. Significant improvement was achieved after annealing of the film.

30

Page 40: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

By annealing 150 nm thick ITO film at 400 C for 120 min they obtained films with 82% and85.5% average transmittance in visible region and in the NIR region (upper bound of 2500 nm)respectively and with resistivity of 2.8×10−4 Ω-cm .

2.2.2.4 Summary and Inferences

The above discussion indicates:

1. RF sputtering is a widely used technique for the deposition of ITO thin films due to goodcontrol on the film properties and industrial applicability of the process.

2. In RF sputtering, higher working pressure results in higher deposition rate.

3. In RF sputtering, deposition rate also increases as concentration of O2 decreases. Betterconductivity and optical property in visible range can be obtained in absence of O2.

4. PDA results in lower resistivity and better transmittance.

As carrier concentration increases, transmittance starts to fall off at comparatively lower wave-length. On the other hand, increased carrier concentration results in lower resistivity of the film.Therefore, there is a trade-off between the transmittance at higher wavelength and conductivity ofthe film.

To get higher transmittance in NIR region there is no option other than (222) oriented film.After obtaining (222) oriented film resistivity can be controlled by decreasing grain boundary i.e.,by using PDA.

Kim et al. proposed that only presence of O2 in sputtering ambient causes change in preferentialorientation from (400) to (222) plane [55]. But above discussion also indicates that the presence ofO2 severely degrades electrical property, deposition rate and transmittance in visible region. Aimof our work is to obtain ITO layer with less resistivity and higher transmittance in both visible andNIR region. So, if (222) oriented film can be produced without using O2 in sputtering ambient thenthe goal can be achieved.

2.3 Modeling of energy distribution of trap levels in Nitridefilms

One aim of this study is trap characterization of Silicon Nitride thin films. Many experimentaland theoretical studies have explored the origin and charge state of traps associated with a-Si3N4

films used in non-volatile memory devices [67–72]. Several different models have been proposedby various authors explaining charge trapping behavior in these films. Kirk [70] suggested thatthe Nitrogen dangling bonds are responsible for charge trapping in MNOS (Metal-Nitride-Oxide-Semiconductor) devices. On the other hand Robertson [69] and Ngai and Hasia [68] have argued

31

Page 41: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

that a Silicon danging bond is responsible for charge trapping in Silicon Nitride. Kapoor et al. [71]have proposed that Silicon-Hydrogen bonds are the source of memory behavior in Silicon Nitride.Krick et al. [72] presented for the first time the conclusive evidence that paramagnetic neutral Sidangling bond defects in Silicon Nitride may capture either electrons or holes. This work is mainlyfocused on finding energy position of the trap levels in Silicon Nitride films. Here we will discusssome of the models which describes energy position of trap levels in Nitride film.

2.3.1 Model proposed by C. T. Kirk Jr. [70]

In 1975, Anderson presented negative U idea where doubly occupied localized gap state is morefavorable than singly occupied state [73]. Kirk Jr. used that concept to find trap levels in Si3N4.In crystalline Si3N4 (c-Si3N4) each Silicon atom is coordinated with four Nitrogen atoms by sp3

hybridization, where Nitrogen atom is associated to three Si atoms by sp2 hybridization. Remainingp-orbital on each Nitrogen atom is non-bonding and occupied by lone pair of electrons. In idealamorphous Si3N4 (a-Si3N4) although bonding distance and coordination are same as in c-Si3N4,but random distribution of bond angle occurs. In practice structural defects cannot be avoided ina-Si3N4. Due to such defects some broken bonds will exist which are called dangling bonds.

≡ Si− N =→≡ Si ·+ = N · (2.19)

2[≡ Si− N =

]→≡ Si−

(N+ − Si ≡

)= + = N :− (2.20)

Following the reported work on hydrogenated amorphous Silicon (a-Si:H), bonding and anti-bonding orbitals of Si-H and N-H are assumed to lie outside of gap i.e., not contributing to trapdensity. Accordingly, bond arrangement is considered as major source of gap state. The secondequation can be rewritten as

2N3 = N+4 +N−

2 (2.21)

Here, N3 is valance-bonded Nitrogen atom, N+4 is positively charged Nitrogen atom coordi-

nated with four Si atoms and N−2 is negatively charged nitrogen atom coordinated with two Si

atoms. Now N4 and N2 can exhibit three charge states as occupied by none, one or two electrons.So, states are N+

4 , N04, N−

4 and N+2 , N0

2, N−2 for N4 and N2 respectively. Their calculation shows

that N+4 , N0

4, N−4 originates trap levels near conduction band edge, whereas N+

2 , N02, N

−2 produces

trap levels near valence band edge.

32

Page 42: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

2.3.2 Model proposed by K. L. Ngai and Y. Hsia [68]

Kirk’s model is based on the assumption that traps are originated from Nitrogen dangling bond.Ngai and Hsia also used negative U idea, but in their model traps are attributed to Si danglingbonds. In other work Ngai observed more shift in CV characteristic for an applied gate voltage inMOS device with (111) Si substrate compared to (100) Si substrate. For (111) substrate stress ismore at interface leading to more number of Si dangling bond. So the result supports the assumptionof Ngai and Hsia model, that Si dangling bond can be a source of trap level. They proposed trapsin Nitride are amphoteric in nature, possessing either positive charged state with two holes (D+) ornegative charged state with two electrons (D−) state. In energy scale the positively charged stateD+ lies above D0 and near conduction band edge, whereas negatively charged state D− lies belowD0 and near valance band edge.

If we consider memory application of MNOS structure, as they have used for their study, duringwriting electrons available at Si/SiO2 interface move towards Nitride conduction band by tunnelingthrough SiO2. From conduction band electrons can be dropped to D+ or D0 state and convertingthem into D0 and D− state respectively.

D+ + e→ D0 (2.22)

D0 + e→ D− (2.23)

Opposite phenomena happen during erase operation. Electron released from D− or D0 statemoves towards Si conduction band.

2.3.3 Model proposed by J. Robertson [69]

Contrary to the two models discussed above, J. Robertson argued that the dangling bonds in Si3N4

are expected to be positive U type. As Nitrogen is pnictide (Gr. 15 element in periodic table) andhas pπ band, it is natural to expect dangling bonds to be negative U type. But dangling bond to bewith negative U requires a pπ site to overcoordinate. But N has lower tendency to overcoordiatedue to its small size. So, dangling bonds in Nitride are expected to be positive U type.

In that work, electronic energy spectra of dangling bonds, Si-Si, Si-H and N-H units have beencalculated by recursion method. Local density of states (DOS) has been calculated by this methodfor regular and irregular clusters of atoms by expressing onsite Green’s function as a continuedfraction. DOS of N dangling bond, Si dangling bond, N-H, Si-H and Si-Si units were calculated.

Calculations were performed considering valence band edge at 0 eV. DOS of N dangling bondlies in the valance band at -2 eV. Si dangling bond is sp3 hybridized producing state at 4.2 eV. Theband gap of Si3N4 was obtained to be 4.3 eV. So, trap states generated from Si dangling bond lies

33

Page 43: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

just below the conduction band minima. For N-H unit bonding (σ) and anti-bonding (σ∗) state liesat -5.5 eV and 8.6 eV, which means N-H bond is not producing any trap level. So, according to themodel, Hydrogen is an effective passivant for Nitrogen dangling bond. Si-H unit produces σ stateat 0.5 eV and σ∗ at 9.5 eV, i.e., not in the gap. So, this calculation indicates Hydrogen is not idealpassivant for Si dangling bond. σ state for Si-Si lies near mid-gap (at 3 eV) and σ∗ state at 8 eV.

Robertson and Powel modified the calculation later by introducing self energy shifts in the Sicenter [74]. This work shows Si dangling bond produces deep trap level at 3.1 eV. They proposedthat the ≡ Si centers are responsible for long term charge trapping in Silicon Nitride.

2.3.4 Model proposed by D. T. Krick et al. [72]

Krick et al. performed electron spin resonance (ESR) and electrical measurements to determine thenature of traps in Silicon Nitride. In this case 550 nm Nitride was deposited on (111) Si substratewith 25 nm SiO2 layer. Intermediate oxide layer is used to prevent unwanted charge injection inNitride layer at moderate electric field. In this experiment the sample was first exposed to UVillumination which resulted in an increase in paramagnetic defect density and also annihilates pre-viously trapped space charge in the Nitride layer. After that the device was first subjected to coronadischarge under +ve and -ve bias. At each step CV measurement and ESR measurement were per-formed. Only paramagnetic bonds are detected in ESR measurement. CV measurements show thechange in trapped charge density and ESR measurements show the change in paramagnetic density.

The g-value confirms that the Si dangling bonds are present in the Nitride layer. Strong corre-lation between change in density of paramagnetic dangling bond centers and space charge densityindicates Si dangling bond is main source of traps in Nitride layer.

2.3.5 Model proposed by Y. Kamigaki et al. [67]

In this work Kamigaki et al. studied ESR result in more detail. Nitride, in MNOS structure, wasdeposited by LPCVD method at 760 C using Dichlorosilane (SiH2Cl2) and Ammonia (NH3) gas asthe precursors. They found two ESR signals at g values of 2.005 and 2.002, which were attributedto Si3 ≡Si0 (Si dangling bond with three neighboring Si atoms) and N3 ≡Si (Si dangling bond withthree neighboring N atoms) respectively. Increased partial pressure of Silane resulted in increasein the peak value of g at 2.005, which supports their assumption. But ESR result shows total spindensity is only 2×1017 cm−3 , whereas programming window indicates 7×1018 cm−3 electron trapdensity and 1.2×1020 cm−3 hole trap density. This indicates that the majority of the traps are notparamagnetic, i.e. trap centers in Si dangling bonds are expected to be charged states where bondis either empty or filled with two electrons. They considered Si3 ≡Si+ as electron trap centers andN3 ≡Si− as hole trap centers. They proposed in equilibrium three states are there at interface of Sicluster (A) Si3 ≡Si:Si≡N3, weak covalent bond (B) Si3 ≡Si0 and N3 ≡Si0, relaxed bond and (C)Si3 ≡Si+ and N3 ≡Si−, split bond. Only group B can response to ESR measurement.

34

Page 44: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

However, as from programming characteristic hole trap density is found to be more than elec-tron trap density, some additional hole trap centers must be considered. They considered Nitrogenvacancy as source of additional hole traps. N3 ≡ Si : Si ≡ N3 acts as hole trap and capturing holeit becomes N3 ≡Si+ and N3 ≡Si0.

2.4 Silicon surface passivation using Al2O3

Crystalline Si solar cell is most important candidate in solar cell industry. There are several com-panies making close to 23% efficient cells. However they are not very successful commercially asthe cost of the cells is quite high.

Different approaches have been implemented to increase the efficiency of crystalline Si solarcell. Among different limiting factors, surface recombination is a prominent drawback which re-sults in reduced efficiency. The method of reduction of surface recombination is called surfacepassivation.

First it should be explained here, how passivation layer works in solar cell to increase effi-ciency by reducing surface recombination. Following Shockley–Read-Hall (SRH) recombinationformalism, surface recombination rate U s(cm

−2second−1) can be expressed as [6]

U s =(nsps − n2

i ) vthNitns+n1

σp+ ps+p1

σn

=nsps − n2

ins+n1

Sp+ ps+p1

Sn

≈ nspsns

Sp+ ps

Sn

(2.24)

where, N it: interface trap density (cm−2), σn: electron capture capture cross section (cm2),σp: hole capture capture cross section (cm2), ns: density of electrons (cm−3), np: density of holes(cm−3), vth: thermal velocity of electrons (cm/s), n1: electron concentration if trap energy levelcoincide with Fermi level (cm−3), p1: hole concentration if trap energy level coincide with Fermilevel (cm−3), ni: intrinsic carrier concentration (cm−3), Sn = σnvthNit (cm/s) and Sp = σpvthNit

(cm/s).The above equation shows, U s is directly proportional to N it. So, surface recombination can be

decreased by decreasing interface state density. Another way to reduce recombination is by signif-icant reduction of the density of one type of carrier. Since both a hole and an electron are requiredfor recombination, Us is reduced by this method. One method for achieving this is by applying anelectric field to repel the carrier whose density has to be reduced. This type of passivation is calledfield effect passivation.

So, to reduce surface recombination research work is mainly directed to two ways. First oneis to reduce interface state density at Silicon interface, which is called chemical passivation. Re-duction in interface state density is mainly obtained by the passivation of Silicon dangling bondswith some dielectrics. Second is reduction in electron or hole concentration at interface by built-inelectric field. The built-in-field can be obtained by choosing appropriate doping profile or by fixedcharge at the Si interface.

35

Page 45: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

In view of chemical passivation thermally grown SiO2 is obviously the best candidate due tothe low interface state density obtained [75]. But less amount of fixed charge in oxide layer resultsin reduced effective lifetime of carrier for SiO2 layer [76]. Silicon Nitride (a-SiNx :H/SiNx) showsbetter field effect passivation compared to SiO2 layer. The Silicon rich Nitride usually has highercontent of Hydrogen. The chemical passivation is provided by Hydrogen. But as Nitrogen contentis increased fixed positive charge density is also increased due to so-called k-center [77]. So, withhigher Nitrogen content field effect passivation becomes dominant factor.

In modern solar cell technology, Al2O3 is considered as emerging material for passivation of c-Si surface, as it results in higher solar cell efficiency by decreasing surface recombination velocitysignificantly for surfaces of p type c-Si. Although passivation property of Al2O3 was reported in1985 [78], but it has been mostly explored from 2005 when it was reported that Al2O3 depositedby ALD method shows excellent performance as passivation layer for both p-type and n-type c-Si[79, 80].

According to Lucovsky, presence of tetrahedrally coordinated Al in AlO−4 unit and octahedrally

coordinated Al in Al+3 unit in 3 : 1 ratio results charge neutrality of Al2O3 film [81]. Kimoto etal. postulated that the presence of interfacial oxide layer results in higher population of tetrahedralcoordination at interface. Tetrahedral coordination of Si in interfacial oxide film is responsible forthat higher population. Therefore, interfacial oxide layer causes existence of significant amount offixed negative charge in Al2O3 layer [82].

Also device goes through high temperature treatment during fabrication. Comparative study ofSiO2 and Al2O3 shows, firing significantly degrades effective lifetime for SiO2 layer. For Al2O3

firing does not have such significant effect [76]. So, Al2O3 is more stable as passivation layer.In case of solar cell it is obviously important to find response to illumination. Performance

of SiO2, SiNx and Al2O3 as passivation layer under/after UV exposure is well reported. For bothSiO2 and SiNx layer passivation is found to be degraded after UV illumination. In their work, P. E.Gruenbaum, R. A. Sinton, and R. M. Swanson have shown degradation of Si/SiO2 interface afterillumination. In that work, point contact solar cell was illuminated by concentrated sunlight at 56W/cm2. After illumination for various time period, efficiency was measured at 10 and 2 W/cm2 [7].

T. Lauinger et al. observed same trend also for Silicon Nitride. Severe degradation in effectivelifetime was observed specially for thinner Nitride film [8].

Opposite trend is observed for Al2O3 layer, where performance is improved after UV illu-mination. G. Dingemans et al. reported comparison of performance of Al2O3 and SiO2 film aspassivation layer under UV illumination [76]. They observed, effective lifetime is increased forAl2O3 and decreased for SiO2 layer.

B. Liao et al. predicted that the main reason for such opposite effect is opposite polarity offixed charge (positive for Al2O3 and negative for SiO2 and SiNx) [9]. As solar cell can’t be avoidedfrom exposure to illumination, the positive UV effect makes Al2O3 more acceptable in solar cellindustry.

36

Page 46: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

2.5 Summary of literature review

• Characterization of bulk trap levels, lying in wide band gap materials, is a challenging task.Techniques, based on opto-electrical measurements, seems to be better options as they aremore direct and need simpler device structure. Among different opto-electrical techniques,“flat band voltage monitored trap-depopulation technique” is most efficient as it can retrievemaximum number of trap parameters [4, 5]. In the reported works, 10-15 nm thick semi-transparent metal layers (Al or Au) were used as gate electrode. But, to enhance efficiency ofthis technique and to make this technique appropriate also for shallow trap levels, semitrans-parent metal electrode should be replaced by a transparent electrode with high transmittanceeven in NIR region. Also, modification in methodology is required to ensure accuracy incalculation of trap density in a particular trap level.

• ITO is a frequently used transparent material in solar cells and opto-electronic devices. Re-ported works show, ITO films are mainly (222) and (400) oriented films. (400) oriented filmsare with higher carrier concentration and lower transmittance in NIR region [55]. So, (222)oriented films are required to obtain high transmittance in NIR region and (222) orientedfilms can only be obtained in presence of O2 in sputtering ambient [55]. But presence ofO2 in sputtering ambient results in degradation of electrical property of the film and also de-crease in transmittance in visible region [49]. So, our study was first focused on developmentof process conditions to produce (222) oriented ITO film in O2 free sputtering ambient.

• Si3N4 is an important material in modern technology. Comparatively less works have beenperformed to retrieve information about energy position of trap levels, lying in nitride films.Different theoretical models proposed to explain trapping behavior, as discussed above, areconflicting. Kirk Jr. suggested that Nitrogen dangling bond is responsible for the trap levelsin Nitride layer [70] whereas according to Ngai-Hsia model and Robertson’s model Si dan-gling bond is responsible [68, 69]. Such contradiction highlights the need for experimentalevidence. Krick. et al. performed ESR measurement and their result shows, Si danglingbonds are mainly responsible for presence trap levels in the Nitride films. But, Kamigaki etal. proposed that all bonds, originating trap levels, do not respond to the ESR measurement.The literature review indicates more work is needed on energy profilling of trap levels in theNitride films.

• It is well reported that, UV illumination enhances the performance of Al2O3 films as pas-sivation layer. During illumination photo-injection of electrons from Si substrate enhancesthe negative charge density in Al2O3 film. Very less works have been reported on nature andstability of these photo-injected charge carriers.

37

Page 47: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Chapter 3

Experimental Techniques

In this study, different experimental setup have been used for fabrication of the devices. Alsodifferent characterization techniques have been used to characterize deposited films or devices.Characterization techniques can be divided in two groups: physical characterization methods andelectrical or opto-electrical characterization methods. Working principle of different fabricationand characterization techniques, used in this study, are discussed in this chapter [83, 84].

3.1 Device structure

The study is focused on the trap characterization of wide band gap materials. Dielectric is embed-ded in MIS structure.

Figure 3.1: Schematic structure of device used in our study for trap characterization of dielectricfilms.

On RCA (abbreviation of Radio Corporation of America - where the process was first de-veloped) cleaned Si wafer dielectrics (Si3N4 and Al2O3) were deposited using various depositiontechniques (ALD, LPCVD and pulsed-DC sputtering). Films were annealed in different ambientconditions. After that, ITO film was deposited, using Si hard mask, by RF magnetron sputteringtechnique. Deposited ITO films were annealed in Ar ambient. At end, back side metalizations were

38

Page 48: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

perfomed in thermal evaporation system. 100 nm thick Al was deposited at back of the device. Theschematic structure of the device, used for dielectric characterization, is shown in Fig. 3.1.

3.2 Different fabrication techniques

3.2.1 Low pressure chemical vapor deposition technique

Low pressure chemical vapor deposition (LPCVD) technique is used widely to produce thin films.It is widely used to deposit Poly-Silicon, Silicon Dioxide and Silicon Nitride. Like other CVDprocesses, in LPCVD also gaseous species react with each other on or very near to a solid surfaceand produces solid phase material. Schematic diagram of the setup is shown in Fig. 3.2.

Figure 3.2: Schematic diagram of LPCVD setup.

In this technique, Si wafers are kept in a quartz tube. A spiral heater is placed around the quartztube. There are three zones in the quartz tube: front zone, center zone and rear zone. The spiralheater actually covers the middle part of the quartz tube. The heater is designed in such a waythat, the temperature of the center zone is definitely maintained at the desired temperature. Afterloading the sample into center zone, vacuum pump is switched on to create low pressure up toaround 1×10−3 torr. Then, the tube is heated to the desired temperature. After attaining stabilizedtemperature, the gaseous species are allowed to flow into the chamber in a controlled manner.The gas flow rates are controlled using different flow meters. The process gas mixture consists ofdilution gas and reactive gas. After deposition, temperature of the tube is slowly decreased to roomtemperature. After that, wafers are unloaded.

In our study, depositions of Silicon Nitride films were performed using Ultech LPCVD furnace.Nitrogen (N2) was used as a dilution gas. Silane (SiH4) and Ammonia (NH3) were used as thereacting gases. The deposition was performed at 780 C. At this process temperature, Si3N4 isdeposited following the reaction

39

Page 49: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

3SiH4 + 4NH3 → Si3N4 + 12H2 (3.1)

To obtain uniform deposition, 10 dummy wafers were placed on each side of the main wafers,on which deposition was required.

3.2.2 Radio frequency sputtering system

To understand working principle of RF sputtering technique, first we need to discuss how basic DCsputtering system works. In DC sputtering system, sputtering is conducted in a closed system whichis evacuated. After obtaining high vacuum level, heavy inert gas, e.g. Ar, is allowed to flow in thechamber in a controlled manner. Inside the chamber there are two electrodes as shown in Fig. 3.3.A high voltage is applied between two electrodes inside the chamber, to initiate electric discharge.Now the energetic emitted electrons hit Ar atoms and as a result Ar+ ions are generated. As a resultplasma is formed between cathode and anode. These Ar+ ions are accelerated towards anode. Thetarget i.e., the material which needs to be deposited, is placed on cathode. The accelerated Ar+ ionshit the target which results in dislodgement and ejection of material in atomic or molecular scaleand followed by deposition on the substrate, placed on anode. To increase efficiency a magneticfield is applied in parallel to the electric field. In presence of both electric and magnetic fields,electrons follow a spiral path. The increased path length results in more ionization.

Figure 3.3: Schematic diagram of DC sputtering system.

Now fundamental problem with DC sputtering system is that, it cannot be used for non-conductingmaterials. If non-conducting target is placed on cathode then after hitting the Ar+ ions will not beable to reach cathode electrode. Accumulation of Ar+ ions on target material would actually stop

40

Page 50: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

the further process. So, mainly for non-conducting materials RF sputtering technique was intro-duced. Schematic diagram of RF sputtering technique is shown in Fig. 3.4. In this technique inplace of DC source, an alternating current (AC) power source is used. In one half cycle Ar+ ionsare accumulated on target and in next half cycle these ions move to another plate. As a result, theprocess is continued. In this case, actually in one half cycle current due to electron conductionoccurs and in next half cycle current is obtained due to conduction of ions. Due to lower mobility,amplitude of ion current is obviously less than the electron current. A self bias method is used byintroducing a capacitor to make total amount of charge equal in both the half cycles.

Figure 3.4: Schematic diagram of basic RF sputtering system with self bias configuration.

In our work, Nordiko RF sputtering system was used to deposit ITO films. A cryo pump isused to create vacuum level of 9×10−6 mbar. After that, vacuum valve is closed 80% and Ar gasis introduced in a controlled manner to maintain vacuum level at 2.6×10−3 mbar. After applyingvoltage between cathode and anode, vacuum valve is closed for few seconds. In that time Arpressure goes high in the chamber and plasma is formed. After that, valve is again opened by 20%.A shutter is used in front of substrate. After 2-3 min of dummy deposition shutter is opened anddeposition on substrate is started.

3.2.3 Pulsed-DC sputtering system

To avoid complexity in circuitry associated with RF sputtering technique, pulsed direct current(p-DC) sputtering technique was introduced. Pulsed DC power source actually provides DC withsome chopping and interruption. So, normally it is in form of square or rectangular pulses. It iscalled mid-frequency because frequency used here is (~ 10-350 kHz) comparatively lower than

41

Page 51: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

what is normally used in RF technique (13.56 MHz). Pulsed DC sputtering can be of differenttypes depending on the shape of the applied pulse (Fig. 3.5).

Figure 3.5: Voltage pulse for different types of pulsed-DC sputtering (a) unipolar pulsing (b) sym-metric bipolar pulsing (c) assymetric bipolar pulsing.

(a) Unipolar Pulsing: In this case, voltage swings between 0 and some negative value withTon=Toff . During Ton charge accumulation would be there. So, Ton should be chosen in such away that, it would not lead to arc discharge.

(b) Symmetric Bipolar Pulsing: In this case, voltage level swings between small +ve value andlarge –ve voltage. In is ensured that charge accumulated in –ve cycle is totally neutralized by the+ve polarity.

(c) Asymmetric Bipolar Pulsing: In this case, Ton is not equal to Toff .Endura Pulsed-DC sputtering system, made by Applied Materials, has been used in our study,

for deposition of Al2O3 films. To obtain high quality film, chamber was first pumped down to7×10−8 torr. Actual depositions were performed in Ar+O2 ambient.

3.2.4 Thermal evaporation technique

Thermal evaporation is a physical vapor deposition technique. In this method, deposition is per-formed in a high vacuum chamber. An electric resistance heater (made of Tungsten) is used toevaporate a material, which needs to be deposited. Wafers are normally kept on a parabolic wafer

42

Page 52: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

holder to get uniform deposition. At low pressure, the vapor cloud is originated. Low pressure alsoallows vapor particles to move directly to the substrate, the they are condensed back again in solidstate. A crystal monitor can be used in the same plane of wafers, to monitor the thickness of thedeposited film. Purity of the deposited film depends on the quality of vacuum and purity of thesource material. The schematic diagram is shown in Fig. 3.6.

Figure 3.6: Schematic diagram of thermal evaporation system.

This system is restricted to low melting point materials. In our work, Al evaporations wereperformed using Hind Hivac thermal evaporation system. Depositions were performed at vacuumlevel of 4×10−6 mbar.

3.2.5 Annealing chamber

After deposition of the film, annealing is performed to improve the film quality. In annealingsystem, furnace is made of quartz. The quartz tube is wound by heating coils. First, user definesthe set temperature in temperature controller. Temperature of the tube is increased slowly to thedesired temperature. At high temperature, different atmospheric gases can react with the quartztube. To avoid that, Nitrogen gas flow is maintained during heating of the quartz tube. Once thedesired temperature is attained, Nitrogen flow rate is decreased slowly to zero and at the sametime, flow rate of desired gas is increased. Flow meter is used to measure and control flow rateof the gas. After few minutes, required annealing ambient is established. After that, wafers areplaced vertically on the quartz boat and the boat is placed at the loading end of the quartz tube.The boat is inserted into middle of the chamber, using a clean quartz rod. Then the loading enddoor is closed. After annealing, the boat is again taken out of the furnace slowly, using the quartzrod. After completion of annealing, again the annealing gas flow is slowly decreased to zero andsimultaneously Nitrogen gas flow rate is slowly increased. Then the furnace is subjected to natural

43

Page 53: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

cooling. After cooling of the furnace, Nitrogen flow is switched off. Fig. 3.7 shows schematic ofthe annealing chamber.

Figure 3.7: Schematic diagram of annealing chamber.

3.3 Different characterization techniques

3.3.1 Scanning electron microscopy

Scanning Electron Microscopy (SEM) is a physical characterization technique. Initially, light mi-croscope was used for magnification. But the efficiency of a light microscope is limited by numberand quality of the lens, as well as by wavelength of the light used. A modern optical microscopeshows magnification 1000 X. Continuous decrease in device size demands instruments with higherresolution for better analysis of device structures and defects. Instruments with electron-basedimaging can provide such high resolution. Very small electron wavelength (0.1 nm) is the secretof such high resolution. Scanning Electron Microscope is an instrument based on the principleof electron imaging. In SEM, a beam of electrons with energies typically 1-40 keV is used. Theaccelerated electrons with significant kinetic energy are incident on the sample. The energy isdissipated as a variety of signals consisting of secondary electrons, backscattered electron, andphotons. Secondary electrons produce the SEM image. Back scattered electrons show contrast inthe composition in multiphase samples. Up to 300,000 X magnification can be obtained in SEM.The schematic drawing of SEM system is shown in Fig. 3.8. Raith-150 Two, manufactured byRaith GmbH, was used in our work for SEM imaging of ITO films.

44

Page 54: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Figure 3.8: Schematic drawing of SEM system.

3.3.2 X-ray diffraction

X-ray diffraction (XRD), also known as X-ray crystallography, is a widely used technique to studythe atomic and molecular structure of a crystal. Wavelength of X-ray is 0.1 nm, which is comparableto inter-atomic distance in crystalline materials. So, an array of atoms can create diffraction patternof X-ray. In a crystal, atoms are arranged in a periodic manner as shown in Fig. 3.9.

Figure 3.9: Pictorial description of working principle of XRD.

Now, consider two X-rays, parallel to each other makes an angle θ with the plane of the atoms.

45

Page 55: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

After reflection, these two rays interfere constructively only when their path difference is an integermultiple of their wavelength. The equation is known as Bragg equation, after the name of thescientist who derived the formulae.

nλ = 2d Sinθ (3.2)

where, n is an integer, θ is the angle of incidence, λ is the wavelength and d is the inter-atomicdistance. So, if θ and λ is known then inter-atomic distance can be calculated.

3.3.3 UV/Vis/NIR spectrometer

UV/Vis/NIR spectrometer is an instrument which measures the transmittance of a sample as afunction wavelength, where wavelength varies from UV to NIR range. With specially designedsample holder, reflectance can also be measured by this instrument. The key components of aspectrometer are a light source, monochromator, detector and recorder. The suitable light sourcesare Deuterium lamp (160-375 nm), Tungsten lamp (800-2500 nm), Xenon arc lamp (190-800 nm)and Light-emitting diodes (LED) of lower and higher emission wavelengths. Fig. 3.10 showsschematic diagram of the spectrometer.

Figure 3.10: Schematic diagram of spectrometer.

The radiation emitted from the light source enters the monochromator through the entrance slit.The selected wavelength, of known intensity, is allowed to fall on the sample. The wavelengthis changed step by step and the same process is repeated. If the sample is in liquid or powderform, it is kept in a quartz tube (as quartz is transparent in UV and visible region). Solid sampleis kept vertically by a metallic sample holder. The light beam passed through the sample understudy, is made to fall on the detector. Detector is an instrument which converts the optical signalinto electrical signal. The intensities of respective transmitted beams are then compared over thewhole wavelength range. In this study, we have used Perkin Elmer Lambda 750 UV/Vis/NIRspectrophotometer. The instrument consists of a dual source, Tungsten-Halogen and Deuteriumlamps, providing a wide range of 190 nm to 3300 nm.

3.3.4 Optical capacitance-voltage measurement system

In Optical capacitance-voltage (optical-CV) measurement system, sample is placed in front of alight source of selected wavelength. The sample is kept vertically using a metal stand and Teflon

46

Page 56: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

pin. A Tungsten probe is used to make front contact. A pressure contact is made between the backof the sample and metal stand, using the Teflon pin. Back contact is taken from the metal stand.Wavelength is changed step by step. A shutter is used in front of the light source. CV measurementis performed in dark condition. So, during CV measurement shutter is kept closed.

Figure 3.11: Block diagram of optical CV measurement system.

The block diagram of the setup is shown in Fig. 3.11. Device is connected to a voltage sourceand an LCR meter through Kelvin probe. The system is interfaced with a computer for data collec-tion.

47

Page 57: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Chapter 4

Deposition and Optimization of ITO Films

Indium Tin Oxide (ITO) is an n-type semiconductor, which electrically behaves like a semi-metalbut has a high optical transmittance. Now it is interesting to know the origin of high conductivityof ITO, although it has a band gap of approximately 4 eV. In ITO material, Tin (Sn) is tetravalent,whereas Indium (In) is trivalent. So, each Sn replacing In substitutionally, donates an electron forconductivity [53]. Oxygen vacancy is another source of electron in ITO film [51].

Due to such unique combination of electrical and optical properties, ITO has been widely usedin different optoelectronic devices such as solar cells, optical coatings etc. High transmittance ininfra red region can increase efficiency of dye-sensitized solar cell and IR emission devices.

As discussed in chapter 2, for “flat band voltage monitored trap-depopulation” technique du-ration of experiment is significantly higher due to less transmittance of metal gate electrode. Inaddition to that, as transmittance of metal layer falls rapidly beyond 600 nm (2 eV), trap levelslying within 2 eV from conduction or valance band edge cannot be distinguished with thin metalgate. In this chapter we would present our work focused on optimization of process parameters toproduce highly conducting ITO film with high transmittance even up to larger wavelength range (~3000 nm).

4.1 Pre-processing of the ITO target

ITO target, made of 90% Indium Oxide (In2O3) and 10% Tin Oxide (SnO2), has been used in thisstudy. Thermal conductivity of ITO is not as good as metal. So, during deposition significanttemperature gradient occurs between top and bottom surface of the ITO layer. Due to that, targetcan be cracked. To avoid this, in our work ITO target was first placed in circular Copper cap. Inthis study, ITO target of diameter 4” was used. So, a Copper cap with inner diameter slightly lessthan 4” was used. Back surface of ITO target was attached to Copper cap by Indium bonding.To perform the bonding, Copper cap was placed on a hot plate, heated at 200 C. Small pieces ofindium were spread on Copper cap and within few seconds Indium pieces were melted. Then ITOtarget was placed on Copper cap. Inner diameter of Copper cap was made same as outer diameter

48

Page 58: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

of target. In heated condition, as diameter of Copper cap was increased, the target was inserted inthe cap. After that, target with Copper cap was removed from the hot plate. To reduce pressure onthe target due to shrinking of Copper cap (after cooling), four narrow cuts were made in the sidewall of the Copper cap.

4.2 Experiment

Thin ITO films were deposited by RF sputtering method on 2.5 cm×2.5 cm quartz sheet of 1 mmthickness. ITO was deposited in 99.999% Ar environment. The base pressure was 1.3×10−5 mbarin the vacuum system and working pressure was maintained at 2.6×10−3 mbar during deposition.

As discussed in section 2.2.2, work done by R. Bigyan et al. shows, the resistivity of the de-posited films monotonically decrease with the increase in substrate temperature [52]. But, in thatwork substrate temperature was increased up to 200 C only. It is also reported that at annealingtemperature of 400 C maximum rate of out-diffusion of O2 is obtained, which results in minimumresistivity [47]. These two results indicate 400 C can be optimum substrate temperature. Further-more, before doing the actual experiments, to get an estimation films were deposited at 60 W andat three different substrate temperatures: 27 C, 300 C and 400 C. Film deposited at 400 C, wasannealed in the sputtering chamber itself at 400 C for 10 min in Ar ambient. The resistivity of thefilms was obtained to be 18000, 505 and 40 Ω/sq respectively. For the films deposited at 300 C and400 C, thicknesses were measured to be 63 and 115 nm respectively. At a substrate temperatureof 400 C, reasonable resistivity was obtained. It should be mentioned that higher RF power canaffect the quality of the dielectric, used in the actual device structure. So, actual study was mainlyfocused on the RF power of 40 W.

Deposition was performed at two different substrate temperatures, namely 120C and 400C.After deposition, samples were annealed for 20 min in Ar (99.999% purity) environment. Anneal-ing temperature was varied from 400C to 800 C. Various process splits investigated are summa-rized in Table 4.1.

49

Page 59: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Table 4.1: Process splits, used in the experiment along with a summary of the key electrical andoptical data.

The thicknesses of the films were measured by the profilometer. For this purpose steps werecreated, at the corner of the quartz sheets, using glass slide during deposition. So, measurementsof thicknesses were not performed throughout the quartz sheet.

Sheet resistance of the films were measured by four probe method. Dektak XT profilometer,manufactured by Bruker Corporation, was used to measure the thickness of the deposited films.Perkin Elmer Lambda-750 spectrometer was used to measure the transmittance of the films. Thespectrometer has dual source with two monochromators and can operate in the spectral range of190 nm to 3300 nm.

The process conditions for different ITO films have been mentioned in Table 4.1.

4.3 Results and discussion

4.3.1 Grain size and crystal orientation

The discussion in section 2.2 shows, crystal orientation is the main deciding factor for transmittancein NIR region. Only (222) oriented film can produce high transmittance even in NIR region (beyond1400 nm). The discussion also indicates resistivity of the film can be controlled by increasing grain

50

Page 60: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

size and resultant decreasing grain boundaries. So, analysis of crystal orientation and grain size isimportant to optimize the process parameters. In our work, the methods used for estimating theseproperties are SEM and XRD.

Figure 4.1: SEM images of ITO thin films; S1: substrate temperature 400 C and no PDA, S2:substrate temperature 400 C and annealing temperature 400 C, S3: substrate temperature 400 Cand annealing temperature 600 C, S4: substrate temperature 400 C and annealing temperature800 C. Inset of S4 shows the cracks generated in the film during annealing process.

From S1 to S4 process conditions are same except annealing temperature. The result shows adecrease in resistivity as the annealing temperature is increased up to 600 C. However the sheetresistance was higher for samples annealed at 800C. The Scanning electron microscope (SEM)images of these samples are shown in Fig. 4.1.

Average grain sizes, measured by the linear intercept method (ASTM Standard E112), has beenplotted in Fig. 4.4 as a function of annealing temperature. The result shows, grain size increaseswith the increase in annealing temperature. As grain size increases number of grain boundariesdecreases, which results in better conductivity. SEM image in lower magnification shows cracksin sample annealed at 800 C (inset of Fig. 4.1 (S4)). That might be the reason, why this sampleshows higher resistivity despite having bigger grain size. These cracks are supposed to be generateddue to different thermal expansion of ITO film and quartz. Fig. 4.2 (a) shows XRD pattern for all

51

Page 61: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

the samples.Comparison with JCPDS file shows that the film has cubic structure of In2O3 (JCPDS file: 06-

0416) with a strong preferential orientation in (222) plane. Note that broad feature occurring at ~20 comes due to amorphous quartz substrate. Intensity of other peaks for (211), (400), (440), and(622) planes increases with the increase in film thickness. Full width at half maximum (FWHM) forthe peak (222) was measured by profile fitting of pseudo-Voigt function using X’Pert HighScoresoftware. FWHM as a function of anneal temperature is shown in Fig. 4.2 (b). The result sug-gests that the FWHM decreases i.e., crystalline quality of these films increases with the annealingtemperature.

Figure 4.2: (a) X-ray diffraction pattern of various ITO thin films, (b) Variation of FWHM, for(222) peak, as a function of annealing temperature for the samples S1 to S4.

Fig. 4.3 shows the magnified (222) peak for S1 to S4.Fig. 4.4 shows average grain size as a function of annealing temperature for these samples

obtained from SEM as well as XRD. Both data sets show same trend in grain size with annealingtemperature.

To understand the effect of substrate temperature on crystallinity more clearly, XRD measure-ments were taken for ITO thin films where, films are deposited at room temperature. After depo-sition one film was annealed at 400 C for 20 min in Ar ambient (S9) and other was not annealed(S8) (not shown in Table 4.1). The XRD result is compared with the same of S1 and S2 in Fig. 4.5.

No characteristic peak is obtained for S8. Comparative study of XRD of S1 and S8 show,if PDA is not performed then characteristic peak or crystallinity can be obtained only if highersubstrate temperature is used during deposition. XRD of S1:S2 and S8:S9 indicates, in presenceof substrate temperature effect of annealing on crystallinity of the film decreases. Here one canalso decide that absence of substrate temperature can be fully compensated by PDA. A carefulobservation of XRD graph for S1 and S9 indicates that (400) peak is more prominent (encircled

52

Page 62: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Figure 4.3: Magnified (222) peak and FWHM for S1, S2, S3 and S4.

in Fig. 4.5) for sample deposited at room temperature, although both are annealed at 400 C. So,absence of substrate temperature cannot be fully replaced by PDA. In next section it will be shownthat, even small signature of (400) peak affects transmittance significantly.

53

Page 63: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Figure 4.4: Grain size as a function of annealing temperature measured by SEM and XRD analysis.

Figure 4.5: Impact of annealing on the crystallinity of the film. XRD pattern of the films grownwith different substrate temperatures and post deposition anneal.

Kim et al. proposed that only presence of O2 in sputtering ambient causes change in preferentialorientation from (400) to (222) plane [55]. In a significant departure from the most of the reported

54

Page 64: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

work on sputter deposited ITO, we have heated the samples during deposition. In this case even in99.999% Ar ambient, (222) orientation has been obtained. Jung and Lee observed that the (400)peak intensity increases with the increase in film thickness [85]. In S5, where the thickness is 125nm, a signature of (400) plane has been observed (Fig. 4.2 (a)), but far away from being a dominantpeak.

4.3.2 Transmittance and optical band gap measurements

In this study, transmittance of the ITO film has been measured for the widest spectral range com-pared to previous studies. The results are presented in Fig. 4.6. For better understanding transmit-tance versus wavelength characteristics for all samples are splitted in two parts (Fig. 4.6 (a) and(b))

Figure 4.6: Transmittance as a function of wavelength for the samples (a) S1 to S4 (b) S5 to S7.

The transmittance is almost same for all samples, especially in visible region. Difference isobserved in higher wavelength range. Transmittance of all sample, measured at 3000 nm is shownin Fig. 4.7. All samples show more than 80% transmittance up to 2000 nm.

55

Page 65: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Figure 4.7: Transmittance for S1 to S7 at wavelength of 3000 nm.

Effect of Annealing

PDA was not performed for S1 and S6. Thickness of S1 and S2 is almost same. For both thesamples, depositions were performed at substrate temperature 400 C and RF power of 40 W. Theonly difference is that for S2 PDA, was performed at 400 C. Transmittance at wavelength of 3000nm is observed to be increased by 10% (from 53% to 63 %) after PDA. H. M. Ali et al. depositedITO film of thickness 150 nm at room temperature and then the film was annealed at 400 C [65].Authors reported, at wavelength of 2500 nm, transmittance was increased by 50% (approximatelyfrom 25% to 75%) after annealing. Comparing the results with the reported literature, it can beremarked that effect of annealing on transmittance is not so significant for the films, deposited atelevated substrate temperature.

Comparison of S1:S2 and S6:S7 indicates, effect of annealing on transmittance is more sig-nificant for the films deposited at lower RF power. However, annealing substantially reduces theresistivity of the samples which is clear from Table 4.1.

Effect of Thickness and RF Power

Fig. 4.8 shows average transmittance (over the range of 350-3300 nm) versus resistivity and av-erage transmittance versus sheet resistance characteristics. S4 (annealed at 800 C) has not beenconsidered in this plot, as it shows very high resistivity due to cracks. In order to study the effectof thickness on the optical and transport properties of the ITO film, two samples (S2 and S5) with

56

Page 66: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

different thicknesses are deposited maintaining the same process conditions. XRD results showa signature of (400) plane for S5, which was not observed for S2 (Fig. 4.2 (a)). No significantimprovement has been observed in the sheet resistance with the increase in thickness (Fig. 4.8(b)). However the transmittance for S5 is clearly decreased specially for photon wavelength largerthan 2000 nm (Fig. 4.6). Kim et al. reported that carrier concentration in the film increases with(400) orientation [55]. It is possible that decrease in transmittance for this case is arising due toincrease in carrier concentration, but the fraction of (400) orientation is not high enough to reducethe resistivity significantly.

Figure 4.8: Average Transmittance (for the range of 350 - 3300 nm) versus (a) resistivity and (b)sheet resistance.

Previous studies show resistivity of the ITO film decreases with the increase in both substratetemperature [52] and RF power and reaches at minimum value at 40 W [48]. Comparison ofresistivity between S1:S6 and S3:S7 shows good agreement with the reported result (Fig 4.8 (a)).S6 and S7 have almost same transmittance as S3, in both visible and NIR region, in spite of havinghigher thickness. So, ITO film optimized at higher power shows better optical property.

However, results shown in Fig. 4.8 (a) indicates, best combination of resistivity and trans-mittance has been obtained for S3, i.e., for sample deposited at substrate temperature 400 C andannealed at 600 C. Average transmittance of 83.3% and resistivity of 10−3 Ω-cm have been ob-tained the sample. Sample S2, deposited at substrate temperature 400 C and annealed at 400 C,also shows comparable result with S3.

57

Page 67: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Band Gap Measurements

Figure 4.9: Tauc plot for S1 to S7.

Using the transmittance data at different wavelengths, band gap of the ITO film can be calculatedfrom Tauc plot [56, 86]. Band gap for each film, calculated following the work done by H. R. Fallahet al., is in good agreement with the reported result [56]. The maximum difference in band gap isobserved to be 0.22 eV, between S1 (3.96 eV) and S2 (4.18 eV). So, results indicate that there is nosignificant difference in band gap for different process conditions. Therefore, it can be concludedthat, the change in resistivity is mainly caused by the change in grain size.

4.3.3 Comparison of results with reported literature

In this study, ITO has been deposited and optimized using RF sputtering technique. In this worktransmittance for ITO films have been measured for 190-3300 nm range. No reported work isthere for such wide spectral range. A comparative discussion of our results with best reportedtransmittance and resistivity values for ITO films has been presented here. In most of the literaturereporting higher transmittance beyond 1400 nm, ITO films have been deposited using evaporationtechnique. So, the concern about film quality and uniformity associated with evaporation techniqueis always there.

Guillen and Herrero deposited ITO film at room temperature on a glass substrate by RF sput-tering technique and best result was obtained for O2 to Ar partial pressure of P(O2)/P(Ar)=0.004[60]. Annealing was not performed after deposition. Transmittance was measured in 400 to 1500nm range. Result shows, 9 Ω/sq sheet resistance and an average transmittance of 85% for 400-800nm range and 64% for 800-1500 nm range. Transmittance was observed to fall rapidly after 1400nm and I(222)/I(400) was 0.71.

58

Page 68: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

In the study performed by B. Zhang et al., the substrate temperature was varied from 0 to 400 Cand O2 flow was varied from 0 to 1.5 sccm [61]. They observed that substrate temperature decreasesthe resistivity of the deposited film. Best transmittance was obtained for the film deposited atsubstrate temperature of 300 C and 1.5 sccm flow rate of O2. At 2500 nm transmittance reaches to60%. Sheet resistance of 53 Ω/sq was obtained for the film . With a deviation from our result, theirresult shows (400) peak is being more significant with an increase in substrate temperature. Theyperformed XRD measurements for the films deposited with O2 flow rate 0.3 sccm.

M. D. Benoy et al. deposited ITO film by reactive evaporation technique [63]. Transmittancewas measured for various film thicknesses varying from 80 to 350 nm and measurements wereperformed up to 2500 nm. The Best transmittance was observed for 80 nm thick film. 3.3×10−2

Ω-cm resistivity was obtained for the film.M. M. Raheem et al. also deposited ITO using e-beam evaporation technique [64]. They used

Glass/SiO2/ITO structure for their study. SiO2 films were deposited with substrate temperaturevarying from 200 to 400 C. 200 nm thick ITO films were deposited in presence of O2 and withdifferent substrate temperatures (200 – 400 C). No significant change in intensity of (400) peakfor different substrate temperature was observed in XRD analysis. They obtained the best resultfor ITO films deposited at 325 C substrate temperature on 80 nm thick SiO2 buffer layer. 92%transmittance in the visible region and 83% in NIR region (up to 2500 nm) were observed for thatfilm.

H. M. Ali et al., studied ITO film deposited by e-beam evaporation system [65]. After depositionat room temperature, ITO films were annealed at different temperature (200-400 C) for differenttime (up to 120 min). Depositions were performed in an oxygen-free ambient. After annealing 150nm thick film at 400 C for 120 min, transmittance value of 82% in the visible region and 85.5%in NIR region (up to 2500 nm) and resistivity of 2.8×10−4 Ω-cm were obtained. To the best of ourknowledge, this reported work displays best combination of optical and electrical properties of ITOfilm.

Table 4.2: Resistivity and average transmittance of ITO films.

59

Page 69: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

The best reported result and result of our study have been listed in Table. 4.2. Better opticalproperty has been obtained in our experiment. Resistivity is little more than the reported work.

4.4 Conclusion

ITO films with different process conditions have been deposited by RF sputtered method in pureAr environment. After deposition samples have been annealed in Ar environment. Substrate tem-perature during deposition results in (222) oriented film even in the absence of O2. XRD result, forthe film deposited at room temperature, shows prominent presence of (400) peak even after postdeposition annealing. So, substrate temperature cannot be substituted by PDA.

Best electrical and optical property has been obtained for S3 with resistivity 10−3 Ω-cm andwith average transmittance of 84.4%, 90.2% and 85.3% up to wavelength 800, 2500 and 3300nm respectively. The result shows that annealing improves conductivity of the film significantly.Transmittance of the film has not been affected significantly by annealing. Transmittance of theITO film, deposited at higher RF power shows better optical property.

60

Page 70: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Chapter 5

Establishment of Modified TrapSpectroscopy Technique andCharacterization of Silicon Nitride ThinFilms

Among different high-k materials studied for modern electronics, Silicon Nitride (Si3N4) is aprominent one due to its applications in different electronic devices like CMOS devices [87], flashmemory [88], solar cells [89] etc. Silicon Nitride films are generally amorphous but, unlike otherdielectric like SiO2, contains many more chemical impurities such as bonded Hydrogen and Oxy-gen and sometimes have a slight Si-rich stoichiometry. Different models proposed to describeorigin of trap levels in Si3N4 film has been discussed chapter 2. It has already been mentioned that,relatively less experimental works have been reported on energy position of trap levels in nitridefilm, specially for trap levels lying below mid-gap. In this work, we explore the trap levels lyingnear the valence band edge.

5.1 Study of the Silicon Nitride thin films deposited in variousprocess conditions

In this study Silicon Nitride films have been deposited by LPCVD technique. Ultech furnace hasbeen used to deposit Silicon Nitride films. Properties of the film depend on the process conditions.Silane and Ammonia were used as reacting gas gas, whereas, N2 was used as diluent gas. Depo-sitions were performed at temperature 780 C. Films were deposited with different NH3 flow rateand all other process parameters were kept constant. Thickness and refractive index (RI) of the filmfilms were measured using ellipsometer. My group member, Mr. Satya Suresh has significantlycontributed to the development of the nitride deposition process. The result is listed in Table 5.1.

61

Page 71: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Table 5.1: Deposition parameters and measured thickness and RI of the Nitride films.

The Deposition rate as a function of NH3 flow rate is shown in Fig. 5.1.

Figure 5.1: Influence of NH3 flow rate on deposition rate.

Increase in partial pressure of NH3 results in decrease in deposition rate. As NH3 flow rate isincreased, relative density of Si radicals is decreased. So, deposition rate is decreased. RI of thedeposited film is also affected by the flow rate. RI versus Ammonia flow rate is shown in Fig. 5.2.

62

Page 72: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Figure 5.2: Influence of NH3 flow rate on refractive index.

The result indicates, RI of the deposited films decreases with the increase in Ammonia flowrate. Film with less RI refers to Nitrogen rich nitride film. In this process Silane is the source of Siatoms. So, decrease in partial pressure of Silane results in Nitrogen rich Si3N4 film.

5.2 Trap characterization of Silicon Nitride film by modifiedtrap spectroscopy technique

As discussed in Chapter 2, there is prominent existence of both electron and hole traps in SiliconNitride. As mentioned earlier, aim of our work is to characterize bulk trap levels lying in Nitridelayer. In chapter 2, a comparative study of different trap characterization techniques has beendiscussed. Several methods, such as TSEE [2], charge pumping [11], discharge current transientspectroscopy [90] etc., have been employed to determine the energy position and density of trapsin Si3N4 thin films embedded in different structures. However, these techniques have certain limi-tations. TSEE needs complex experimental setup and analysis. Furthermore, this technique is not avery efficient trap detection method for wide band gap materials (like SiO2, Si3N4 etc.). In order todetect the deep trap states in these materials TSEE relies on Auger recombination processes, whichis less probable when the trap concentration is not sufficiently high. Charge pumping method is acostly technique, as it requires sophisticated transistor level fabrication. Discharging current tran-sient spectroscopy technique is applicable only for shallow (up to ~ 1 eV) traps [90]. Comparedto the above techniques, flat band voltage monitored trap-depopulation technique [3, 4] is a moredirect method and require only a simple device structure. Bibyk and Kapoor [4] embedded 110nm thick Si3N4 layer in a Metal-Nitride-Oxide-Semiconductor (MNOS) structure for their experi-ment. Traps in the dielectric were first filled by internal photo-emission [4] and then the device was

63

Page 73: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

illuminated by photons of different energy to detrap the electrons.But in these reports, the photon energy was scanned even above the mid-gap to obtain infor-

mation about the trap levels lying below the mid-gap. However, for excitation with photon energylarger than EG/2, where EG is the band gap of the material, there is a probability of transfer of anelectron from valence band to that trap level or some other empty state, leading to erroneous resultfor the calculated trap density. Modification has been introduced in the methodology, as discussedin section 5.2.1.

Furthermore, for photo-CV and photo-IV measurements, gate electrode needs to be transparentto enable photons to enter in the dielectric or semiconductor layer. In most of the reported literaturethin semi-transparent Al or Au layer (~ 10-15 nm) has been used as gate electrode [3–5, 91]. How-ever, the transmittance of metal layers of this thickness decreases substantially in the near infraredregion [30, 31]. Therefore, metal gate is not a very good option for characterizing shallow traps.Moreover, it has to be noted that for such a thin metal layer, annealing cannot be performed aftermetalization, as the metal film might breakup into nanocrystals [92]. Furthermore, low transmis-sion coefficient of metal layer demands the illumination to be carried out for extended duration (60min or more) [3, 4]. Instead, if a transparent conducting layer like Indium Tin Oxide (ITO) is usedas gate electrode, the transmittance will be much higher for a wider range of wavelength (includingNIR region), as shown in chapter 4, enabling the characterization of even shallow traps with higherdetrapping efficiency resulting in shorter measurement time. Here, we have used an 80 nm thicklayer of ITO with transmittance of more than 80% instead of a thin metal layer as gate electrode,in our ITO/Si3N4/Si MOSCap structure.

5.2.1 Proposed theory

For opto-electrical measurement gate electrode needs to be transparent to allow light to the dielec-tric. In this work ITO has been used as gate electrode. With a departure from previous work,here two different methodologies are followed for trap levels lying from conduction band edge tomid-gap and from mid-gap to valence band edge of the dielectric.

64

Page 74: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Figure 5.3: Pictorial description of the methodology for characterization of trap levels lying abovemid-gap: (a) after programming of the device by +ve voltage all trap levels are filled by elec-trons, (b) detrapping of electrons from the trap levels, as a result of illumination of the device byappropriate photon energy.

First consider the characterization of the trap levels lying in upper half of the band gap. Thedevice is initially programmed by a high positive gate bias. Bias should be sufficiently low sothat it would not contribute to leakage current through dielectric. CV measurement is taken beforeand after programming to calculate the programming window. Here, we can consider after pro-gramming all trap levels in the dielectric are filled by electrons, as shown in Fig. 5.3 (a). Nowthe device is excited by a specific lower photon energy under small positive gate bias. Then theexcitation energy is increased step by step. After each excitation CV measurement is taken. Now,when excitation energy matches with the energy depth of the trap level from conduction band edge,trapped electrons would be excited to the conduction band and flow towards conduction band ofITO, as shown in Fig. 5.3 (b). So, released electron would result in leftward shift in CV. To obtainaccuracy the device should be illuminated for sufficient time so that all trapped electrons at thatlevel are detrapped. Otherwise partially filled level can respond to higher excitation energy andlead to erroneous trap density calculation. Note that, as traps below mid-gap are already filled withelectrons, during excitation no electron can be excited from valence band to be captured by a traplevel. So, trap levels lying below mid-gap would not participate in the detrapping process. Theexcitation energy should be increased with small step (say, 0.1 eV) up to EG/2.

65

Page 75: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Figure 5.4: Pictorial description of the methodology for characterization of trap levels lying belowmid-gap: (a) after programming of the device by -ve gate voltage all trap levels are filled by holes,(b) detrapping of holes from the trap levels, as a result of illumination of the device by appropriatephoton energy.

Consider the case of characterization of trap levels lying below mid-gap. For this purposedevice is first electrically programmed by negative programming voltage. So, in this case all thetrap levels lying in dielectric would be filled by holes, as described in Fig. 5.4 (a). After that,device is again excited by specific photon energy under small positive gate bias. In this case whenexcitation energy would be equal to trap depth with respect to valence band edge, the electron fromvalence band will be excited and recombine with the trapped hole, or in other words trapped holeswould be excited and released to the dielectric valence band (Fig. 5.4 (b)). Due to applied bias,released hole would flow towards the valence band of Si.

5.3 Experiments

5.3.1 Sample preparation

P-type Si wafer of (100) orientation and resistivity of 4-7 Ω-cm was cleaned using RCA cleaningprocedure and was used as the substrate for device fabrication. 22 nm thick Silicon Nitride layerwas deposited on this substrate by LPCVD process at 780 C using SiH4 and NH3 as precursors. SE800 ellipsometer, manufactured by Sentech Instruments, was used for measurement of deposited

66

Page 76: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

film thickness and refractive index (RI). RI of 2.02 was measured for the Nitride film using a spec-troscopic ellipsometer. 80 nm thick ITO dots of 1 mm diameter were deposited by RF sputteringthrough a hard mask. ITO was deposited at 40 W with a substrate temperature of 400 C. The de-vice was then annealed in Ar environment at 400 C for 20 min. Backside Al metalization was doneby thermal evaporation. ITO layers used in this work were found to show an average transmittanceof 84% for the wavelength range of 360 nm to 3300 nm.

5.3.2 Measurement setup for trap spectroscopy

The schematic diagram of the measurement setup is shown in Fig. 5.5. The measurement setupconsists of an aluminum stand to place the sample in front of a broadband light source. The samplewas placed vertically, on the stand, by a Teflon pin. Since the backside is coated with Al, thepressure generated by the Teflon pin will be enough to provide a good electrical contact at back ofthe wafer. The front contact of the device was made by tungsten probe. The same voltage sourcewas used for electrical stressing of the device, CV measurements and to provide positive bias tothe sample during illumination. CV measurements reported below were carried out at a signalfrequency of 10 kHz. The CV setup was interfaced with a computer for data collection. The lightsource in Perkin Elmer Lambda-750 spectrometer was used for illuminating the device. Excitationwavelength was scanned from 3100 nm to 756 nm corresponding to energy range of 0.4 eV to 1.65eV.

Figure 5.5: Pictorial depiction of the measurement set up. The inset shows the cross sectionalschematic of the MOS device used.

67

Page 77: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

5.4 Results and discussion

5.4.1 Comparison of performance of ITO with other standard gate electrode

In this study ITO has been used to retrieve different properties of bulk traps, lying in Si3N4 layer. Itis noteworthy that, ITO has not been widely used as gate electrode in reported literature. So, priorto the actual trap characterization experiments, it is important to examine whether the electricalcharacteristics of the Nitride layer is influenced by ITO deposition or not. This examination processinvolves comparison of performance of ITO with Al and ITO/TiN gate electrode, as Al gate is verywidely used as a gate dielectric in MOS devices for fundamental studies and also Titanium Nitride(TiN) is commonly utilized as a diffusion barrier [93].

Figure 5.6: Schematic diagram of the devices with (a) Al (b) ITO and (c) ITO/TiN gate electrode.

First Metal-Nitride-Semiconductor (MNS) capacitors were fabricated with Al (100 nm), ITO(100 nm), ITO(80 nm)/TiN(10 nm) gate electrodes. 100 nm Al layer was deposited on the Ni-tride layer by thermal evaporation system. In the later case TiN was deposited by DC sputteringtechnique on top of the Nitride layer prior to the ITO deposition. TiN was deposited by reactivesputtering at 350 W with Ar:N2=20 sccm:20sccm. ITO was deposited with same process condi-tions as decribed in section 5.3.1. The schematic diagrams of three device structures are shown inFig. 5.6.

68

Page 78: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Figure 5.7: CV characteristics for devices with Al, ITO and ITO/TiN gate electrode.

Comparisons of CV characteristics for three different gates are shown in Fig. 5.7. A slightfluctuation of the Cmax (4Cmax~0.01 µF/cm2) value is well within the error bar associated withthe estimation of the device area. Work function of Al, TiN and ITO have been considered as 4.1eV, 4.6 eV [94] and 4.5 eV [95] respectively. The difference in VFB for CVs (~ 0.57 V) with Aland ITO/TiN gate is almost equal to the work function difference between Al and TiN (~0.5 eV).Dit and Qox were calculated by HFCV technique i.e., using the shift in VFB and VMG. CalculatedDit and Qox are listed in Table 5.2.

69

Page 79: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Figure 5.8: IV characteristics for Silicon Nitride thin film with Al, ITO/TiN, ITO as gate electrode.

Fig. 5.8 shows IV characteristics of the devices with three gate electrodes. Leakage currenthas been improved significantly for ITO gate with respect to Al gate, whereas no difference is seenbetween the ITO/TiN and ITO gates. The breakdown voltages, calculated from IV characteristics,are listed in Table 5.2.

Table 5.2: Parameters representing the electrical properties of Si3N4 for different gate dielectrics.Gate Material Al ITO TiN/ITO

Breakdown field (MV/cm) 7.8 7.7 8.9Dit (cm−2eV−1) 2×1011 3.9×1011 1.1×1011

Qox (cm−2) 1.5×1012 1.2×1012 1.4×1012

The result shows, interface state densities and fixed oxide charge densities, as obtained from CVmeasurements, were found to be quite comparable between ITO, ITO/TiN and Al gates. Breakdownfields of the Nitride were measured to be 7.7 MV/cm, 8.9 MV/cm and 7.8 MV/cm with ITO,ITO/TiN and Al as gate electrodes, respectively. So, breakdown voltages are comparable for allcases.

From these results it can be concluded that the ITO, ITO/TiN and Al gates are comparable interms of their influence on the Nitride.

5.4.2 Trap spectroscopy results

Fig. 5.9 shows the CV characteristic obtained for the ITO/Si3N4/Si MOSCap device structure underdifferent conditions. The device was first programmed electrically by applying a gate bias of -15

70

Page 80: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

V for 1 s, which resulted in a negative shift of the CV characteristic, evidencing hole trapping inthe dielectric layer. It was observed that after programming, the CV characteristics moved slowlytowards its pre-stress state even at room temperature, which might be due to detrapping from shal-low states. Eventually, the CV stabilized with a significant left shift with respect to the initial state.In order to achieve the true stabilized state, the device was kept in floating condition in dark for12 hours. Afterward, three consecutive CV measurements were taken in 30 min intervals, whichdid not result in any further shift in the characteristics, ensuring that a stabilized state has beenobtained. After stabilization, the device was illuminated under a small positive gate bias of 2 V.The bias was needed to set up a field in the dielectric that would drive the detrapped holes towardsthe substrate. Note that the bias was too small to stress the dielectric. It is clear from Fig. 5.9 thatthe CV shifts to the right as the photon energy is scanned from the lower to the higher value of thespectrum, indicating hole detrapping mechanism.

Figure 5.9: CV characteristics obtained at different conditions; (a) before programming (solidsquares), (b) after programming (solid circles) and (c) 12 h after programming (solid triangle). CV,after 20 min of illumination with different excitation energies (red solid lines) are also shown.

Fig. 5.10 shows the flat band voltage (4VFB) as a function of the illumination energy. It maybe noted that the energy is varied from 0.4 eV (3102.5 nm) to 1 eV (1241 nm) in steps of 0.05 eVand then up to 1.6 eV (775.6 nm) in steps of 0.1 eV. At every step, the sample is illuminated for5min before CV measurements. It is evident from the Fig. 5.10 that V FB does not monotonicallyvary with the photon energy; rather it changes in steps at certain specific energy values, indicatingdetrapping of holes from different trap states at those photon energies. The energy position of thesetraps with respect to the valence band maximum can thus be obtained (marked by arrows in thefigure). The mechanism of detrapping can be understood from the schematic band diagram of our

71

Page 81: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

device shown in the inset of Fig. 5.10. Band diagram is drawn based on the reported literature.Valence band offset between Si and Si3N4 is approximately 1.9 eV [3]. Considering band gap of Si1.1 eV and band gap of Si3N4 is 4.7 eV [96], conduction band offset is deduced to 1.7 eV. Electronaffinity of Si3N4 is 2.1 eV [97] and work function of ITO is 4.4—4.5 eV [95]. So, conduction bandoffset between Si3N4 and ITO is nearly equal to 2.3 eV.

Figure 5.10: Flat band voltage as a function of excitation energy after 5 min of illumination. Inset:Pictorial description of detrapping mechanism. Band gap of Si3N4 has been considered as 4.7 eV[96].

Under illumination, holes can be detrapped from a certain trap level if the photon energymatches with the energy difference between the valence band and the trap level. This would resultin a rightward shift of the CV. Note that, the step occurring at 1.6 eV (red arrow) might be asso-ciated with the photo-excitation of electrons from Si to Si3N4 because this energy is close to theconduction band offset between the two materials. In order to understand the timescale involved inthese detrapping processes, CV measurements are carried out for different illumination time-spansat photon energy of 0.5 eV. It has been found that CV characteristic initially shifts with time butsaturates after 12 min of illumination. It is noteworthy that, saturation time is around 1 h for boththin Al [4] and thin Au [5] gate electrode. Actually, 4V FB versus photon energy for 5 min illu-minations is shown in Fig. 5.10. 5 min illumination is not sufficient time to obtain saturation in∆VFB, which means result of 5 min illumination is transitional, not steady state. The saturationvalue of the shift in flat band voltage (4V s

FB ) is measured after 20 min of illumination only atthose photon energies, where shifts in VFB were observed for 5 min illumination. Calculated trapdensity from4V s

FB is listed in Table 5.3.

72

Page 82: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

It is well documented that traps in the Silicon Nitride film is not positioned only at Si/dielectricinterface, but distributed in the bulk of the film [3, 24]. Moreover to estimate distribution of trappedcharge in our Nitride film photo-IV measurement was carried out following the work done by R. F.DeKeersmaecker and D. J. DiMaria [25]. In this work they studied trapping and detrapping charac-teristics of As+ doped SiO2 layer, embedded in Al (semitransparent)- SiO2-Si structure, by CV andphoto-IV measurements. To measure photo-current in their MOSCap structure (Si-SiO2-Al) pho-ton energy of 5 (4.5) eV was used for +ve (-ve) gate bias. For our study, Si/Si3N4/ITO structure hasbeen used to estimate physical distribution of traps in the Nitride layer. CBSi3N4-VBSi=2.9 eV andCBSi3N4-CBITO=2.3 eV. CB stands for conduction band and VB stands for valence band. Initiallyphotocurrent was measured as a function of positive (negative) gate bias under illumination by 405nm/3.1 eV (532 nm/2.3 eV) laser. After that, device was programmed by -15 V gate bias for 1 s.After programming, photocurrent was again measured in same condition as described above. Theresult is shown in Fig. 5.11.

Figure 5.11: Photocurrent as a function of gate voltage before and after charging of the Nitridelayer. Programming of the device was done by −15 V gate bias for 1 s.

For a fixed photocurrent the shift in gate voltage before and after programming can be expressedas

4V −G = V −

GB − V −GA = 1/ε (L− x)Q (5.1)

4V +G = V +

GB − V +GA = −Qx/ε (5.2)

where, (+ or -) refers to polarity of the gate voltage, a and b refers to the state before and after

73

Page 83: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

charging, Q is the integral of trapped space charge density in the insulator over thickness L and xis the position of the trapped charge centroid measured from metal/dielectric interface.

From the above expression it is clear that 4VG strongly depends on the position of the chargecentroid in the dielectric. 4V +

G is not sensitive to traps lying at Si3N4/ITO interface and 4V −G is

not sensitive to the trap levels lying at Si/Si3N4 interface. So, the significant shift in photocurrentafter programming for both positive and negative gate bias clearly indicates that traps in Nitridelayer are distributed in bulk of the dielectric.

For calculation of trap density, uniform spatial distribution of traps in Nitride layer [98, 99] hasbeen assumed. Trap density can be calculated using saturation value shin in V FB (i.e.,4V s

FB), fordifferent trap levels, following the equation [100],

4V SFB = ρX2

T/ (2εN) (5.3)

where, ρ is the trapped charge density (C/cm3) and is equal to qNT

NT = 2εN4V SFB/

(qX2

T

)(5.4)

where, XT is the thickness of the region where the trapped charges are distributed, which isconsidered to be the entire thickness of the Si3N4 layer in this case. εN is the dielectric permittivityof Si3N4 layer and NT is the volume trap density.

Table 5.3: 4V SFB and NT for different trap levels observed for Si3N4 layer.

Excitation wavelength (nm)/ energy (eV) 4V SFB V NT cm−3

2482/ 0.5 0.18 1.2×1018

1654.6 / 0.75 0.04 2.7×1017

1151.2 / 0.8 0.02 1.3×1017

1128.1 / 1.1 0.21 1.4×1018

775.6 / 1.6 0.1 6.8×1017

In Table 5.3, the trap density calculated (using 4V sFB) for different trap levels are listed. Note

that the highest trap density is observed for the trap levels located at 0.5 eV and 1.1 eV above thevalence band edge. It is noteworthy that Gritsenko et al. had also demonstrated the presence ofhole traps at similar energy locations in Si3N4 using thermally stimulated depolarization (TSD)technique [101].

74

Page 84: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Figure 5.12: Results of Photo CV measurements after illumination, of programmed device. Illumi-nation was carried out with photons of energy 0.4 eV, 0.7 eV and 0.5 eV respectively in that orderfor 20 min each.

Fig. 5.12 shows the CV characteristics for the device after exposing it to light of differentphoton energies for 20 min each in the following sequence: first with photon energy 0.4 eV thenwith 0.7 eV and finally 0.5 eV. After the first step of exposure, the CV slightly shifts towards thepositive direction, which might be due to the detrapping of holes from shallow traps. Interestingly,after the second step of exposure with 0.7 eV of photon energy, no shift is found in the CV. However,after the third step of exposure with smaller photon energy (0.5 eV) as compared to previous step,the CV shows a significant shift.

75

Page 85: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Figure 5.13: Schematic diagram of the detrapping process in k-space. | φ (k) |2 represents theprobability distribution of electron in the trap level.

These findings suggest that the hole trap level at ~ 0.5 eV is associated with a very narrowprobability distribution in the k-space such that, the valence band electrons with k-vector lyingwithin a small range can effectively be transferred to the hole trap state (shown schematically inFig. 5.13) [102]. Furthermore, this finding also implies that the k-nonconserving transitions viaphonon mediated processes are not very significant in this case.

We have performed these experiments with ITO/TiN gate structure as well. The presence of aprominent trap level at energy 0.5 eV has already been observed in Nitride layer. To compare thedetrapping efficiency for ITO and ITO/TiN gate, 4VFB of the programmed device was monitoredas a function of time for excitation energy 0.5 eV. Comparison of saturation time for ITO andTiN/ITO gate electrode is shown in Fig. 5.14. The result shows, shift in VFB almost saturates after12 min for ITO gate. But, for ITO/TiN gate saturation occurs after around 60 min.

76

Page 86: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Figure 5.14: Detrapping transient for ITO and ITO/TiN gate at excitation energy 0.5 eV.

Additionally, trap spectroscopy experiment was performed with ITO/TiN gate stack to find outwhether the gate structure has any influence on the trap characteristics of Silicon Nitride. Thedetrapping transient shows, saturation time for 4VFB is increased significantly for ITO/TiN gatestack compared to ITO alone (Fig. 5.14). For the trap spectroscopy experiment with ITO/TiN gate,we have scanned excitation energy from 0.4 eV to 1.6 eV with 0.1 eV increments. Device wasexcited for 30 min at each photon energy. The result is shown in Fig. 5.15.

77

Page 87: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Figure 5.15: Flat band voltage as a function of excitation energy after 30 min of illumination with10 nm TiN/80 nm ITO gate stack.

Result shows all the trap levels, which were obtained with ITO gate, could also be found in thiscase. The only difference was the illumination time; much longer illumination time was required incase of ITO/TiN gate as compared to ITO as the former is found to be less transparent. The resultstrongly suggests that the trap energy levels extracted above are intrinsic to the Nitride film and notrelated to the ITO deposition.

5.4.3 Comparison of results with reported literature

Our work was focused on characterization of trap levels lying below mid-gap in the Silicon Nitridethin film. Comparatively less reported literature is available on energy position of trap levels inSi3N4 film.

G. Rosenman et al. characterized Si3N4 film by TSEE technique. They used Si/SiO2/Si3N4

structure for their experiment [1]. The temperature of the sample was increased from 300 K to 550K. Considering Auger recombination, they calculated energy position of trap levels from TSEEglow curve. They found three trap levels lying at energy level (0.65-0.82) eV , (0.74-0.93) eVand (0.87-1.1) eV from conduction band edge. The result was also consistent with other reportedresults, (0.5-0.85) eV [103], (0.8-0.9) eV [104], (0.95-1) eV [105, 106] and 1.3 eV [107].

In another work, performed by the same group, the experiment was carried out up to highertemperature (850 K) [2]. Scanning up to higher temperature has shown another deeper trap level at1.73 eV. But no information about trap levels lying below mid-gap was reported in their work.

J. U. Lee et al. performed optical capacitance voltage characterization of the Si3N4 film, em-

78

Page 88: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

bedded in MNOS structure [108]. They reported deep trap level is lying over the energy 1.36-1.64eV from conduction band edge. Again, information about trap levels lying under mid-gap was notextracted.

V. I. Belyi and A. Rastorguyev performed their experiment on Si3N4 films. The nitride film wasdeposited by PECVD method [109]. They measured luminescence spectra of nitride film depositedon different substrates (GaAs and quartz substrate). They compared their results with the theoreticalmodel proposed by J. Robertson and proposed the existence of trap levels at energy (0.1 eV, 0.6eV, 0.8 eV, 1.8 eV) from valence band edge and 0.8 eV, 1.6 eV, 2 eV) from conduction band edge.In our work also we found a significant change in VFB at 1.6 eV. But, as this energy is almostmatching with conduction band offset between Si and Si3N4, the shift was not considered.

V. A. Gritsenko et al. studied Si3N4 film, deposited by LPCVD technique. In their work, holetrap characterization of the Si3N4 film was done using thermally stimulated depolarization (TSD)spectroscopy method [101]. The aim of their work matches most with our work. Also, Si3N4 filmswere deposited using the same technique (LPCVD). In their work also, VFB was measured at eachstep to track the amount of depolarization. They have reported the existence of two trap levels at0.75 eV and 1.07 eV from valence band edge. In our study, two trap levels have been obtained at0.5 eV and 1.1 eV (from valence band edge). So, one trap level is almost matching with their result(1.07 eV and 1.1 eV). Second trap level was found to be 0.25 eV deeper compared to our result.In their work, deposition ambient and RI of the deposited film have not been mentioned. Differentfilm composition might be a reason for the discrepancy.

79

Page 89: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Table 5.4: Energy position of electron and hole trap levels in Si3N4 thin films.

5.5 Conclusion

The density and energetic position of hole trap centers in Si3N4 deposited by chemical vapor depo-sition was investigated using a modified photo-detrapping method. Two prominent hole trap levelsat 0.5 eV and 1.1 eV above the valence band edge have been detected. It is also found that phononassisted detrapping of holes is not a dominant mechanism at least for the 0.5 eV trap level in Si3N4.The technique can also be applied to characterize the electron trap levels. To characterize the traplevels above mid-gap, the device would be first programmed by positive gate bias to fill all statesby electrons. The consecutive experiments could be followed in the same way, as discussed above.

80

Page 90: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Chapter 6

Study on UV Stability of Al2O3 Thin Films

Recent studies show, Al2O3 film is a promising candidate as passivation layer for p-type Si sur-faces in solar cells. Positive response of Al2O3 film to UV illumination makes it more acceptablecompared to Si3N4 and SiO2 films.

Negative charge density is increased in Al2O3 film after UV illumination. B. Liao et al. pro-posed a model to demonstrate the increase in negative charges in Al2O3 layer due to injection ofelectron from Si to Al2O3 during the illumination. According to their model electrons can eithertunnel through thin interfacial oxide layer or can be photo-injected from Si valence band into con-duction band of Al2O3 by multiple photon induced electron injection dynamics. After that, fromconduction band of Al2O3, electrons are diffused to trap sites in Al2O3.

Al2O3 layers, deposited by various methods, were proposed for its use as passivation layer.Literature shows ALD-Al2O3 is a promising candidate as passivation layer. T. T. Li and A. Cuevasreported that, sputtered Al2O3 also shows good passivation but not as good as ALD-Al2O3 [110].

Behavior of Al2O3 film against UV illumination has been studied by different researchers. Butcomparatively less work is reported about stability of UV induced charge in Al2O3 layer. In ourwok performance of Al2O3 deposited by both sputtering and ALD method has been studied. Also,hardness of UV induced negative charge against optical and electrical stress has been examined.

Hoex et al. have shown that passivation effect increases with thickness of Al2O3 layer andalmost saturates after 15 nm [111]. In this study thickness of Al2O3 layer is taken around 20 nm.

6.1 Experiments

The schematic structure of the device, used for this study, is shown in Fig 6.1.

81

Page 91: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Figure 6.1: Schematic structure of the device.

Thickness was measured for all cases by ellipsometer. After that device was mounted in thesame T-stand as shown in Fig. 5.5. The sample was illuminated by a high power (30 mW) lasersource of wavelength 325 nm for different time duration. Helium-Cadmium (Hd-Cd) laser wasused as source. A glass slide was placed between sample and laser source (as intensity was veryhigh). CV measurements were performed before and after each step of illumination. Flat bandvoltage (V FB) was tracked to follow effect of UV illumination on the film or more specifically toobserve the change in amount of space charge in the film.

6.2 Sample preparation

6.2.1 Sputtering method

Sputtered Al2O3 film was deposited by reactive sputter system on RCA cleaned p-Si wafer withresistivity 1-5 Ω-cm. Al2O3 film was deposited at 1000 W in Ar:O2=10:55 sccm for 240 s. Postdeposition annealing was done at 520 C for 20 min in N2+O2 ambient.

Work done by M. Bhaisare et al. shows, comparative study of sputtered films annealed atdifferent temperatures (420-520 C) and in different ambients (forming gas, N2 and N2+O2) [112].The study shows that film annealed at 520 C in N2+O2 ambient shows minimum Dit and lowsurface passivation velocity. Also, this shows possibility of low cost process, as N2+O2 mixturecan be replaced by dry air. Following the work, in this study also PDA has been performed at 520C in N2+O2 ambient.

6.2.2 ALD method

The film is deposited by thermal ALD process at 200 C, with H2O and Trimethyl Aluminum(TMA) precursor. The film is deposited by 200 cycles with 0.1 nm per cycle deposition rate. ForALD films also PDA was performed in N2+O2 ambient for 20 min at 520 C.

After Al2O3 deposition by both methods, ITO film was deposited using Si hard mask, on sam-ples with and without PDA by RF sputter system. ITO deposition was followed by 20 min annealing

82

Page 92: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

in Ar ambient at 400 C. The ITO layer, used in this work, has an average transmittance of 84% forwavelength range 360 nm to 3300 nm. At 325 nm ITO layer has 65% transmittance. Back side Almetalization was done by thermal evaporation.

6.3 Results and discussions

6.3.1 Thickness and RI measurements

Thickness of the films, prepared by sputter and ALD systems, have been measured using ellip-someter. The measured thickness and RI are given in Table 6.1.

Table 6.1: Thickness and RI of the films deposited by sputter and ALD systems.Al2O3 Thickness (nm) RI

Sputtered, Unannealed 23.6 1.682Sputtered, Annealed 21 1.604ALD, Unannealed 20.6 1.666ALD, Annealed 20.9 1.64

The result shows after annealing noticeable change in thickness is observed for sputtered film,which means annealing results in denser film compared to as-deposited film. Such effect is notobserved for ALD film. ALD process produces denser film compared to sputter method.

6.3.2 Band gap measurements

Al2O3 films deposited on quartz wafer were used for the measurement of the band gap. The bandgap of the deposited films were determined from UV-Vis data using Tauc plot. Transmittance of thefilms were measured using Perkin Elmer Lambda-750 spectrometer. Band gap is measured usingTauc plot [56, 86]. Band gap of sputtered Al2O3 films were measured by my co-worker M. Bhaisareand it is found to be 3.46 eV and 4.25 eV for unannealed and annealed sample respectively [113].Higher band gap is obtained for ALD-Al2O3, as shown in Fig. 6.2.

83

Page 93: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Figure 6.2: Tauc plot for ALD-Al2O3 with and without PDA.

5.84 eV band gap is obtained for the unannealed ALD-Al2O3 sample. For this case also bandgap increases after annealing. After PDA band gap is found to be 6.2 eV.

6.4 UV stability measurements

To measure UV stability of sputtered film, device was illuminated by 325 nm laser without anygate bias (open circuit condition). After certain intervals of illumination time, CV measurementswere performed to track change in fixed oxide charge density. Fig. 6.3 shows the shift in VMG as afunction of illumination time for sample 2 (sputtered and annealed) and 4 (ALD and annealed).

Fig. 6.3 shows that QOX saturates within 30 minutes of illumination for both the sputtered andALD deposited films. Interface charge density (Dit) for both the samples were calculated usingTermann method [84]. The values of Dit were found to be 2.8×1012 cm−2eV−1 and 2.5×1012

cm−2eV−1 for initial sputtered and ALD films respectively. For both the samples, no detectablechanges in Dit were found after illumination.

84

Page 94: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Figure 6.3: Fixed oxide charge (QOX) as a function of illumination time for (a) sputtered and (b)ALD-Al2O3 films.

Figure 6.4: CV characteristics obtained for (a) sample 2 (b) sample 2 after 60 min of illumination(c) sample 4 and (d) sample 4 after 60 min of illumination.

Fig. 6.4 shows CV characteristics at initial state and after 60 min of UV illumination for an-nealed Al2O3 deposited by sputter and ALD technique. Initial CV characteristics for samples 2 and4 clearly show that, fixed negative charge densities are nearly identical for both the films (5.4×1012

85

Page 95: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

cm−2 for sputtered film and 5.8×1012 cm−2 ALD film). As discussed earlier, values of Dit are alsonearly equal. So, initially both the films are equally qualified to be used as a passivation layer. But,result also shows increase of negative charge occurs due to UV illumination and the difference inoxide charge density is more prominent between the films after illumination. For sputtered filmfixed charge increases to 5.5×1012 cm−2 where, for ALD film fixed negative charge density is in-creased 1013 cm−2. For both cases no significant change in interface trap density is observed afterillumination.

It is reported that the increase in negative charge density is due to photo-injection of electronsfrom Si to dielectric [10]. Lower band gap of sputtered film may lead to easy tunneling of theelectrons across the dielectric, leading to lower charge retention. It should be mentioned here that,work done by Hussain et al. shows work function of ITO is increased from 4.31 to 4.81 eV asO2 flow rate increases in sputtering ambient from 0 to 0.1 sccm [114]. In our work ITO has alsobeen deposited by RF magnetron sputtering system in absence of O2. So, all calculations have beenperformed considering work function of ITO is 4.31 eV. Hazel and Jaeger reported an increase innegative charge due to photo-injection of electron from Si valence band to Al2O3 over interfacialSiO2 layer, the threshold energy for this effect is 4.1 eV (i.e., λ=302 nm) [10]. But the result showselectron injection is possible even at lower energy (325 nm i.e., E = 3.8 eV). B. Liao et al. proposedthat during UV illumination electron from Si can reach interfacial-SiO2/Al2O3 in two ways: (i)electrons, from Si valence band, can overcome the barrier at Si/SiO2 interface (ii) electrons cantunnel through the interfacial SiO2 layer. The result actually supports the model proposed by B.Liao et al., as the interfacial SiO2 layer is very thin (~ 1 nm) electrons can easily tunnel throughthis layer and get trapped in Al2O3 film [9].

Also, it is reported that for sputtered film interfacial oxide layer is quite thicker (~ 8 nm) com-pared to ALD film [112]. So, tunneling probability is less for sputtered film. This also explainscomparatively smaller UV induced shift in VMG for sputtered film. It is noteworthy that, spectralpower distribution of sunlight at sea level shows insignificant contribution from wavelength rangebelow 325 nm [115]. So, tunneling model is more relevant than photo-injection over the barrier.

So, it can be decided that sputtered film is more robust to UV illumination (or UV componentof sunlight) compared to ALD film due to presence of thicker interfacial layer.

86

Page 96: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Figure 6.5: CV characteristics after different sequential steps: (a) initial state (b) after illuminationof the device by 325 nm laser for 60 min (no gate bias) (c) after illumination of device under 405nm laser with +1.5 V gate bias for 20 min (d) voltage stress by -12 V for 1 s and (e) voltage stressby -12 V for another 1 s.

Fig. 6.5 shows CV characteristics of as-deposited ALD film after different sequential steps.First, the unannealed sample was illuminated by 325 nm laser (in open circuit condition) for 60min to ensure that ∆VFB is saturated (Fig. 6.5 (b)). After that device was illuminated by 405 nmlaser under small positive gate bias (+1.5 V) to release the trapped electrons. The CV characteristicis shown in Fig. 6.5 (c). Then, a voltage stress of -12 V was applied to the device for 1 s and asequential measurement was performed (Fig. 6.5 (d)). Finally, the voltage stress part was repeatedand the characteristic is shown in Fig. 6.5 (e). Values of QOX and Dit, calculated from CVcharacteristics, are listed in Table 6.2.

Table 6.2: Values of QOX and Dit calculated from CV characteristics.

A careful observation in initial CV of annealed (Fig. 6.4 (c)) and as-deposited sample (Fig. 6.5(a)) gives an idea how annealing affects the passivation quality of the film. It is already mentioned

87

Page 97: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

that fixed negative charge density for annealed sample is 5.8×1012 cm−2 where, for as depositedsample fixed charge density is 5.2×1012 cm−2. The difference is not significant. Also, there isalways probability of degradation of interface when device goes through temperature treatment.Already it is observed that UV illumination introduces negative charge density. Calculation shows,after 60 min illumination of the as-deposited sample charge density is increased to 9.1×1012 cm−2.

Now it should be noted here that, annealing introduces negative charges mostly by structuralmodification and therefore introduced charges are stable. But UV illumination increases chargedensity by electron injection. So the question arises whether the charge introduced optically arestable or not. To ensure that additional experiments have been performed. After UV illumina-tion of as deposited sample we have performed trap spectroscopy experiment on that device. Inthis experiment device was excited by different excitation energy under a small positive gate bias(+1.5 V). The excitation energy was varied from 0.4 eV to 4 eV. Here, dual source of Perkin ElmerLambda-750 spectrometer was used as source of illuminating energy. It was expected that depend-ing on energy position of trap levels in Al2O3 film, at some specific illuminating energies trappedelectrons would be released. But no significant shift was obtained (result is not shown here). So,trapped electrons are either at very deep levels or trap levels are with very high emission cross sec-tion. To confirm the optical stability of the charge, device was then illuminated with high intensitylaser of wavelength 405 nm under 1.5 eV positive gate bias. As shown in figure, in this case alsono significant shift is observed (Fig. 6.5 (c)). Also, no significant change in Dit is observed due toillumination. In solar cell device dielectric faces negligible electric field. Therefore, electrical de-trapping of UV induced charges is not also possible. So, results indicate annealing can be replacedby UV illumination.

Additional experiment was performed to examine electrical hardness of the optically inducednegative charges. In this case device was stressed by -12 V (i.e., 6 MV/cm) for 1 s (Fig. 6.5 (d)).The device was stressed again for another 1 s and no further shift in CV was obtained, as shownin Fig. 6.5 (e). As voltage stress was applied for very small time duration, it can be assumed thatno additional charge state was generated during the voltage stress. It is observed that significant−4VMG is obtained after first electrical stress. Negative voltage stress produces -0.49 V shift in flatband voltage which is equivalent to decrease in negative oxide charge by an amount of ~ 1.5×1012

cm−2. Now negative shift can be achieved due to both electron detrapping or hole trapping. To getestimation of these two effects a fresh device was also stressed by same amount of negative fieldand that produces 4VMG=−0.13 V (4QOX~0.5×1012 cm−2) (Fig. 6.6). For the fresh device itcan be expected that,4VMG comes from hole trapping.

88

Page 98: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Figure 6.6: (a) Initial CV characteristic of a fresh device and (b) CV profile after voltage stress by-12 V for 1 s.

Results show, for unannealed ALD sample, approximately -0.36 V (-0.49+0.13 V) shift inVMG is obtained by electron detrapping, whereas illumination produced +1.43 V (3.8-2.37) changein VMG. In other words, 60 min of illumination increased QOX by an amount ~ 4×1012 cm−2.Afterward, as device was stressed by -12 V, QOX was decreased by ~ 1.5×1012 cm−2 which is acombined effect of detrapping of photo-injected electrons (~ 1×1012 cm−2) and trapping of holes(~ 0.5×1012 cm−2).

So, results clearly indicate photo induced negative charge in Al2O3 film is stable against opticalstress and partly stable against electrical stress.

6.4.1 Comparison of results with reported literature

It is well reported that performance of Si3N4 and SiO2 degrades after UV illumination. But somecontradictory statements are reported regarding performance of the Al2O3 film after UV illumi-nation. J. Schmidt et al., reported that, after UV illumination no significant improvement in aneffective lifetime for an Al2O3 passivated wafer was observed [116]. Contrary to this, many othergroups have reported improvement in performance after UV illumination [9, 80]. The improvementis attributed to photo-injection of electrons from Si substrate. There is no clear evidence whetherUV illumination introduces any structural modification or not. If improvement occurs only dueto photo-injection of charges then the stability of those injected charges are also important. Com-paratively limited works have been reported on the stability of injected charges. B. Liao et al.illuminated Si wafer with double side passivated by Al2O3. They found after illumination, effec-

89

Page 99: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

tive lifetime was increased. After illumination, the device was kept in dark condition for a longduration. It was observed that in dark condition effective lifetime was decreased to the initial stateafter around 600 h (~ 25 days). This result indicates photo-injected charges are quite stable. Ourresult also shows the stability of injected charges even against optical stress.

Vandana et al. studied annealing effect on ALD-Al2O3 film. They used Al-Al2O3-Si structurefor their study [117]. Post deposition annealing was performed by rapid thermal processing (RTP)at 400 C. Qox and Dit were calculated from CV characteristics. Qox and Dit were found to be1.22×1012 cm−2 and 1.4×1012 cm−2eV−1 respectively for annealed film. In our study correspond-ing values are found to be Qox=5.8×1012 cm−2 and Dit =2.5×1012 cm−2eV−1. Probably higherannealing temperature (520 C), in our study, results in the difference.

J. M. Rafi performed quite a similar experiment with our study [118]. In their study Mercuryprobe setup was used to measure CV characteristics of the ALD-Al2O3/Si structure. Qox was foundto be increased from 3.6×1012 to 5.6×1012 cm−2 after annealing at 450 C. In contrast with ourresult and also many reported literature, Qox was decreased after UV illumination of as-depositedsample.

6.5 Conclusion

The passivation quality of annealed Al2O3 films deposited by ALD and sputter method has beencompared. Sputtered Al2O3 film is more robust to UV illumination due to its thicker interfaciallayer. Result also shows after UV illumination negative charge density in ALD-Al2O3 film issignificantly higher compared to the annealed sputtered film. This suggests that, sputtered Al2O3

film may not be a good passivation layer compared to ALD-Al2O3. Also, for ALD film the increasein negative charge due to both annealing and UV illumination has been tested. UV illuminationintroduces significantly higher negative charge density compared to annealing step. It has also beenfound that optically introduced charges are stable against optical stress and partly stable againstelectrical stress.

90

Page 100: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Chapter 7

Conclusions and Future Scope

7.1 Conclusions

Process has been optimized successfully to obtain ITO layer with higher transmittance in visibleand NIR range by RF sputtering method. It is reported that film with (400) crystal orientation hashigher carrier concentration, which results in fall in transmittance in the NIR region due to freecarrier absorption. The literature also indicates only presence of O2 in sputtering ambient causeschange in preferential orientation from (400) to (222). In a significant departure from most of thereported work on sputter deposited ITO, we have heated the samples during deposition. In this caseeven in 99.999% Ar ambient, (222) orientation has been obtained. It has been observed that, effectof substrate temperature cannot be replaced by PDA. For the film deposited at room temperature,presence of (400) peak was observed even after PDA. Best electrical and optical property hasbeen obtained with resistivity 10−3 Ω-cm and with average transmittance of 84.4%, 90.2% and85.3% up to wavelength 800, 2500 and 3300 nm respectively. The result shows that annealingimproves conductivity of the film significantly. Transmittance of the film has not been affectedmuch by annealing. Transmittance of the ITO film, deposited at higher RF power shows betteroptical property.

Modified trap spectroscopy technique has been established successfully using the optimizedITO layer. Si3N4 film, deposited by chemical vapor deposition was investigated using modifiedtrap spectroscopy method. Two prominent hole trap levels at 0.5 eV and 1.1 eV above the valenceband edge have been detected. It is also found that phonon assisted detrapping of holes is not adominant mechanism in Si3N4 film.

UV effect of Al2O3 layer deposited by PVD and ALD method has been studied to find itssuitability as passivation layer in solar cell. Result shows ALD-Al2O3 is better candidate for thatapplication compared to sputtered film. UV illumination improves performance of ALD film byphoto-injection of negative charge in Al2O3 layer. UV induced charges are found to be stableagainst optical stress and partially stable against electrical stress.

91

Page 101: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

7.2 Future scope

Characterization of electron trap levels has not been attempted in this study. The same study canbe done using trap spectroscopy method. Also, by this technique probability distribution functionfor each trap level can be found by minute observation of detrapping transient from correspondinglevel. Bulk trap level characterization of other wide band gap materials can also be investigated bythis technique.

Trap spectroscopy can be applied to Silicon Nitride deposited by PECVD, which is widely usedin Silicon solar cells.

Trap spectroscopy technique can be used to find the energy levels of the trap sites in Al2O3 filmwhere UV induced charges are stored. Charge trapping and detrapping dynamics in Al2O3 film canbe understood more minutely by performing such experiments.

In previous literature, it is only postulated that increase in negative charge due to electron injec-tion. There is no clear experimental evidence that UV introduces charges by only electron injectionor also by structural modification. To investigate that, first we need to find the temperature belowwhich no annealing effect is observed (say,TX). Then an UV illuminated (as-deposited) device canbe annealed at temperature below TX . If UV induced shift is due to electron injection only then theshift can be recovered.

A recent study has shown deep UV light (low pressure mercury lamp) results in densifica-tion of different sol-gel films (Indium Gallium Zinc Oxide (IGZO), Indium Zinc Oxide (IZO),In2O3 [119]. Sol-gel Al2O3 is also used as passivation layer [120]. But required high temperaturetreatment restricts its application for polymer substrate. So, it would be interesting to investigatewhether UV illumination can avoid the high temperature treatment for sol-gel film. F. Tzompantziet al. have shown XRD pattern of sol-gel Al2O3, annealed at different temperatures [121]. XRDmeasurement after UV illuminated as-deposited sample can correlate with the annealing tempera-ture.

92

Page 102: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

Bibliography

[1] G. Rosenman et al., “Exoelectron emission spectroscopy of silicon nitride thin films”, Appl.Phys. Lett., 80, p. 2743 (2002).

[2] M. Naich et al., “Exoelectron emission studies of trap spectrum in ultrathin amorphousSi3N4 films”, Solid State Elect., 48, p. 477 (2004).

[3] V. J. Kapoor and R. A.Turi, “Charge storage and distribution in the nitride layer of themetalnitrideoxide semiconductor structures”, J. Appl. Phys., 52, p. 311 (1981).

[4] S. B. Bibyk and V. J. Kapoor, “Photoionization cross section of electron traps in siliconnitride films”, J. Appl. Phys., 52, p. 7313 (1981).

[5] W. C. Wang et al., “Band alignment and electron traps in Y2O3 layers on (100)Si”, Appl.Phys. Lett., 95, p. 132903 (2009).

[6] G. Dingemans and W. M. M. Kessels, “Status and prospects of Al2O3-based surface passi-vation schemes for silicon solar cells”, J. Vac. Sci. & Tech. A, 30, p. 040802 (2012).

[7] P. E. Gruenbaum, R. A. Sinton and R. M. Swanson, “Light induced degradation at the sili-con/silicon dioxide interface”, Appl. Phys. Lett., 52, p. 1407 (1988).

[8] T. Lauinger et al., “Record low surface recombination velocities on 1 Ω-cm p-silicon usingremote plasma silicon nitride passivation”, Appl. Phys. Lett., 68, p. 1232 (1996).

[9] B. Liao et al., “The effect of light soaking on crystalline silicon surface passivation by atomiclayer deposited Al2O3”, J. Appl. Phys., 113, p. 024509 (2013).

[10] R. Hezel and K. Jaeger., “Low temperature surface passivation of silicon for solar cells”, J.Electrochem. Soc, 136, p.518 (1989).

[11] J. S. Brugler and P. G. A. Jespers, “Charge Pumping in MOS Devices”, IEEE Trans. Elec.Dev., 16, p. 297 (1969).

[12] G. Groeseneken et al., “Reliable Approach to Charge-Pumping Measurements in MOS Tran-sistors”, IEEE Trans. Elec. Dev., 31, p. 42 (1984).

93

Page 103: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

[13] R. E. Paulsen et al., “Observation of Near-Interface Oxide Traps with the Charge-PumpingTechnique”, IEEE Elect. Dev. Lett., 13, p. 627 (1992).

[14] P. Masson et. al., “On the tunneling component of charge pumping current in ultrathin gateoxide MOSFETs”, IEEE Elect. Dev. Lett., 20, p. 92 (1999).

[15] M. H. Woods and R. Williams, “Hole traps in silicon dioxide”, J. Appl. Phys, 47, p. 1082(1976).

[16] R. Gusmeroli et al., “Defects spectroscopy in SiO2 by statistical random telegraph noiseanalysis”, IEDM Tech. Dig., p. 483 (2006).

[17] A. Neugroschel et. al., “Direct-current measurements of oxide and traps on oxidized silicon”,IEEE Trans. Elec. Dev., 42, p. 1657 (1995).

[18] Y. Wang and C. T. Sah, "Lateral profiling of impurity surface concentration in submicronmetal-oxide- silicon transistors", J. App. Phys., 90, p. 3539 (2001).

[19] Y. Wang, A. Neugroschel and C. T. Sah, "Temperature dependence of surface recombinationcurrent in MOS transistors", IEEE Trans. Elec. Dev.,48, p. 2095 (2001).

[20] C. T. Sah, “DCIV Diagnosis for Sub micron MOS Transistor Design, Process, Reliabilityand manufacturing”, Proc. ICSICT, p. 1 (2001).

[21] C. T. Sah, “A New Semiconductor Tetrode-The Surface-Potential Controlled Transistor”,Proc. IRE, p. 1623 (1961).

[22] J. G. Simmons, G. W. Taylor and M. C. Tam, “Thermally Stimulated Currents in Semi-conductors and Insulators Having Arbitrary Trap Distributions”, Phys. Rev. B, 7, p. 3714(1973).

[23] J. G. Simmons and G. W. Taylor, “High-Field Isothermal Currents and Thermally StimulatedCurrents in Insulators Having Discrete Trapping Levels”, Phys. Rev. B, 5, p. 3714 (1972).

[24] S.S. Hullavarad et al., “Unpinning of surface state at 0.92 eV by nanocrystalline ZnSe onGaAs”, Thin Solid Films, 381, p.69 (2001).

[25] R. F. DeKeersmaecker and D. J. Maria, “Electron trapping and detrapping characteristics ofarsenic-implanted SiO2 layers”, J. Appl. Phys., 51, p. 1085 (1979).

[26] D. J. Maria and F. J. Feigl, “Photocurent spectroscopy in thin-film insulators: Voltage de-pendence of the external-circuit current", Phys. Rev. B, 9, p. 1874 (1974).

[27] R. J. Powel and C. N. Berglund, “Photoinjection Studies of Charge Distributions in Oxidesof MOS Structures”, J. Appl. Phys., 42, p. 4390 (1971).

94

Page 104: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

[28] D Felnhofer et al., “Charge trapping and detrapping in HfO2 high-k MOS capacitors usinginternal photoemission”, Microelectronic Engineering, 80, p. 58 (2005).

[29] D. Felnhofer et al., “Photocurrent measurements for oxide charge characterization of high-kdielectric metal oxide semiconductor capacitors”, J. Appl. Phys., 103, p. 054101, (2008).

[30] G. Hass and J. E. Waylonis, “Optical Constants and Reflectance and Transmittance of Evap-orated Aluminum in the Visible and Ultraviolet”, J. Opt. Soc. America, 51, p. 719 (1961).

[31] M. S. R. Khan and A. Reza, “Optical and Electrical Properties of Optimized Thin Gold Filmsas Top Layer of MIS Solar Cells”, Appl. Phys. A, 54, p. 204 (1992).

[32] W. Gotz et al., “Deep level defects in n-type GaN”, Appl. Phys. Lett., 65, p. 463 (1994).

[33] W.I. Lee et al., “Effects of column III alkyl sources on deep levels in GaN grown byorganometallic vapor phase epitaxy”, Appl. Phys. Lett., 67, p. 1721 (1995).

[34] P. Hacke et al., “Deep levels in the upper band-gap region of lightly Mg-doped GaN”, Appl.Phys. Lett., 68, p. 1362 (1996).

[35] W. Gotz et al., “Photoemission capacitance transient spectroscopy of n-type GaN”, Appl.Phys. Lett., 66, p. 1340 (1995).

[36] M. Suzuki and T. Uenoyama, “Strain effect on electronic and optical properties ofGaN/AlGaN quantum-well lasers”, J. Appl. Phys., 80, p. 6868 (1996).

[37] L. Balagurov and P.J. Chong, “Study of deep level defects in n-GaN by the optical transmis-sion method”, Appl. Phys. Lett., 68, p. 43 (1996).

[38] C.H. Qiu et al., “Study of defect states in GaN films by photoconductivity measurement”,Appl. Phys. Lett., 66, p. 2712 (1995).

[39] X. Xu et al., “Photo-stimulated current spectroscopy and its application in detecting alu-minum implantation-induced deep traps in GaN”, Thin Solid Films, 416, p. 294 (2002).

[40] I. Hamberg et al., “High quality transparent heat reflectors of reactively evaporated indiumtin oxide”, Appl. Phys. Lett., 40, p. 362 (1982).

[41] L. A. Ryabova et al., “Transparent conductive films of In2O3:Sn prepared by the pyrolysismethod”, Thin Solid Films, 92, p. 327 (1982).

[42] T. Maruyama et al., “Indium tin oxide thin films prepared by chemical vapour deposition”,Thin Solid Films, 203, p. 297 (1991).

[43] J. C. Manifacier et al., “In2O3 : (Sn) and SnO2: (F) films - application to solar energyconversion - 2 electrical and optical properties”, Mater. Res. Bull., 14, p. 163 (1979).

95

Page 105: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

[44] D. Gallagher et al., “Amorphous and crystalline dip coatings obtained from organometallicsolutions: Procedures, chemical processes and products", J. Mater. Res., 8, p. 3135 (1993).

[45] A. Kawada, “Indium-tin oxide deposition by d.c. reactive sputtering on a low softening pointmaterial”, Thin Solid Films, 191, p. 297 (1990).

[46] M. Fujinaka and A. A. Berezin, “Tin-doped In2O3 films deposited by r.f. sputtering”, ThinSolid Films, 101, p. 7 (1983).

[47] D.K. Maurya, “Effects of post-thermal treatment on the properties of rf reactive sputteredITO films”, Microelectronics Journal, 38, p. 76 (2007).

[48] M. Chuang, “ITO Films Prepared by Long-throw Magnetron Sputtering without OxygenPartial Pressure”, J. Mater. Sci. Technol., 26, p. 577 (2010).

[49] Y. K. Su et al., “4H-SiC metal–semiconductor–metal ultraviolet photodetectors with Ni/ITOelectrodes”, Solid-State Electronics, 46, p. 2237 (2002).

[50] H. N. Cui et al., “Influence of oxygen/argon pressure ratio on the morphology, optical andelectrical properties of ITO thin films deposited at room temperature”, Vacuum, 82, p. 1507(2008).

[51] M. Buchanan, J. B. Webb and D. F. Williams, “Preparation of conducting and transparentthin films of tin doped indium oxide by magnetron sputtering”, Appl. Phys. Lett., 37, p.213(1980).

[52] R. Bingyan et al., “Preparation and characteristics of indium tin oxide (ITO) thin films atlow temperature by r . f . magnetron sputtering”, Rare Metals, 25, p .137 (2006).

[53] N. M. Khusayfan and M.M. El-Nahass, “Study of Structure and Electro-Optical Character-istics of Indium Tin Oxide Thin Films”, Adv. Cond. Mat. Phys., 2013, p. 1 (2013).

[54] D. H. Kim et al., “Thickness dependence of electrical properties of ITO film deposited on aplastic substrate by RF magnetron sputtering”, Appl. Sur. Sc., 253, p. 409 (2006).

[55] J. H. Kim et al., “Effects of oxygen partial pressure on the preferential orientation and surfacemorphology of ITO films grown by RF magnetron sputtering”, J. Electroceram, 23, p. 169(2009).

[56] H.R. Fallah, “The effect of annealing on structural, electrical and optical properties of nanos-tructured ITO films prepared by e-beam evaporation”, Mater. Res. Bull., 42, p. 487 (2007).

[57] J. Liu, D. Wu and S. Zeng, “Influence of temperature and layers on the characterization ofITO films”, J. of mat. Proc. Tech., 209, p. 3943 (2009).

96

Page 106: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

[58] O.Tuna et al., “High quality ITO thin films grown by dc and RF sputtering without oxygen”,J. Phys. D: Appl. Phys., 43, p. 055402 (2010).

[59] F. Kurdesau et al., “Comparative study of ITO layers deposited by DC and RF magnetronsputtering at room temperature”, J. of Non-Cryst. Solids, 352, p. 1466 (2006).

[60] C. Guille´n and J. Herrero, “Comparison study of ITO thin films deposited by sputtering atroom temperature onto polymer and glass substrates”, Thin Solid Films, 480, p. 129 (2005).

[61] B. Zhang et al., “Electrical and optical properties of ITO and ITO:Zr transparent conductingfilms”, Mat. Sc. in Sem. Proc., 10, p. 264 (2007).

[62] M. Bender et al., “Dependence of film composition and thicknesses on optical and electricalproperties of ITO–metal–ITO multilayers”, Thin Solid Films, 326, p.72 (1998).

[63] M.D. Benoy et al., “Thickness dependence of the properties of indium tin oxide (ITO)FILMS prepared by activated reactive evaporation”, Braz. J. of Physics, 39, p. 629 (2009).

[64] M. M. Abd El-Raheem et al., “Improvement of the optical properties of IT O/SiO2/Glassfilms for photovoltaic applications”, J. of non-oxide glasses, 2, p. 67 (2010).

[65] H. M. Ali, H. A. Mohamed and S. H. Mohamed, “Enhancement of the optical and electricalproperties of ITO thinfilms deposited by electron beam evaporation technique”, Eur. Phys.J. Appl. Phys., 31, p. 87 (2005).

[66] P. Drude, “Zur Elektronentheorie der Metalle”, Annalen der Physik, 306, p. 566 (1900).

[67] Y. Kamigaki et. al., “A new portrayal of electron and hole traps in amorphous silicon nitride”,J. Appl. Phys, 68, p. 2211 (1990).

[68] K. L. Ngai and Y. Hsia, “Empirical study of the metalnitrideoxidesemiconductor devicecharacteristics deduced from a microscopic model of memory traps”, Appl. Phys. Lett, 41,p.159 (1982).

[69] J. Robertson, “Defect and impurity states in silicon nitride”, J. Appl. Phys, 44, p. 415 (1984).

[70] C. T. Kirk, Jr., “Valence alternation pair model of charge storage in MNOS memory devices”,J. Appi. Phys, 50, p. 4190 (1979).

[71] V. J. Kapoor, R. S. Bailey and H. J. Stein, “Hydrogen-related memory traps in thin siliconnitride films”, J. Vac. Sci. Technol. A, 1, p. 600 (1983).

[72] D. T. Krick, P. M. Lenahan and J. Kanicki, “Electrically active point defects in amorphoussilicon nitride: An illumination and charge injection study”, Appl. Phys. Lett, 51, p. 608(1987).

97

Page 107: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

[73] P. W. Anderson, “Model for the Electronic Structure of Amorphous Semiconductors”, Phys.Rev. Lett, 34, p. 953 (1975).

[74] J. Robertson and M. J. Powell, “Gap states in silicon nitride”, J. Appl. Phys, 44, p.415 (1984).

[75] O. Schultz et al., “Thermal oxidation for crystalline silicon solar cells exceeding 19% effi-ciency applying industrially feasible process technology”, Prog. in photovoltaic, 16, p. 317(2008).

[76] G. Dingemans et al., “Stability of Al2O3 and Al2O3/a − SiNx : H stacks for surface passi-vation of crystalline silicon”, J. of Appl. Phys., 106, p. 114907 (2009).

[77] W. L. Warren et al., “Electron paramagnetic resonance investigation of charge trapping cen-ters in amorphous silicon nitride films”, J. Appl Physics, 74, p. 4034 (1993).

[78] K. Jaeger and R. Hezel, “A novel thin silicon solar cell with Al2O3 as surface passivation”,Proc. 18th IEEE PVSC, Las Vegas, p. 1752-1753 (1985).

[79] G. Agostinelli et al., “Very low surface recombination velocities on p-type silicon waferspassivated with a dielectric with fixed negative charge”, Sol. Energy Mater. Sol. Cells, 90,p.3438 (2006).

[80] B. Hoex et al., “Ultralow surface recombination of c-Si substrates passivated by plasmaassisted atomic layer deposited Al2O3”, Appl. Phys. Lett., 89, p. 042112 (2006).

[81] G. Lucovsky, “A chemical bonding model for the native oxides of the III–V compoundsemiconductors”, J. Vac. Sci. Technol., 19, p. 456 (1981).

[82] K. Kimoto et al., “Coordination and interface analysis of atomic-layer-deposition Al2O3 onSi (001) using energy-loss near-edge structures”, Appl. Phys. Lett., 83, p. 4306 (2003).

[83] J. D. Plummer, M. D. Deal and P. B. Griffin, "Silicon VLSI technology: Fundamentals,practice, and modeling. Upper Saddle River, NJ: Prentice Hall (2000).

[84] D. K. Schroder, “Semiconductor material and device characterization”, John Wiley & Sons,Inc., Hoboken, New Jersey (2006).

[85] Y. S. Jung and S. S. Lee, “Development of indium tin oxide film texture during DC mag-netron sputtering deposition”, J. Crystal Growth, 259, p. 343 (2003).

[86] J. Tauc: Amorphous and liquid semiconductors, ed. J. Tauc, Plenum press, p. 159 (1974).

[87] Y. C. Yeo et al., “Dual-metal gate CMOS technology with ultrathin silicon nitride gate di-electric”, IEEE Electron Dev. Lett., 22, p. 227 (2001).

98

Page 108: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

[88] S. H. Jang et al., “Dependence of electrical characteristics on the depth of the recess regionin the scaled tantalum nitride–aluminum oxide–silicon nitride–silicon oxide–silicon flashmemory devices”, Jpn. J. Appl. Phys., 50, p. 124202 (2011).

[89] B. Swatowska, T. Stapinski and S. Zimowski, “Properties of a-Si:N:H films beneficial forsilicon solar cells applications”, Opto-Electron. Rev., 20, p. 168 (2012).

[90] H. Matsuura, M. Yoshimoto and H. Matsunami, “Discharge current trasient spectroscopy forevaluating traps in insulators”, Jpn. J. Appl. Phys., 34, p. L-185 (1995).

[91] V. V. Afanas’ev and A. Stesmans, “Photoionization of silicon particles in SiO2”, Phys. Rev.B, 59, p. 2025 (1999).

[92] A. Misra et al., “Effect of different substrate materials on the Pt Nanocrystal formation statis-tics (size, density area coverage and circularity) for flash memory application”, Proc. Mater.Res. Soc. Symp. 1288, (2011).

[93] D. H. Kim et al., “Diffusion barrier performance of chemically vapor deposited TiN filmsprepared using tetrakisdimethylamino titanium in the Cu/TiN/Si structure”, Appl. Phys.Lett., 69, p. 4182 (1996).

[94] S. A. Vitale et al., “Work-function-tuned TiN metal gate FDSOI Transistors for subthresholdoperation”, IEEE Trans. Elec. Dev., 58, p. 419 (2011).

[95] Y. Park et al., “Diffusion barrier performance of chemically vapor deposited TiN films pre-pared using tetrakis-dimethyl-amino titanium in the Cu/TiN/Si structure”, Appl. Phys. Lett.,68, p. 2699 (1996).

[96] K.H. Chen et al., “Wide band gap silicon carbon nitride films deposited by electron cyclotronresonance plasma chemical vapor deposition”, Thin Solid Films, 205, p. 355 (1999).

[97] T. E. Cook et al., “Band offset measurements of the Si3N4/GaN (0001) interface”, J. Appl.Phys., 94, p. 3949 (2003).

[98] J. U. Lee et al., “Optical capacitance-voltage characterization of charge traps in the trappingnitride layer of charge trapped flash memory devices”, Appl. Phys. Lett., 91, p. 223511(2007).

[99] E. Vianello et al., “Program efficiency and high temperature retention of SiN/high-K basedmemories”, Microelectronic Engg., 86, p. 1830 (2009).

[100] J.-G. Yun et al., “Formation of Si-Rich Silicon Nitride with Low Deposition Rate by UsingLPCVD for nanoscale non-volatile-memory application”, J. Korean Phys. Soc., 51, p. S229(2007).

99

Page 109: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

[101] V. A. Gritsenko, J. B. Xu and S. Lin, “Thermally stimulated spectroscopy of hole traps insilicon nitride”, Proc. Elec. Dev. Meeting., p. 40 (1998).

[102] S. Dhar and S. Ghosh, “Role of the impurity wave function on the relaxation of photoexcitedcarriers in heavily doped SiC”, Europhys. Lett. 57, p. 416 (2002).

[103] H. Aozasa et al., “Analysis of Carrier Traps in Si3N4 in Oxide/Nitride/Oxide forMetal/Oxide/Nitride/Oxide/Silicon Nonvolatile Memory”, Jpn. J. Appl. Phys., 38, p. 1441(1999).

[104] E. J. M. Kendall, “The conduction processes in silicon nitride”, Can. J. Phys., 46, p. 2509(1968).

[105] K. Shimakawa and S. Wakamatsu, “A model for ac conduction in amorphous silicon ni-trides”, J. Appl. Phys., 68, p. 371 (1990).

[106] N. A. Asadullayev, S. M. Chudinov and I. Ciric, “Activationless hopping conductivity inamorphous silicon nitride films”, Solid State Commun., 66, p. 261 (1988).

[107] S. M. Sze, “Current Transport and Maximum Dielectric Strength of Silicon Nitride Films”,J. Appl. Phys., 38, p. 2951 (1967).

[108] J. U. Lee et al., “Optical capacitance-voltage characterization of charge traps in the trappingnitride layer of charge trapped flash memory devices”, Appl. Phys. Lett., 91, p. 223511(2007).

[109] V. I. Belyi and A. A. Rastorguyeva, “A new view on the nature of electron levels in amor-phous Silicon Nitride”, Chem. Sust. Devt, 8, p. 13 (2000).

[110] T. T. Li and A. Cuevas, “Effective surface passivation of crystalline silicon by rf sputteredaluminum oxide”, Phys. Status Solidi, 3, p. 160 (2009).

[111] B. Hoex et al., “Silicon surface passivation by atomic layer deposited Al2O3, J. of Appl.Phys.”, 104, p. 044903 (2008).

[112] M. Bhaisare et al., “Aluminum Oxide Deposited by Pulsed-DC Reactive Sputtering for Crys-talline Silicon Surface Passivation”, IEEE J. Photovoltaics, 3,p. 930 (2013).

[113] Private communication, M. Bhaisare, Ph. D. student, IIT Bombay

[114] Q. M. Hussain et al., “RF magnetron sputtered indium tin oxide films with high transmittanceand work function for a-Si:H/c-Si heterojunction solar cells”, Vacuum, 101, p. 18 (2014).

100

Page 110: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

[115] “Measurement Principles for Terrestrial Photovoltaic (PV) Solar Devices with ReferenceSpectral Irradiance Data”, International Organization for Standardization, ISO Central Sec-retariat, Geneva, Switzerland, ISO/IEC, p. 60904-3 (2008).

[116] J. Schmidt et al., “Progress in the surface passivation of silicon solar cells”, Proceedings ofthe 23rd European Photovoltaic Solar Energy Conference, Valencia, Spain, 1–5 September2008.

[117] Vandana et al., “Effect of low thermal budget annealing on surface passivation of silicon byALD based aluminum oxide films”, Phys. Chem. Chem. Phys., 16, p. 21804 (2014).

[118] J. M. Rafi et al., “Deposition temperature and thermal annealing effects on the electricalcharacteristics of atomic layer deposited Al2O3 Films on Silicon”, J. of The Electrochem.Soc., 158, p. G108 (2011).

[119] Y. H. Kim et al., “Flexible metal-oxide devices made by roomtemperature photochemicalactivation of sol–gel films”, Nature Letter, 489, p. 128 (2012).

[120] X. H. Qing et al., “Excellent Passivation of p-Type Si Surface by Sol-Gel Al2O3 Films”,Chin. Phys. Lett., 26, p. 088102 (2009).

[121] F. Tzompantzi et al., “Hydroxylated sol–gel Al2O3 as photocatalyst for the degradation ofphenolic compounds in presence of UV light” Catalysis today, 49, p. 220 (2014).

101

Page 111: Opto-Electric Characterization Of Dielectric Thin Films Using A …anilkg/Kousik-thesis.pdf · 2019-05-06 · Opto-Electric Characterization Of Dielectric Thin Films Using A Transparent

List of Publications from this Thesis

• K. Midya, M. Bhaisare, A. Kottantharayil and S. Dhar, “Investigation of nature of UV in-duced negative charge in Al2O3 film”, presented in the International Conference on EmergingElectronics 2016 conference and under the publication process.

• K. Midya, S. Dhar and A. Kottantharayil, “Trap characterization of silicon nitride thin filmsby a modified trap spectroscopy technique”, J. of Appl. Phys., Vol. 114, pp. 154101, 1-4(2013).

• K. Midya, A. Sharma, A. Kottantharayil and S. Dhar, "RF sputtered ITO thin film withimproved optical property", MRS Proceedings, Vol. 1447, pp. mrss12-1447 (2012).

102