ntpt: on the end-to-end traffic prediction in the on-chip networks

22
NTPT: On the End-to-End Traffic Prediction in the On-Chip Networks Yoshi Shih-Chieh Huang 1 , June 16, 2010 1 Department of Computer Science, National Tsing Hua University, Hsinchu, Taiwan 2 SoC Technology Center, Industrial Technology Research Institute, Hsinchu, Taiwan Kaven Chun-Kai Chou 1 Chung-Ta King 1 Shau-Yin Tseng 2

Upload: yael

Post on 25-Feb-2016

21 views

Category:

Documents


0 download

DESCRIPTION

NTPT: On the End-to-End Traffic Prediction in the On-Chip Networks. Yoshi Shih-Chieh Huang 1 , June 16, 2010. Kaven Chun-Kai Chou 1 Chung-Ta King 1 Shau -Yin Tseng 2. 1 Department of Computer Science, National Tsing Hua University, Hsinchu , Taiwan - PowerPoint PPT Presentation

TRANSCRIPT

Page 1: NTPT: On the End-to-End  Traffic Prediction  in the On-Chip Networks

NTPT: On the End-to-End Traffic Prediction

in the On-Chip NetworksYoshi Shih-Chieh Huang1, June 16, 2010

1Department of Computer Science, National Tsing Hua University, Hsinchu, Taiwan2SoC Technology Center, Industrial Technology Research Institute, Hsinchu, Taiwan

Kaven Chun-Kai Chou1

Chung-Ta King1

Shau-Yin Tseng2

Page 2: NTPT: On the End-to-End  Traffic Prediction  in the On-Chip Networks

2

Outline

MotivationAn observation from application’s traffic trace

Proposed DesignTable-driven solution

EvaluationsOn Tilera’s TILE64

Conclusion and Future Works

Page 3: NTPT: On the End-to-End  Traffic Prediction  in the On-Chip Networks

3

Motivation

Consider the LU decomposition in SPLASH-2 running on a many-core machine

Observe the communication trace between any pair of the nodes

End-to-end traffic / pair-wise communication 0 1 2 3

4 5 6 7

8 9 10 11

12 13 14 15

Page 4: NTPT: On the End-to-End  Traffic Prediction  in the On-Chip Networks

4

Key Observation

Consider the end-to-end traffic from node 7 to node 4:

Time(1K cycles)

Payload (8 bytes)

Node 7 to node 4

Regular end-to-endtraffic pattern!

Page 5: NTPT: On the End-to-End  Traffic Prediction  in the On-Chip Networks

5

Key Questions

Can the end-to-end traffic pattern be recognized at runtime?

If so, can the end-to-end traffic pattern be predicted at runtime?

What if we can?Controlling the injection rate for end-to-end flow controlPerforming dynamic routing based on workloadRemapping tasksControlling the power mode of switches and links…

Page 6: NTPT: On the End-to-End  Traffic Prediction  in the On-Chip Networks

6

Key Idea

We believe that application-driven prediction is the best way to predict the traffic patterns in the on-chip network

In contrast to switch layer predictionPrediction-based flow control for network-on-chip traffic, DAC 2006

Domain: chip-multiprocessors with on-chip networksProposal: A hardware design for end-to-end traffic

recognition and prediction

Page 7: NTPT: On the End-to-End  Traffic Prediction  in the On-Chip Networks

7

Overview of the Design

ProcessingElement 1

On-Chip Network

NIC Predictor1

ProcessingElement k

NIC Predictork

Page 8: NTPT: On the End-to-End  Traffic Prediction  in the On-Chip Networks

8

Traffic Pattern RecognitionMonitor outgoing traffic to each destination node

time

payload

Sampling period Sampling period Sampling period Sampling period Sampling period

time

payload

012345

0 3 3 3 5

Page 9: NTPT: On the End-to-End  Traffic Prediction  in the On-Chip Networks

9

Traffic Prediction

Last value predictorUse the traffic of current sampling period to predict the traffic of the next periodEasy, small, but low accuracy

time

payload

2345

0 3 3 3 501

miss hit hit miss

2/4 = 50% accuracy

Page 10: NTPT: On the End-to-End  Traffic Prediction  in the On-Chip Networks

10

Traffic Prediction (cont’d)

Intuition: more accurate prediction by tracking longer traffic history

Pattern-oriented predictorTraffic pattern registerIndexing into a table for predictionof traffic in the next sampling period

0333

index Prediction0 nil

1 nil

2 3

3 nil

… …

0332 2

0333

0334 2

0335 nil

… …

5(History length=4)PROBLEM:

BIG AND SPRASE TABLES!

Page 11: NTPT: On the End-to-End  Traffic Prediction  in the On-Chip Networks

11

Last Value Predictor Versus Pattern-Oriented Predictor

Last Value Predictor Pattern-Oriented Predictor

Accuracy X O

Space Overhead O X

Page 12: NTPT: On the End-to-End  Traffic Prediction  in the On-Chip Networks

12

Network Traffic Prediction Table (NTPT)

NTPT-based predictor= last value predictor (LVP) + simplified pattern-oriented predictor (SPOP) + selector

The appropriate predictor is chosen by the selectorSimplified POP = many space reduction techniques

Similar to branch prediction in computer architecture

LVP SPOPSelector

POP

Page 13: NTPT: On the End-to-End  Traffic Prediction  in the On-Chip Networks

13

Evaluation Setup

Emulate the NTPT-based predictor on the Tilera TILE64

LU decomposition in SPLASH-2 ported to TILE6416 nodes for executing application16 nodes for emulating NTPT-based predictors

The following two figures show that NTPT-based predictor has a good tradeoff between

The accuracy of predictionThe space overhead

Page 14: NTPT: On the End-to-End  Traffic Prediction  in the On-Chip Networks

14

Prediction Error Rate

Sampling Period (Million Cycles)

Last Value Predictor Pattern-OrientedPredictor

Page 15: NTPT: On the End-to-End  Traffic Prediction  in the On-Chip Networks

154 6 8 10 12 14 16

0

50

100

150

200

250

300

350

400

450

Pattern-OrientedNTPT

Prediction Table SizeRequired memory size (in terms of entry)

History length

Page 16: NTPT: On the End-to-End  Traffic Prediction  in the On-Chip Networks

16

Estimated Area Overhead

0.017% in TILE640.11% in AsAP

Page 17: NTPT: On the End-to-End  Traffic Prediction  in the On-Chip Networks

17

Conclusion and Future Works

A hardware design for recognizing and predicting end-to-end traffic on chip-multiprocessors using on-chip interconnection networks

Traffic patterns recognition at runtimeTraffic behavior prediction at runtime NTPT has good prediction accuracy with low hardware overhead

Ongoing worksInjection rate control for congestion avoidance in NoCDynamic voltage/frequency scaling for routers and linksPrevious works use switch-layer perspective

Buffer/link utilization in router

Page 18: NTPT: On the End-to-End  Traffic Prediction  in the On-Chip Networks

18

Thank you!

Page 19: NTPT: On the End-to-End  Traffic Prediction  in the On-Chip Networks

BACKUP SLIDES

Page 20: NTPT: On the End-to-End  Traffic Prediction  in the On-Chip Networks

20

More Techniques for Table Reduction

Merging the tables corresponding to different destinations

Using the least recently used (LRU) replacement strategy

Quantizing the values in the tables

LVP SPOPSelector

Page 21: NTPT: On the End-to-End  Traffic Prediction  in the On-Chip Networks

21

Design of Selector

LVP POP

Page 22: NTPT: On the End-to-End  Traffic Prediction  in the On-Chip Networks

22

Selected Related Works

Switch-layer predictionPrediction-based flow control for network-on-chip traffic, DAC 2006

Compile-time predictionReducing NoC energy consumption through compiler-directed channel voltage scaling, PLDI 2006