my report

64
ABSTRACT The control of induction motors are very important in the field of motor drives. The motor has two control possibility one is scalar control and another one is vector control. The vector control of induction motor is to decouple the flux and torque. The control of the motor depends on the measurement of the current and voltage. Speed control of induction motor has done with Matlab/Simulink software and the test results are presented. In the Hardware part, implementing the open loop control of the induction motor with the FPGA control. The system consists of six bidirectional switches. The pulses are generated by the controller and changing the frequency the speed is changed. The control strategy is implemented by using FPGA in Hardware part. Using this technique the inverter will be controlled and the voltage is controlled by the frequency with the use of spartan 3AN FPGA kit.

Upload: sameer1137

Post on 02-Feb-2016

232 views

Category:

Documents


8 download

DESCRIPTION

My Report

TRANSCRIPT

Page 1: my report

ABSTRACT

The control of induction motors are very important in the field of

motor drives The motor has two control possibility one is scalar control and

another one is vector control The vector control of induction motor is to

decouple the flux and torque The control of the motor depends on the

measurement of the current and voltage Speed control of induction motor has

done with MatlabSimulink software and the test results are presented In the

Hardware part implementing the open loop control of the induction motor

with the FPGA control The system consists of six bidirectional switches The

pulses are generated by the controller and changing the frequency the speed

is changed The control strategy is implemented by using FPGA in Hardware

part Using this technique the inverter will be controlled and the voltage is

controlled by the frequency with the use of spartan 3AN FPGA kit

CHAPTER ndash 1

INTRODUCTION

The AC induction motor is a rotating electric machine to operate from a 3-phase source of

alternating voltage is designed Inverter is a source which is normally used for variable speed

drives that uses power switches to produce approximately sinusoidal voltages and currents of

controllable magnitude and frequency

The Adjustable Speed Drives (ADS) are commonly used in industry In most drives AC

motors are applied The standard in those drives are Induction Motors (IM) and recently

Permanent Magnet Synchronous Motors (PMSM) are offered Variable speed drives are widely

used in application such as pumps fans elevators electrical vehicles ventilation and air-

conditioning (HVAC) robotics wind generation systems ship propulsion etc

Although various induction motor control techniques such as variable voltage variable

frequency (VVVF) are in practice today but the most popular control technique is by generating

variable frequency supply which has constant voltage to frequency ratio This technique is

popularly known as VF control Generally used for open-loop systems VF control is used for a

large number of applications where the basic need is to vary the motor speed and control the

motor efficiently

The AC induction motor is the workhorse of industrial and residential motor applications

due to its simple construction and durability These motors have no brushes to wear out or

magnets to add to the cost The rotor assembly is a simple steel cage ACIMrsquos are designed to

operate at a constant input voltage and frequency but you can effectively control an ACIM in

variable speed application if the frequency of the motor input voltage is varied If the motor is

not mechanically overloaded the motor will operate at a speed that is roughly proportional to the

input frequency If decrease the frequency of the drive voltage need to decrease the amplitude

by a proportional amount Otherwise the motor will consume excessive current at low input

frequencies This control method is called ldquo VF method

The high-performance frequency controlled PWM inverter ndash fed IM drive should be

characterized by

1048696Constant switching frequency

1048696 uni-polar voltage PWM

1048696Low flux and torque ripple

1048696 four-quadrant operation

These features depend on the applied control strategy The main goal of the chosen control

method is to provide the best possible parameters of drive Vector control group includes not

only control of the voltage amplitude and frequency like in the scalar control methods but also

the instantaneous position of the voltage current and flux vectors There by improvement in the

dynamic behavior of the drive

Todayrsquos FPGA based PWM-output variable frequency drives provide the user with a

tremendous variety of features and functions which allow accurate control and monitoring in

nearly every 3-phase motor application A fundamental advantage of an ac drive is that it

provides virtually infinite speed control of the standard induction motors

The Spartan-3AN Stick Board provides a powerful self-contained development platform

for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K gate Spartan-

3AN on-board IO devices and 1Mb Internal flash memory making it the perfect platform to

experiment with any new design

Field Programmable Gate Arrays (FPGA) is a Higher density programmable device and is

used to integrate large amounts of logic in a single IC Implementation on FPGA is one of the

method to handle the real time requirements and disadvantages of conventional

microcontroller By using FPGA made faster and efficient solution to controller It involves the

logic based PWM method to control the speed of three phase induction motor

CHAPTER ndash 2

LITERATURE SURVEY

1 P Menghal and A Laxmi

These authors presents With the improvement in the technology of Microprocessor and Power

Electronics Induction motor drives with digital control have become more popular Artificial

intelligent controller (AIC) could be the best candidate for Induction Motor control Over the last

two decades researchers have been working to apply AIC for induction motor drives This is

because that AIC possesses advantages as compared to the conventional PI PID and their

adaptive versions The main advantages are that the designs of these controllers do not depend on

accurate system mathematical model and their performances are robust In recent years scientists

and researchers have acquired significant development on various sorts of control theories and

methods Among these control technologies intelligent control methods which are generally

regarded as the aggregation of Fuzzy Logic Control Neural Network Control Genetic

Algorithm and Expert System have exhibited particular superiorities The artificial neural

network controller introduced to the system for keeping the motor speed to be constant when the

load varies The speed control scheme of vector controlle d induction motor drive

involves decoupling of the speed and ref speed into torque and flux producing components The

performance of artificial neural network based controllers is compared with that of the

conventional proportional integral controller The dynamic modeling of Induction motor is

done and the performance of the Induction motor drive has been analyzed for constant and

variable loads By using neuro controller the transient response of induction machine has been

improved greatly and the dynamic response of the same has been made faster

1 M Mohamadian E Nowicki F Ashrafzadeh A Chu R Sachdeva and E Evanik

These authors presents an artificial neural network controller is experimentally implemented on

the Texas Instruments TMS320C30 digital signal processor (DSP) The controller emulates

indirect field-oriented control for an induction motor generating direct and quadrature current

command signals in the stationary frame In this way the neural network performs the critical

functions of slip estimation and matrix rotation internally There are five input signals to the

neural network controller namely a shaft speed signal the synchronous frame present and

delayed values of the quadrature axis stator current as well as two neural network output

signals fed back after a delay of one sample period The proposed three-layer neural network

controller contains only 17 neurons in an attempt to minimize computational requirements of

the digital signal processor This allows DSP resources to be used for other control purposes

and system functions For experimental investigation a sampling period of 1 ms is employed

Operating at 333 MHz (167 MIPS) the digital signal processor is able to perform all neural

network calculations in a total time of only 280 micros or only 4700 machine instructions

Torque pulsations are initially observed but are reduced by iterative re-training of the neural

network using experimental data The resulting motor speed step response (for several forward

and reverse step commands) quickly tracks the expected response with negligible error under

steady-state conditions

2 V Panchade R Chile and B Patre

These authors presents a state of the art review of control and estimation methods for

induction motor (IM) based on conventional approaches sliding mode control (SMC) and

sensorless SMC is presented The objective of this survey paper is to summarize the different

control approaches for IMs including field oriented control (FOC) direct torque control (DTC)

speed observer observer based flux estimation sliding mode (SM) flux and speed observer

current regulation by SMC sensorless SMC etc The applications of SMC to IMs has been

widespread in recent years The increasing interest in SMC is because of its interesting features

such as invariance robustness order reduction and control chattering Particularly robustness of

SM approach with respect to parameter variations and external disturbance is vital for the

control system The review covers the sensorless SMC schemes by integrating controller and

observer design to guarantee convergence of the estimates to the real states It also covers the

chattering problems encountered often in SMC area dealt by using an asymptotic observer

4 B Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

These authors presents a controller for induction motors is proposed A continuous feedback is

first applied to obtain a discrete-time model in closed form Then on the basis of these exact

sampled dynamics a discrete-time controller ensuring speed and flux modulus reference tracking

is determined making use of the sliding mode technique The resulting controller is hence

hybrid in the sense that it contains both continuous and discrete-time terms It is shown how to

implement such a hybrid controller using the so-called exponential holder which is the only

device to be implemented analogically together with an analog integrator Moreover a

discrete-time reduced-order observer is designed for rotor fluxes and load torque estimation The

performance of the proposed controller is finally studied by simulation and experimental tests

5 C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

An adaptive discrete-time tracking controller for a direct current motor with controlled

excitation flux is presented A recurrent neural network is used to identify the plant

model this neural identifier is trained with an extended Kalman filter algorithm Then the

discrete-time block-control and sliding-mode techniques are used to develop the trajectory

tracking This paper also includes the respective stability analysis for the whole closed-loop

system The effectiveness of the proposed control scheme is verified via real- time

implementation

6 A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

These authors presents deals with real-time adaptive tracking for discrete-time induction motors

in the presence of bounded disturbances A high-order neural-network structure is used to

identify the plant model and based on this model a discrete-time control law is derived which

combines discrete-time block-control and sliding-mode techniques This paper also includes the

respective stability analysis for the whole system with a strategy to avoid adaptive weight zero-

crossing The scheme is implemented in real time using a three- phase induction motor

7 A Y Alanis E N Sanchez and A G Loukianov

These authors presents the design of an adaptive controller based on the block control technique

and a new neural observer for a class of MIMO discrete-time nonlinear systems The observer

is based on a recurrent high-order neural network (RHONN) which estimates the state

vectors of the unknown plant dynamics The learning algorithm for the RHONN is based on an

extended Kalman filter (EKF) This paper also includes the respective stability analysis using

the Lyapunov approach for the whole system which includes the nonlinear plant the neural

observer trained with the EKF and the block controller Applicability of the proposed scheme

is illustrated via simulation for a discrete-time nonlinear model of an electric induction motor

8 M P Kazmierkowski and M A Dzieniakowski

These authors presents a review of recently used current regulation techniques for Voltage

Sourced Pulse WidthModulated (VS-PWM) inverters A variety of techniques different in

concept are described as follows On-Off hysteresis free running and fued frequency regulators

(phase independent look-up table based space vector based) linear regulators (carrier based

working in stationary and rotating coordinates PI and state feedback) predictive (minimum and

constant switching frequency) and dead beat regulators Also nowadays trends in the current

regulations - neural networks and fuzzy logic based regulators - are presented Some oscillograms

which illustrate properties of the presented regulator groups are shown The references include

96 actual papers and conference contributions

9 Ying-Yu Tzou and Hau- Jean Hsu

These authors presents a new circuit realization of the space-vector pulse-width modulation

(SVPWM) strategy An SVPWM control integrated circuit (IC) has been developed using the

state-of-the-art field-programmable gate array (FPGA) technologyThe proposed SVPWM

control scheme can be realized using only a single FPGA (XC4010) from Xilinx Inc The output

fundamental frequency can be adjusted from 0094 to 1500 HzThe pulse-width modulation

(PWM) switching frequency can be set from 381 Hz to 4884 kHz The delay time for the PWM

gating signals is adjustable This SVPWM IC can also be included in the digital current control

loop for stator current regulation The designed SVPWM IC can be incorporated with a digital

signal processor (DSP) to provide a simple and effective solution for high-performance ac drives

Simulation and experimental results are given to verify the implemented SVPWM control IC

10 G Thomas M Jahns and Edward L Owen

These authors represents there is broad recognition of the huge strides taken in the development

of modern ac adjustable-speed drives since the introduction of the thyristor in 1957 far fewer

engineers in the power electronics profession today are aware of the key engineering

developments in this field that preceded the solid-state era The purpose of this paper is to review

major milestones that set the stage for the development of todayrsquos ac drives including sufficient

details to acquaint readers with their basic principles strengths and limitations Attention will be

devoted to the continuum of this development history and the many direct echoes of

developments from the first half of the 1900rsquos that we take for granted in todayrsquos ac drives In

addition the spirited competition between electromechanical and electronic ac drive solutions

that dominated engineering attention during the early part of the century will be reviewed

highlighting the complicated interrelationship between electric machines and drive electronics

that persists today

11 Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh Krishnamurthy and Ali

Emadi

These authors presents Development of advanced motor drives has yielded increases in

efficiency and reliability Residential and commercial appliances such as refrigerators and air

conditioning systems use conventional motor drive technology The machines found in these

applications are characterized by low efficiency and high maintenance A brushless dc (BLDC)

motor drive is characterized by higher efficiency lower maintenance and higher cost In a

market driven by profit margins the appliance industry is reluctant to replace the conventional

motor drives with the advanced motor drives (BLDC) due to their higher cost Therefore it is

necessary to have a low-cost but effective BLDC motor controller This paper lays the

groundwork for the development of a new low-cost IC for control of BLDC motors A simple

novel digital pulse width modulation control has been implemented for a trapezoidal BLDC

motor drive system Due to the simplistic nature of this control it has the potential to be

implemented in a low-cost applicationspecific integrated circuit The novel controller is modeled

and verified using simulations Experimental verification is carried out using field-programmable

gate arrays to validate the claims presented

12 Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N Cirstea

These authors presents a novel direct torque control (DTC) approach for induction machines

based on an improved torque and stator flux estimator and its implementation using field

programmable gate arrays (FPGA) The DTC performance is significantly improved by the use

of FPGA which can execute the DTC algorithm at higher sampling frequency This leads to the

reduction of the torque ripple and improved flux and torque estimations The main achievements

are 1) calculating a discrete integration operation of stator flux using backward Euler approach

2) modifying a so called nonrestoring method in calculating the complicated square root

operation in stator flux estimator 3) introducing a new flux sector determinationmethod 4)

increasing the sampling frequency to 200 kHz such that the digital computation will perform

similar to that of the analog operation and 5) using tworsquos complement fixed-point format

approach to minimize calculation errors and the hardware resource usage in all operations The

design was achieved in VHDL based on a MATLABSimulink simulation model The

Hardware-in-the-Loop method is used to verify the functionality of the FPGA estimator The

simulation results are validated experimentally Thus it is demonstrated that FPGA

implementation of DTC drives can achieve excellent performance at high sampling frequency

13 Alessandro Cilardo

These authors presents Field programmable gate array (FPGA) devices are increasingly being

deployed in industrial environments making reconfigurable hardware testing and reliability an

active area of investigation While FPGA devices can be tested exhaustively the so-called

application-dependent test (ADT) has emerged as an effective approach ensuring reduced testing

efforts and improving the manufacturing yield since it can selectively exclude a subset of faults

not affecting a given design In addition to manufacturing ADT can be used online providing a

solution for fast runtime fault detection and diagnostics This paper identifies a number of issues

in existing ADT techniques which limit their applicability and proposes new approaches

improving the range of covered faults with special emphasis on feedback bridging faults as well

as new algorithms for generating ADT test configurations Furthermore the work introduces a

software environment addressing the current lack of tools either academic or commercial

supporting ADT techniques The architecture of the environment is highly modular and

extensively based on a plug-in approach To demonstrate the potential of the toolset we

developed a complete suite of plug-ins based on both state-of-the-art ADT techniques and the

novel approaches introduced here The experimental results presented at the end of the paper

confirm the impact of the proposed techniques

14 M Nasir Uddin Tawfik S Radwan and M Azizur Rahman

These authors presents a novel speed control scheme of an induction motor (IM) using fuzzy-

logic control The fuzzy-logic controller (FLC) is based on the indirect vector control The fuzzy-

logic speed controller is employed in the outer loop Thecomplete vector control scheme of the

IM drive incorporating the FLC is experimentally implemented using a digital signal processor

board DS-1102 for the laboratory 1-hp squirrel-cage IM The performances of the proposed

FLC-based IM drive are investigated and compared to those obtained from the conventional

proportional-integral (PI) controller-based drive both theoretically and experimentally at

different dynamic operating conditions such as sudden change in command speed step change in

load etc The comparative experimental results show that the FLC is more robust and hence

found to be a suitable replacement of the conventional PI controller for the high-performance

industrial drive applications

15 Bhim Singh BP Singh and Sanjeet Dwivedi

These authors presents a Digital Signal Processor (DSP) based implementation of a Hybrid of

Fuzzy Logic Controller (FLC) and Proportional-Integral (PI) speed controller for Vector

Controlled (VC) Permanent Magnet Synchronous Motor (PMSM) Drive The fuzzy membership

function is used for the hybrid combination of these two FLC and PI speed controllers in such a

way that during the time of dynamic conditions such as starting the degree of belonging for FLC

speed controller is higher than the PI controller and near the set point the degree of belonging of

PI controller is having higher weightage The simulation model of the PMSM drive system is

developed in MATLAB environment with simulink and PSB oolboxes to analyze the

performance of the proposed drive system The hybrid speed controller is found suitable for

Vector Controlled PMSM drive in giving the high level of performance while maintaining the

excellent response at the time of starting speed reversal load perturbation and steady-state

operation of the drive

16 WP Hew C P Ooi And N A Rahim

These authors proposes the circuit realization of Space Vector Modulation (SVM) algorithm

using a single Altera Flex 10k chip (EPFlOK70RC240-4) An SVM integrated circuit (IC) has

been designed and developed to improve the vector control of the three-phase voltage source

inverter (VSI) The design of SVM IC is downloaded to the Altera UP2 Board and tested with

the inverter circuit to drive a 3-phase induction motor The experimental results for 33Hz and

50Hz fundamental frequency and inverter switching frequency of 819 kHz are recorded

CHAPTER ndash3

DESCRIPTION ON FPGA CONTROLLER

In this project the speed of the induction motor is controlled by varying stator

frequency and voltage using FPGA programming FPGA is a new platform for motor control

application and it gives excellent performance at mathematical calculation The AC induction

motor is a relatively simple inexpensive and rugged device which requires little maintenance

However the induction motor is virtually a fixed speed device when operated from a constant

frequency source Since some applications require a fairly wide range of operating speeds DC

machines were often required With the advent of power electronics devices have become

available that allow induction machines to be operated over a range of speeds It is now

frequently possible to buy an induction machine with an electronic drive for about the same price

as a comparable DC machine Furthermore variable speed induction motors can also be used to

drive pumps or fans more economically than the mechanical means which are often used to

provide variable flow

Todayrsquos FPGA based PWM-output variable frequency drives provide the user with a

tremendous variety of features and functions which allow accurate control and monitoring in

nearly every 3-phase motor application A fundamental advantage of an ac drive is that it

provides virtually infinite speed control of the standard induction motors

FPGAThe Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K gate

Spartan-3AN on-board IO devicesand 1Mb Internal flash memory making it the perfect

platform to experiment with any new design

The Spartan3AN FPGA Stick Board kit includes a USB JTAG programming and

debugging chain Additionally there are two possible way for programming download and

debugging through USB as well as JTAG header a mini USB cable which is used to download

the program from PC into FPGA For this purpose the cable directly connected to USB port of

the PC and another way is the JTAG cable connects directly to the parallel port of a PC and to a

standard 6-pin JTAG programming header in the kit that can program a devices that have a

JTAG voltage of 18v or greater

31 Methodology

The speed control of the induction motor with FPGA implementation is developed

When the Induction motors connected to the main supply it runs at their rated speed

Therefore to vary the rotor speed of IM variable frequency drive is required In the

methodology it cannot be considered as a suitable design solution for cost sensitive or

industrial applications Field Programmable Gate Arrays (FPGA) is a Higher density

programmable device and is used to integrate large amounts of logic in a single IC

Implementation on FPGA is one of the method to handle the real time requirements and

disadvantages of conventional microcontroller By using FPGA made faster and efficient

solution to controller It involves the logic based PWM method to control the speed of three

phase induction motorThe matlab based speed control simulation is developed and checked

for the performance improvements As well as hardware part by using FPGA has done

CHAPTER ndash 4

DYNAMIC MODELING amp SIMULATION OF THE INDUCTION MOTOR DRIVE

Dynamic behaviour of induction motor can be expressed by voltage and torque which are

time varying The differential equation that belongs to dynamic analysis of induction motor

are so sophisticated Then with the change of variables the complexity of these equations can

be decreased through movement from poly phase winding to two phase winding(q-d) In

other words the stator and rotor variables like voltage current and flux linkages of an

induction machine are transferred to another reference model which remains stationary

The AC induction motor model is given by the space vector form of the voltage equations

The system model defined in the stationary αβ-coordinate system attached to the stator is

expressed by the following equations Ideally the motor model is symmetrical with a linear

magnetic circuit characteristic

The stator amp rotor voltage differential equations

usα=R si sα+ddt

ψsα (1)

usβ=Rs isβ+ddt

ψsβ (2)

urα=0=Rr irα+ddt

ψrα+ωrβ (3)

urβ=0=R rir β+ddt

ψrβminusωrβ (4)

Electromagnetic torque expressed by utilizing space vector quantities

T e=32

Pp(ψsα isβminusψsβ isα) (5)

where

αβ = Stator orthogonal coordinate system

u sαβ urαβ = Stator and Rotor voltages [V]

isαβ irαβ = Stator and Rotor currents [A]

Ψsαβ Ψrαβ = Stator and Rotor magnetic fluxes [Vs]

Rs Rr = Stator and Rotor phase resistance [Ohm]

ω ωs = Electrical rotor speed synchronous speed [rads]

pp = Number of pole pairs

Te = electromagnetic torque [Nm]

After transformation into d-q coordinates the motor model follows

usd=R sisd+ddt

ψsdminusωsψsd (6)

usq=Rs isq+ddt

ψ sqminusωsψ sq (7)

urd=0=Rr ird+ddt

ψrdminus(ωiquestiquest sminusω)ψrqiquest (8)

urq=0=Rr irq+ddt

ψrqminus(ωiquestiquest sminusω)ψrd iquest (9)

T e=32

Pp(ψsd isqminusψsq isd) (10)

41Equivalent circuit The Induction motor is normally modeled as Equivalent circuit The figure 41(a) amp 41(b) shows the typical equivalent circuits

Fig 41 (a) and 41(b) Equivalent circuit of IM

42 AC Motors

An AC motor is an electric motors that is driven by an alternating current It consists of

two basic parts an outside stationary stator having coils supplied with alternating current to

produce a rotating magnetic field and an inside rotor attached to the output shaft that is given

a torque by the rotating field

There are two recognized broad classes of AC electrical rotating machine synchronouns

motor(SM) which rotates exactly at the supply frequency or a submultiple of the supply

frequency The magnetic field on the rotor either generated by current delivered throgh slip

rings or by a permanent magnet

The second is the asynchronous or induction (IM) which runs slightly slower than the

supply frequency The magnetic field on the rotor of this motor is created by an induced

current

A third class is introduced here for clarity the Electronically Commutated Machine

(ECM) Such ECM machines have electronic commutation or switching as an inherent part of

the operation This is different to electronically producing a variable frequency sine wave

supply say by pulse width modulation (PWM) and using this instead of mains excitation

The AC induction motor is a rotating electric machine which is designed to operate

from a 3-phase source of alternating voltage The source is usually an inverter and is used for

variable speed drives that uses power switches to produce approximately sinusoidal voltages

and currents for magnitude and frequency control

Fig 42 3-phase AC Induction motor

A cross-section of a two-pole induction motor is shown in figure Slots in the inner

periphery of the stator accommodate 3-phase winding abc The turns in each winding are

distributed so that a current in a stator winding produces an approximately sinusoidally-

distributed flux density around the periphery of the air gap When three currents that are

sinusoidally varying in time but displaced in phase by 120deg from each other flow through

the three symmetrically-placed windings a radially-directed air gap flux density is produced

that is also sinusoidally distributed around the gap and rotates at an angular velocity equal to

the angular frequency ωs of the stator currents

The most common type of induction motor has a squirrel cage rotor in which

aluminum conductors or bars are cast into slots in the outer periphery of the rotor These

conductors or bars are shorted together at both ends of the rotor by cast aluminum end rings

which also can be shaped to act as fans In larger induction motors copper or copper-alloy

bars are used to fabricate the rotor cage winding

CHAPTER ndash 5

SPEED CONTROL OF INDUCTION MOTOR

51 Necessity of speed control

Every day engineers design products that employ induction motors Speed control of 3-

phase induction motors is desirable in most motor control applications since it not only

provides variable speed but also reduces energy consumption and audible noise Controlling

the speed has many advantages such as power efficiency reduced audible noise and better

control over the applications The speed control of induction motor is more important to

achieve maximum torque and efficiency

AC Induction motors are being applied today in a wider range of applications requiring

variable speed Generally variable speed drives for induction motor require both wide

operating range of speed and fast torque response regardless of load variations This leads to

more advanced control methods to meet the real demand

Difficulties using conventional methods of speed control

1 It depends on the accuracy of the mathematical model of the system

2 The expected performance is not met due to the load disturbance motor saturation and

thermal variations

3 Classical linear control shows good performance only at one operating speed

4 The coefficients must be chosen properly for acceptable results whereas choosing the

proper coefficient with varying parameters like set point is very difficult

To implement conventional control The model of the controlled system must be known The

usual method of computation of mathematical model of a system is difficult When there are

system parameter variations or environmental disturbance the behaviour of the system is not

satisfactory The classical controller designed for high performance increases the complexity

of the design and hence the cost

52 Speed Control Ttechniques

In the past DC motors were used extensively in areas where variable-speed operations

were required DC motors have certain disadvantages however which are due to the

existance of the commutator and the brushes which makes the motor more bulky costly and

heavy They are also robust and immune to heavy loading the speed of the induction motor

has to be controlled and so different types of controllers are used to obtain the desired speed

Various speed control techniques implemented by modern-age variable frequency drive are

mainly classified in the following three categories

1 Scalar Control (Vf Control)

2 Vector Control (Indirect Torque Control)

3 Direct Torque Control(DTC)

The aim is to control the Speed amp Torque of the induction motor using vector control

technique The dynamic modelling of induction motor is done in the SIMULINK using the

necessary equations The Vector control of the induction motor is also modelled in the

SIMULINK using the necessary equations FPGA is implemented in the system for the better

control of the induction motor

521 Scalar Control ( V f control)

Whenever for three phase induction motor three phase supply is given rotating magnetic

field is produced which rotates at synchronous speed given by

Ns = 120fP

In three phase induction motor emf is induced by induction similar to that of transformer

which is given by

E or V = 444∮KTf or ∮ = V444KTf

Where K is the winding constant T is the number of turns per phase and f is frequency Now

synchronous speed changes if we change frequency but with decrease in frequency flux will

increase and this change in value of flux causes saturation of rotor and stator cores which will

further cause increase in no load current of the motor Somaintaining of flux is important φ

constant and it is possible in the case of voltage change ie if we decrease frequency flux

increases but at the same time if we decrease voltage flux will also decease causing no

change in flux and hence it remains constant So here we are keeping the ratio of V f as

constant Hence its name is V f method For the speed control of three phase induction

motor by V f method supply variable voltage and frequency we need to supply by using

converter and inverter set which is obtained

53 Vector Control (Indirect Torque Control) of AC induction machine

In AC induction motors vector control technique is most popular method In reference

frames the electromagnetic torque of the smooth-air-gap machine expression is similar to the

torque of the separately excited DC machine Induction machines case the control is

performed in the reference frame (d-q) attached to the rotor flux space vector So the

implementation of vector control requires information on the modulus and the space angle of

the rotor flux space vector The stator currents of the induction machine are separated into

flux- and torque-producing components by transformation to the d-q coordinate system

whose direct axis (d) is aligned with the rotor flux space vector It means that the q-axis

component of the rotor flux space vector is always zero

Ѱrq = 0 and ddtѰrq = 0 ----- (1)

The rotor flux space vector calculation and transformation to the d-q coordinate system

require the highѰ computational power of a microcontroller a digital signal processor is

suitable for this task

54 PWM Control

In this method a fixed dc input voltage is given to the inverter and a controlled ac

output voltage is obtained by adjusting the on and off periods of the inverter components

Inverter employing PWM principle are called PWM Inverters PWM techniques are

characterized by constant amplitude pulses The width of these pulses is modulated to obtain

inverter output voltage control and to reduce its harmonic content The advantages possessed

by PWM technique are the output voltage control with this method lower order harmonics

can be eliminated or minimized along with its output voltage control As higher order

harmonics can be filtered easily the filtering requirements are minimized The main

disadvantage of this method is that the SCRs are expensive as they must possess low turn on

and turn off times This is the most popular method of controlling the output voltage of an

inverter in industrial applications

541 Types of PWM Techniques

There are several types of PWM techniques Sinusoidal PWM (SPWM) selected

harmonics eliminations (SHE) PWM Minimum ripple current PWM Space vector PWM

Hysteresis band current control PWM (HBPWM) Sinusoidal PWM with instantaneous

current control Sigma-delta modulation The hysteresis band current control PWM has been

used because of its simple implementation fast transient response direct limiting of device

peak current and practical insensitivity of dc link voltage ripple that permits a lower filter

capacitor

Fig 51 Pulse Width Modultion

CHAPTER ndash6

BLOCK DIAGRAM DESCRIPTION OF THE HARDWARE MODEL

Figure 6 represents the block diagram of hardware model it consists of various blocks

same of these blocks are as explained below

Generating the square wave pulses using the Spartan 3AN FPGA kit then connected to

the driver circuit and though this circuit input is given to the three phase inverter By using

single phase supply(auto transformer) given to the rectifiers as it coverts AC voltage to DC

voltage Hence the DC voltage is connected to the inverter circuit From the inverter circuit

connected to the 3 phase induction motor terminals to measure the various speed

Fig 6 Block diagram of Hardware Implementation

61 Power Supply

All electronic circuits works only in low DC voltage so a power supply unit is

required to provide the appropriate voltage supply for their proper functioning This

power supply unit consists of transformer rectifier filter and regulator AC voltage of

typically 230V RMS is connected to a transformer which step down the voltage to the

desired AC voltage

Fig 611 General Block of Power Supply Unit

Single phase AC supply is given to bridge rectifier It converts AC into DC The paper

introduces the operation of power supply circuits built using filters rectifiers and then

voltage regulators Starting with an AC voltage a steady DC voltage is obtained by rectifying

the AC voltage then filtering to a DC level and finally regulating to obtain a desired fixed

DC voltage

611 Transformer

A transformer is a static device in which electric power in one circuit is transferred

into electric power of same frequency in another circuit It can raise or lower the voltage in

the circuit but with a corresponding decrease or increase in current It works with the

principle of mutual induction In this project a step-down transformer is used to provide

necessary supply of 12 V for the electronic circuits

612 Rectifier

A rectifier is an electrical device composed of one or more diodes that converts

alternating current (AC) to direct current (DC) Here in this project rectifier is used to get dc

for inverter circuitConverter is a device which convert AC to DC since high voltage dc

supply is required at the input of the inverter

In the bridge rectifier the diodes may be of variable types like 1N4001 1N4003

1N4004 1N4005 IN4007 etc can be used But in this project 1N4007 is used because it can

withstand up to 1000V

613 Filters

In order to obtain a dc voltage of 0 Hz a low pass capacitive filter circuit is used where a

capacitor is connected at the rectifier output and a DC voltage without ripples is obtained across

it The filtered waveform is essentially a DC voltage with negligible ripples and it is ultimately

fed to the load

614 Regulators

The filtered output voltage from the capacitor is finally regulated The voltage regulator

is a device which maintains the output voltage constant irrespective of the change in supply

variations load variations and temperature changes Here a fixed voltage regulator namely

LM7805 is usedThe IC LM7805 is a +5V regulator which is used for microcontroller

62 Spartan 3AN FPGA

Some of the important features of spartan 3AN FPGA is as given below

8-Nos General purpose point LEDs

8-Nos of DIP switches (Digital inputs)

2-Nos of Push Button

USB port

PTB Connector

Communication protocols

Full Duplex UART (USB) and UART (RS 232)

Other Features

1Mb Internal flash

50 MHz crystal oscillator clock source

USBJTAG Interface Connector for parallel programming Spartan3AN FPGA

USBUART interface

RS 232 interface

The Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K

gate Spartan-3AN on-board IO devices and 1Mb Internal flash memory making it the

perfect platform to experiment with any new design

The FPGA has good processing speed than the other controllers Here we are using

SPARTAN 3AN stick board kit for the proposed model The FPGA will give the appropriate

pulses to control the power in the inverter output It is very easy to make the pulses

compared to other controllers

In the FPGA board operating voltage of 33V is possible with the pulses

Fig 621 Spartan 3AN stick Board Components placement

63 Three phase inverter

A power inverter is a device which converts DC current supply into AC supply in

the form of sinewaves The DC and AC converters more commonly known as inverteres

depending on the type of supply source and the related topology of the power circuit are

classified as voltage source inverters (VSIs) and current source inverters (CSIs)

Inverter converts DC power to AC power usually at a controlled frequency and

voltage and this power used for supplying power to an AC motor

These work by controlling a switching device like an IGBT MOSFET or Bipolar

transistor with an oscillator so that the control device is switched on and off between

conducting and not conducting

The three phase MOSFET inverter uses the DC voltage supplied from the three phase

bridge and the gate drive signals to produce a balanced three phase sinusoidal output

which drives the induction motor

The metal-oxide semiconductor field effect transistor (MOSFET) is a transistor used

for amplifying or switching electronic signals

Although the MOSFET is a four terminal device with source (S) gate (G) Drain (D)

and body (B) terminals the body (or subtrate) of the MOSFET often is connected to

the source terminal making it a three-terminal device like other field effect

transistors Because these two terminals are normally connected to each other (short

circuited) internally only three terminals appear in electrical

Whereas MOSFETs are used for low-current and high ndashfrequency switching

The MOSFET used here is IRFP250N the gate signal provide by driver circuit six

MOSFET are included in three phase inverter to drive AC motor

Fig 631 Hardware representation of 3-phase inverter FPGA kit with driver circuit

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 2: my report

CHAPTER ndash 1

INTRODUCTION

The AC induction motor is a rotating electric machine to operate from a 3-phase source of

alternating voltage is designed Inverter is a source which is normally used for variable speed

drives that uses power switches to produce approximately sinusoidal voltages and currents of

controllable magnitude and frequency

The Adjustable Speed Drives (ADS) are commonly used in industry In most drives AC

motors are applied The standard in those drives are Induction Motors (IM) and recently

Permanent Magnet Synchronous Motors (PMSM) are offered Variable speed drives are widely

used in application such as pumps fans elevators electrical vehicles ventilation and air-

conditioning (HVAC) robotics wind generation systems ship propulsion etc

Although various induction motor control techniques such as variable voltage variable

frequency (VVVF) are in practice today but the most popular control technique is by generating

variable frequency supply which has constant voltage to frequency ratio This technique is

popularly known as VF control Generally used for open-loop systems VF control is used for a

large number of applications where the basic need is to vary the motor speed and control the

motor efficiently

The AC induction motor is the workhorse of industrial and residential motor applications

due to its simple construction and durability These motors have no brushes to wear out or

magnets to add to the cost The rotor assembly is a simple steel cage ACIMrsquos are designed to

operate at a constant input voltage and frequency but you can effectively control an ACIM in

variable speed application if the frequency of the motor input voltage is varied If the motor is

not mechanically overloaded the motor will operate at a speed that is roughly proportional to the

input frequency If decrease the frequency of the drive voltage need to decrease the amplitude

by a proportional amount Otherwise the motor will consume excessive current at low input

frequencies This control method is called ldquo VF method

The high-performance frequency controlled PWM inverter ndash fed IM drive should be

characterized by

1048696Constant switching frequency

1048696 uni-polar voltage PWM

1048696Low flux and torque ripple

1048696 four-quadrant operation

These features depend on the applied control strategy The main goal of the chosen control

method is to provide the best possible parameters of drive Vector control group includes not

only control of the voltage amplitude and frequency like in the scalar control methods but also

the instantaneous position of the voltage current and flux vectors There by improvement in the

dynamic behavior of the drive

Todayrsquos FPGA based PWM-output variable frequency drives provide the user with a

tremendous variety of features and functions which allow accurate control and monitoring in

nearly every 3-phase motor application A fundamental advantage of an ac drive is that it

provides virtually infinite speed control of the standard induction motors

The Spartan-3AN Stick Board provides a powerful self-contained development platform

for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K gate Spartan-

3AN on-board IO devices and 1Mb Internal flash memory making it the perfect platform to

experiment with any new design

Field Programmable Gate Arrays (FPGA) is a Higher density programmable device and is

used to integrate large amounts of logic in a single IC Implementation on FPGA is one of the

method to handle the real time requirements and disadvantages of conventional

microcontroller By using FPGA made faster and efficient solution to controller It involves the

logic based PWM method to control the speed of three phase induction motor

CHAPTER ndash 2

LITERATURE SURVEY

1 P Menghal and A Laxmi

These authors presents With the improvement in the technology of Microprocessor and Power

Electronics Induction motor drives with digital control have become more popular Artificial

intelligent controller (AIC) could be the best candidate for Induction Motor control Over the last

two decades researchers have been working to apply AIC for induction motor drives This is

because that AIC possesses advantages as compared to the conventional PI PID and their

adaptive versions The main advantages are that the designs of these controllers do not depend on

accurate system mathematical model and their performances are robust In recent years scientists

and researchers have acquired significant development on various sorts of control theories and

methods Among these control technologies intelligent control methods which are generally

regarded as the aggregation of Fuzzy Logic Control Neural Network Control Genetic

Algorithm and Expert System have exhibited particular superiorities The artificial neural

network controller introduced to the system for keeping the motor speed to be constant when the

load varies The speed control scheme of vector controlle d induction motor drive

involves decoupling of the speed and ref speed into torque and flux producing components The

performance of artificial neural network based controllers is compared with that of the

conventional proportional integral controller The dynamic modeling of Induction motor is

done and the performance of the Induction motor drive has been analyzed for constant and

variable loads By using neuro controller the transient response of induction machine has been

improved greatly and the dynamic response of the same has been made faster

1 M Mohamadian E Nowicki F Ashrafzadeh A Chu R Sachdeva and E Evanik

These authors presents an artificial neural network controller is experimentally implemented on

the Texas Instruments TMS320C30 digital signal processor (DSP) The controller emulates

indirect field-oriented control for an induction motor generating direct and quadrature current

command signals in the stationary frame In this way the neural network performs the critical

functions of slip estimation and matrix rotation internally There are five input signals to the

neural network controller namely a shaft speed signal the synchronous frame present and

delayed values of the quadrature axis stator current as well as two neural network output

signals fed back after a delay of one sample period The proposed three-layer neural network

controller contains only 17 neurons in an attempt to minimize computational requirements of

the digital signal processor This allows DSP resources to be used for other control purposes

and system functions For experimental investigation a sampling period of 1 ms is employed

Operating at 333 MHz (167 MIPS) the digital signal processor is able to perform all neural

network calculations in a total time of only 280 micros or only 4700 machine instructions

Torque pulsations are initially observed but are reduced by iterative re-training of the neural

network using experimental data The resulting motor speed step response (for several forward

and reverse step commands) quickly tracks the expected response with negligible error under

steady-state conditions

2 V Panchade R Chile and B Patre

These authors presents a state of the art review of control and estimation methods for

induction motor (IM) based on conventional approaches sliding mode control (SMC) and

sensorless SMC is presented The objective of this survey paper is to summarize the different

control approaches for IMs including field oriented control (FOC) direct torque control (DTC)

speed observer observer based flux estimation sliding mode (SM) flux and speed observer

current regulation by SMC sensorless SMC etc The applications of SMC to IMs has been

widespread in recent years The increasing interest in SMC is because of its interesting features

such as invariance robustness order reduction and control chattering Particularly robustness of

SM approach with respect to parameter variations and external disturbance is vital for the

control system The review covers the sensorless SMC schemes by integrating controller and

observer design to guarantee convergence of the estimates to the real states It also covers the

chattering problems encountered often in SMC area dealt by using an asymptotic observer

4 B Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

These authors presents a controller for induction motors is proposed A continuous feedback is

first applied to obtain a discrete-time model in closed form Then on the basis of these exact

sampled dynamics a discrete-time controller ensuring speed and flux modulus reference tracking

is determined making use of the sliding mode technique The resulting controller is hence

hybrid in the sense that it contains both continuous and discrete-time terms It is shown how to

implement such a hybrid controller using the so-called exponential holder which is the only

device to be implemented analogically together with an analog integrator Moreover a

discrete-time reduced-order observer is designed for rotor fluxes and load torque estimation The

performance of the proposed controller is finally studied by simulation and experimental tests

5 C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

An adaptive discrete-time tracking controller for a direct current motor with controlled

excitation flux is presented A recurrent neural network is used to identify the plant

model this neural identifier is trained with an extended Kalman filter algorithm Then the

discrete-time block-control and sliding-mode techniques are used to develop the trajectory

tracking This paper also includes the respective stability analysis for the whole closed-loop

system The effectiveness of the proposed control scheme is verified via real- time

implementation

6 A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

These authors presents deals with real-time adaptive tracking for discrete-time induction motors

in the presence of bounded disturbances A high-order neural-network structure is used to

identify the plant model and based on this model a discrete-time control law is derived which

combines discrete-time block-control and sliding-mode techniques This paper also includes the

respective stability analysis for the whole system with a strategy to avoid adaptive weight zero-

crossing The scheme is implemented in real time using a three- phase induction motor

7 A Y Alanis E N Sanchez and A G Loukianov

These authors presents the design of an adaptive controller based on the block control technique

and a new neural observer for a class of MIMO discrete-time nonlinear systems The observer

is based on a recurrent high-order neural network (RHONN) which estimates the state

vectors of the unknown plant dynamics The learning algorithm for the RHONN is based on an

extended Kalman filter (EKF) This paper also includes the respective stability analysis using

the Lyapunov approach for the whole system which includes the nonlinear plant the neural

observer trained with the EKF and the block controller Applicability of the proposed scheme

is illustrated via simulation for a discrete-time nonlinear model of an electric induction motor

8 M P Kazmierkowski and M A Dzieniakowski

These authors presents a review of recently used current regulation techniques for Voltage

Sourced Pulse WidthModulated (VS-PWM) inverters A variety of techniques different in

concept are described as follows On-Off hysteresis free running and fued frequency regulators

(phase independent look-up table based space vector based) linear regulators (carrier based

working in stationary and rotating coordinates PI and state feedback) predictive (minimum and

constant switching frequency) and dead beat regulators Also nowadays trends in the current

regulations - neural networks and fuzzy logic based regulators - are presented Some oscillograms

which illustrate properties of the presented regulator groups are shown The references include

96 actual papers and conference contributions

9 Ying-Yu Tzou and Hau- Jean Hsu

These authors presents a new circuit realization of the space-vector pulse-width modulation

(SVPWM) strategy An SVPWM control integrated circuit (IC) has been developed using the

state-of-the-art field-programmable gate array (FPGA) technologyThe proposed SVPWM

control scheme can be realized using only a single FPGA (XC4010) from Xilinx Inc The output

fundamental frequency can be adjusted from 0094 to 1500 HzThe pulse-width modulation

(PWM) switching frequency can be set from 381 Hz to 4884 kHz The delay time for the PWM

gating signals is adjustable This SVPWM IC can also be included in the digital current control

loop for stator current regulation The designed SVPWM IC can be incorporated with a digital

signal processor (DSP) to provide a simple and effective solution for high-performance ac drives

Simulation and experimental results are given to verify the implemented SVPWM control IC

10 G Thomas M Jahns and Edward L Owen

These authors represents there is broad recognition of the huge strides taken in the development

of modern ac adjustable-speed drives since the introduction of the thyristor in 1957 far fewer

engineers in the power electronics profession today are aware of the key engineering

developments in this field that preceded the solid-state era The purpose of this paper is to review

major milestones that set the stage for the development of todayrsquos ac drives including sufficient

details to acquaint readers with their basic principles strengths and limitations Attention will be

devoted to the continuum of this development history and the many direct echoes of

developments from the first half of the 1900rsquos that we take for granted in todayrsquos ac drives In

addition the spirited competition between electromechanical and electronic ac drive solutions

that dominated engineering attention during the early part of the century will be reviewed

highlighting the complicated interrelationship between electric machines and drive electronics

that persists today

11 Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh Krishnamurthy and Ali

Emadi

These authors presents Development of advanced motor drives has yielded increases in

efficiency and reliability Residential and commercial appliances such as refrigerators and air

conditioning systems use conventional motor drive technology The machines found in these

applications are characterized by low efficiency and high maintenance A brushless dc (BLDC)

motor drive is characterized by higher efficiency lower maintenance and higher cost In a

market driven by profit margins the appliance industry is reluctant to replace the conventional

motor drives with the advanced motor drives (BLDC) due to their higher cost Therefore it is

necessary to have a low-cost but effective BLDC motor controller This paper lays the

groundwork for the development of a new low-cost IC for control of BLDC motors A simple

novel digital pulse width modulation control has been implemented for a trapezoidal BLDC

motor drive system Due to the simplistic nature of this control it has the potential to be

implemented in a low-cost applicationspecific integrated circuit The novel controller is modeled

and verified using simulations Experimental verification is carried out using field-programmable

gate arrays to validate the claims presented

12 Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N Cirstea

These authors presents a novel direct torque control (DTC) approach for induction machines

based on an improved torque and stator flux estimator and its implementation using field

programmable gate arrays (FPGA) The DTC performance is significantly improved by the use

of FPGA which can execute the DTC algorithm at higher sampling frequency This leads to the

reduction of the torque ripple and improved flux and torque estimations The main achievements

are 1) calculating a discrete integration operation of stator flux using backward Euler approach

2) modifying a so called nonrestoring method in calculating the complicated square root

operation in stator flux estimator 3) introducing a new flux sector determinationmethod 4)

increasing the sampling frequency to 200 kHz such that the digital computation will perform

similar to that of the analog operation and 5) using tworsquos complement fixed-point format

approach to minimize calculation errors and the hardware resource usage in all operations The

design was achieved in VHDL based on a MATLABSimulink simulation model The

Hardware-in-the-Loop method is used to verify the functionality of the FPGA estimator The

simulation results are validated experimentally Thus it is demonstrated that FPGA

implementation of DTC drives can achieve excellent performance at high sampling frequency

13 Alessandro Cilardo

These authors presents Field programmable gate array (FPGA) devices are increasingly being

deployed in industrial environments making reconfigurable hardware testing and reliability an

active area of investigation While FPGA devices can be tested exhaustively the so-called

application-dependent test (ADT) has emerged as an effective approach ensuring reduced testing

efforts and improving the manufacturing yield since it can selectively exclude a subset of faults

not affecting a given design In addition to manufacturing ADT can be used online providing a

solution for fast runtime fault detection and diagnostics This paper identifies a number of issues

in existing ADT techniques which limit their applicability and proposes new approaches

improving the range of covered faults with special emphasis on feedback bridging faults as well

as new algorithms for generating ADT test configurations Furthermore the work introduces a

software environment addressing the current lack of tools either academic or commercial

supporting ADT techniques The architecture of the environment is highly modular and

extensively based on a plug-in approach To demonstrate the potential of the toolset we

developed a complete suite of plug-ins based on both state-of-the-art ADT techniques and the

novel approaches introduced here The experimental results presented at the end of the paper

confirm the impact of the proposed techniques

14 M Nasir Uddin Tawfik S Radwan and M Azizur Rahman

These authors presents a novel speed control scheme of an induction motor (IM) using fuzzy-

logic control The fuzzy-logic controller (FLC) is based on the indirect vector control The fuzzy-

logic speed controller is employed in the outer loop Thecomplete vector control scheme of the

IM drive incorporating the FLC is experimentally implemented using a digital signal processor

board DS-1102 for the laboratory 1-hp squirrel-cage IM The performances of the proposed

FLC-based IM drive are investigated and compared to those obtained from the conventional

proportional-integral (PI) controller-based drive both theoretically and experimentally at

different dynamic operating conditions such as sudden change in command speed step change in

load etc The comparative experimental results show that the FLC is more robust and hence

found to be a suitable replacement of the conventional PI controller for the high-performance

industrial drive applications

15 Bhim Singh BP Singh and Sanjeet Dwivedi

These authors presents a Digital Signal Processor (DSP) based implementation of a Hybrid of

Fuzzy Logic Controller (FLC) and Proportional-Integral (PI) speed controller for Vector

Controlled (VC) Permanent Magnet Synchronous Motor (PMSM) Drive The fuzzy membership

function is used for the hybrid combination of these two FLC and PI speed controllers in such a

way that during the time of dynamic conditions such as starting the degree of belonging for FLC

speed controller is higher than the PI controller and near the set point the degree of belonging of

PI controller is having higher weightage The simulation model of the PMSM drive system is

developed in MATLAB environment with simulink and PSB oolboxes to analyze the

performance of the proposed drive system The hybrid speed controller is found suitable for

Vector Controlled PMSM drive in giving the high level of performance while maintaining the

excellent response at the time of starting speed reversal load perturbation and steady-state

operation of the drive

16 WP Hew C P Ooi And N A Rahim

These authors proposes the circuit realization of Space Vector Modulation (SVM) algorithm

using a single Altera Flex 10k chip (EPFlOK70RC240-4) An SVM integrated circuit (IC) has

been designed and developed to improve the vector control of the three-phase voltage source

inverter (VSI) The design of SVM IC is downloaded to the Altera UP2 Board and tested with

the inverter circuit to drive a 3-phase induction motor The experimental results for 33Hz and

50Hz fundamental frequency and inverter switching frequency of 819 kHz are recorded

CHAPTER ndash3

DESCRIPTION ON FPGA CONTROLLER

In this project the speed of the induction motor is controlled by varying stator

frequency and voltage using FPGA programming FPGA is a new platform for motor control

application and it gives excellent performance at mathematical calculation The AC induction

motor is a relatively simple inexpensive and rugged device which requires little maintenance

However the induction motor is virtually a fixed speed device when operated from a constant

frequency source Since some applications require a fairly wide range of operating speeds DC

machines were often required With the advent of power electronics devices have become

available that allow induction machines to be operated over a range of speeds It is now

frequently possible to buy an induction machine with an electronic drive for about the same price

as a comparable DC machine Furthermore variable speed induction motors can also be used to

drive pumps or fans more economically than the mechanical means which are often used to

provide variable flow

Todayrsquos FPGA based PWM-output variable frequency drives provide the user with a

tremendous variety of features and functions which allow accurate control and monitoring in

nearly every 3-phase motor application A fundamental advantage of an ac drive is that it

provides virtually infinite speed control of the standard induction motors

FPGAThe Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K gate

Spartan-3AN on-board IO devicesand 1Mb Internal flash memory making it the perfect

platform to experiment with any new design

The Spartan3AN FPGA Stick Board kit includes a USB JTAG programming and

debugging chain Additionally there are two possible way for programming download and

debugging through USB as well as JTAG header a mini USB cable which is used to download

the program from PC into FPGA For this purpose the cable directly connected to USB port of

the PC and another way is the JTAG cable connects directly to the parallel port of a PC and to a

standard 6-pin JTAG programming header in the kit that can program a devices that have a

JTAG voltage of 18v or greater

31 Methodology

The speed control of the induction motor with FPGA implementation is developed

When the Induction motors connected to the main supply it runs at their rated speed

Therefore to vary the rotor speed of IM variable frequency drive is required In the

methodology it cannot be considered as a suitable design solution for cost sensitive or

industrial applications Field Programmable Gate Arrays (FPGA) is a Higher density

programmable device and is used to integrate large amounts of logic in a single IC

Implementation on FPGA is one of the method to handle the real time requirements and

disadvantages of conventional microcontroller By using FPGA made faster and efficient

solution to controller It involves the logic based PWM method to control the speed of three

phase induction motorThe matlab based speed control simulation is developed and checked

for the performance improvements As well as hardware part by using FPGA has done

CHAPTER ndash 4

DYNAMIC MODELING amp SIMULATION OF THE INDUCTION MOTOR DRIVE

Dynamic behaviour of induction motor can be expressed by voltage and torque which are

time varying The differential equation that belongs to dynamic analysis of induction motor

are so sophisticated Then with the change of variables the complexity of these equations can

be decreased through movement from poly phase winding to two phase winding(q-d) In

other words the stator and rotor variables like voltage current and flux linkages of an

induction machine are transferred to another reference model which remains stationary

The AC induction motor model is given by the space vector form of the voltage equations

The system model defined in the stationary αβ-coordinate system attached to the stator is

expressed by the following equations Ideally the motor model is symmetrical with a linear

magnetic circuit characteristic

The stator amp rotor voltage differential equations

usα=R si sα+ddt

ψsα (1)

usβ=Rs isβ+ddt

ψsβ (2)

urα=0=Rr irα+ddt

ψrα+ωrβ (3)

urβ=0=R rir β+ddt

ψrβminusωrβ (4)

Electromagnetic torque expressed by utilizing space vector quantities

T e=32

Pp(ψsα isβminusψsβ isα) (5)

where

αβ = Stator orthogonal coordinate system

u sαβ urαβ = Stator and Rotor voltages [V]

isαβ irαβ = Stator and Rotor currents [A]

Ψsαβ Ψrαβ = Stator and Rotor magnetic fluxes [Vs]

Rs Rr = Stator and Rotor phase resistance [Ohm]

ω ωs = Electrical rotor speed synchronous speed [rads]

pp = Number of pole pairs

Te = electromagnetic torque [Nm]

After transformation into d-q coordinates the motor model follows

usd=R sisd+ddt

ψsdminusωsψsd (6)

usq=Rs isq+ddt

ψ sqminusωsψ sq (7)

urd=0=Rr ird+ddt

ψrdminus(ωiquestiquest sminusω)ψrqiquest (8)

urq=0=Rr irq+ddt

ψrqminus(ωiquestiquest sminusω)ψrd iquest (9)

T e=32

Pp(ψsd isqminusψsq isd) (10)

41Equivalent circuit The Induction motor is normally modeled as Equivalent circuit The figure 41(a) amp 41(b) shows the typical equivalent circuits

Fig 41 (a) and 41(b) Equivalent circuit of IM

42 AC Motors

An AC motor is an electric motors that is driven by an alternating current It consists of

two basic parts an outside stationary stator having coils supplied with alternating current to

produce a rotating magnetic field and an inside rotor attached to the output shaft that is given

a torque by the rotating field

There are two recognized broad classes of AC electrical rotating machine synchronouns

motor(SM) which rotates exactly at the supply frequency or a submultiple of the supply

frequency The magnetic field on the rotor either generated by current delivered throgh slip

rings or by a permanent magnet

The second is the asynchronous or induction (IM) which runs slightly slower than the

supply frequency The magnetic field on the rotor of this motor is created by an induced

current

A third class is introduced here for clarity the Electronically Commutated Machine

(ECM) Such ECM machines have electronic commutation or switching as an inherent part of

the operation This is different to electronically producing a variable frequency sine wave

supply say by pulse width modulation (PWM) and using this instead of mains excitation

The AC induction motor is a rotating electric machine which is designed to operate

from a 3-phase source of alternating voltage The source is usually an inverter and is used for

variable speed drives that uses power switches to produce approximately sinusoidal voltages

and currents for magnitude and frequency control

Fig 42 3-phase AC Induction motor

A cross-section of a two-pole induction motor is shown in figure Slots in the inner

periphery of the stator accommodate 3-phase winding abc The turns in each winding are

distributed so that a current in a stator winding produces an approximately sinusoidally-

distributed flux density around the periphery of the air gap When three currents that are

sinusoidally varying in time but displaced in phase by 120deg from each other flow through

the three symmetrically-placed windings a radially-directed air gap flux density is produced

that is also sinusoidally distributed around the gap and rotates at an angular velocity equal to

the angular frequency ωs of the stator currents

The most common type of induction motor has a squirrel cage rotor in which

aluminum conductors or bars are cast into slots in the outer periphery of the rotor These

conductors or bars are shorted together at both ends of the rotor by cast aluminum end rings

which also can be shaped to act as fans In larger induction motors copper or copper-alloy

bars are used to fabricate the rotor cage winding

CHAPTER ndash 5

SPEED CONTROL OF INDUCTION MOTOR

51 Necessity of speed control

Every day engineers design products that employ induction motors Speed control of 3-

phase induction motors is desirable in most motor control applications since it not only

provides variable speed but also reduces energy consumption and audible noise Controlling

the speed has many advantages such as power efficiency reduced audible noise and better

control over the applications The speed control of induction motor is more important to

achieve maximum torque and efficiency

AC Induction motors are being applied today in a wider range of applications requiring

variable speed Generally variable speed drives for induction motor require both wide

operating range of speed and fast torque response regardless of load variations This leads to

more advanced control methods to meet the real demand

Difficulties using conventional methods of speed control

1 It depends on the accuracy of the mathematical model of the system

2 The expected performance is not met due to the load disturbance motor saturation and

thermal variations

3 Classical linear control shows good performance only at one operating speed

4 The coefficients must be chosen properly for acceptable results whereas choosing the

proper coefficient with varying parameters like set point is very difficult

To implement conventional control The model of the controlled system must be known The

usual method of computation of mathematical model of a system is difficult When there are

system parameter variations or environmental disturbance the behaviour of the system is not

satisfactory The classical controller designed for high performance increases the complexity

of the design and hence the cost

52 Speed Control Ttechniques

In the past DC motors were used extensively in areas where variable-speed operations

were required DC motors have certain disadvantages however which are due to the

existance of the commutator and the brushes which makes the motor more bulky costly and

heavy They are also robust and immune to heavy loading the speed of the induction motor

has to be controlled and so different types of controllers are used to obtain the desired speed

Various speed control techniques implemented by modern-age variable frequency drive are

mainly classified in the following three categories

1 Scalar Control (Vf Control)

2 Vector Control (Indirect Torque Control)

3 Direct Torque Control(DTC)

The aim is to control the Speed amp Torque of the induction motor using vector control

technique The dynamic modelling of induction motor is done in the SIMULINK using the

necessary equations The Vector control of the induction motor is also modelled in the

SIMULINK using the necessary equations FPGA is implemented in the system for the better

control of the induction motor

521 Scalar Control ( V f control)

Whenever for three phase induction motor three phase supply is given rotating magnetic

field is produced which rotates at synchronous speed given by

Ns = 120fP

In three phase induction motor emf is induced by induction similar to that of transformer

which is given by

E or V = 444∮KTf or ∮ = V444KTf

Where K is the winding constant T is the number of turns per phase and f is frequency Now

synchronous speed changes if we change frequency but with decrease in frequency flux will

increase and this change in value of flux causes saturation of rotor and stator cores which will

further cause increase in no load current of the motor Somaintaining of flux is important φ

constant and it is possible in the case of voltage change ie if we decrease frequency flux

increases but at the same time if we decrease voltage flux will also decease causing no

change in flux and hence it remains constant So here we are keeping the ratio of V f as

constant Hence its name is V f method For the speed control of three phase induction

motor by V f method supply variable voltage and frequency we need to supply by using

converter and inverter set which is obtained

53 Vector Control (Indirect Torque Control) of AC induction machine

In AC induction motors vector control technique is most popular method In reference

frames the electromagnetic torque of the smooth-air-gap machine expression is similar to the

torque of the separately excited DC machine Induction machines case the control is

performed in the reference frame (d-q) attached to the rotor flux space vector So the

implementation of vector control requires information on the modulus and the space angle of

the rotor flux space vector The stator currents of the induction machine are separated into

flux- and torque-producing components by transformation to the d-q coordinate system

whose direct axis (d) is aligned with the rotor flux space vector It means that the q-axis

component of the rotor flux space vector is always zero

Ѱrq = 0 and ddtѰrq = 0 ----- (1)

The rotor flux space vector calculation and transformation to the d-q coordinate system

require the highѰ computational power of a microcontroller a digital signal processor is

suitable for this task

54 PWM Control

In this method a fixed dc input voltage is given to the inverter and a controlled ac

output voltage is obtained by adjusting the on and off periods of the inverter components

Inverter employing PWM principle are called PWM Inverters PWM techniques are

characterized by constant amplitude pulses The width of these pulses is modulated to obtain

inverter output voltage control and to reduce its harmonic content The advantages possessed

by PWM technique are the output voltage control with this method lower order harmonics

can be eliminated or minimized along with its output voltage control As higher order

harmonics can be filtered easily the filtering requirements are minimized The main

disadvantage of this method is that the SCRs are expensive as they must possess low turn on

and turn off times This is the most popular method of controlling the output voltage of an

inverter in industrial applications

541 Types of PWM Techniques

There are several types of PWM techniques Sinusoidal PWM (SPWM) selected

harmonics eliminations (SHE) PWM Minimum ripple current PWM Space vector PWM

Hysteresis band current control PWM (HBPWM) Sinusoidal PWM with instantaneous

current control Sigma-delta modulation The hysteresis band current control PWM has been

used because of its simple implementation fast transient response direct limiting of device

peak current and practical insensitivity of dc link voltage ripple that permits a lower filter

capacitor

Fig 51 Pulse Width Modultion

CHAPTER ndash6

BLOCK DIAGRAM DESCRIPTION OF THE HARDWARE MODEL

Figure 6 represents the block diagram of hardware model it consists of various blocks

same of these blocks are as explained below

Generating the square wave pulses using the Spartan 3AN FPGA kit then connected to

the driver circuit and though this circuit input is given to the three phase inverter By using

single phase supply(auto transformer) given to the rectifiers as it coverts AC voltage to DC

voltage Hence the DC voltage is connected to the inverter circuit From the inverter circuit

connected to the 3 phase induction motor terminals to measure the various speed

Fig 6 Block diagram of Hardware Implementation

61 Power Supply

All electronic circuits works only in low DC voltage so a power supply unit is

required to provide the appropriate voltage supply for their proper functioning This

power supply unit consists of transformer rectifier filter and regulator AC voltage of

typically 230V RMS is connected to a transformer which step down the voltage to the

desired AC voltage

Fig 611 General Block of Power Supply Unit

Single phase AC supply is given to bridge rectifier It converts AC into DC The paper

introduces the operation of power supply circuits built using filters rectifiers and then

voltage regulators Starting with an AC voltage a steady DC voltage is obtained by rectifying

the AC voltage then filtering to a DC level and finally regulating to obtain a desired fixed

DC voltage

611 Transformer

A transformer is a static device in which electric power in one circuit is transferred

into electric power of same frequency in another circuit It can raise or lower the voltage in

the circuit but with a corresponding decrease or increase in current It works with the

principle of mutual induction In this project a step-down transformer is used to provide

necessary supply of 12 V for the electronic circuits

612 Rectifier

A rectifier is an electrical device composed of one or more diodes that converts

alternating current (AC) to direct current (DC) Here in this project rectifier is used to get dc

for inverter circuitConverter is a device which convert AC to DC since high voltage dc

supply is required at the input of the inverter

In the bridge rectifier the diodes may be of variable types like 1N4001 1N4003

1N4004 1N4005 IN4007 etc can be used But in this project 1N4007 is used because it can

withstand up to 1000V

613 Filters

In order to obtain a dc voltage of 0 Hz a low pass capacitive filter circuit is used where a

capacitor is connected at the rectifier output and a DC voltage without ripples is obtained across

it The filtered waveform is essentially a DC voltage with negligible ripples and it is ultimately

fed to the load

614 Regulators

The filtered output voltage from the capacitor is finally regulated The voltage regulator

is a device which maintains the output voltage constant irrespective of the change in supply

variations load variations and temperature changes Here a fixed voltage regulator namely

LM7805 is usedThe IC LM7805 is a +5V regulator which is used for microcontroller

62 Spartan 3AN FPGA

Some of the important features of spartan 3AN FPGA is as given below

8-Nos General purpose point LEDs

8-Nos of DIP switches (Digital inputs)

2-Nos of Push Button

USB port

PTB Connector

Communication protocols

Full Duplex UART (USB) and UART (RS 232)

Other Features

1Mb Internal flash

50 MHz crystal oscillator clock source

USBJTAG Interface Connector for parallel programming Spartan3AN FPGA

USBUART interface

RS 232 interface

The Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K

gate Spartan-3AN on-board IO devices and 1Mb Internal flash memory making it the

perfect platform to experiment with any new design

The FPGA has good processing speed than the other controllers Here we are using

SPARTAN 3AN stick board kit for the proposed model The FPGA will give the appropriate

pulses to control the power in the inverter output It is very easy to make the pulses

compared to other controllers

In the FPGA board operating voltage of 33V is possible with the pulses

Fig 621 Spartan 3AN stick Board Components placement

63 Three phase inverter

A power inverter is a device which converts DC current supply into AC supply in

the form of sinewaves The DC and AC converters more commonly known as inverteres

depending on the type of supply source and the related topology of the power circuit are

classified as voltage source inverters (VSIs) and current source inverters (CSIs)

Inverter converts DC power to AC power usually at a controlled frequency and

voltage and this power used for supplying power to an AC motor

These work by controlling a switching device like an IGBT MOSFET or Bipolar

transistor with an oscillator so that the control device is switched on and off between

conducting and not conducting

The three phase MOSFET inverter uses the DC voltage supplied from the three phase

bridge and the gate drive signals to produce a balanced three phase sinusoidal output

which drives the induction motor

The metal-oxide semiconductor field effect transistor (MOSFET) is a transistor used

for amplifying or switching electronic signals

Although the MOSFET is a four terminal device with source (S) gate (G) Drain (D)

and body (B) terminals the body (or subtrate) of the MOSFET often is connected to

the source terminal making it a three-terminal device like other field effect

transistors Because these two terminals are normally connected to each other (short

circuited) internally only three terminals appear in electrical

Whereas MOSFETs are used for low-current and high ndashfrequency switching

The MOSFET used here is IRFP250N the gate signal provide by driver circuit six

MOSFET are included in three phase inverter to drive AC motor

Fig 631 Hardware representation of 3-phase inverter FPGA kit with driver circuit

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 3: my report

1048696Constant switching frequency

1048696 uni-polar voltage PWM

1048696Low flux and torque ripple

1048696 four-quadrant operation

These features depend on the applied control strategy The main goal of the chosen control

method is to provide the best possible parameters of drive Vector control group includes not

only control of the voltage amplitude and frequency like in the scalar control methods but also

the instantaneous position of the voltage current and flux vectors There by improvement in the

dynamic behavior of the drive

Todayrsquos FPGA based PWM-output variable frequency drives provide the user with a

tremendous variety of features and functions which allow accurate control and monitoring in

nearly every 3-phase motor application A fundamental advantage of an ac drive is that it

provides virtually infinite speed control of the standard induction motors

The Spartan-3AN Stick Board provides a powerful self-contained development platform

for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K gate Spartan-

3AN on-board IO devices and 1Mb Internal flash memory making it the perfect platform to

experiment with any new design

Field Programmable Gate Arrays (FPGA) is a Higher density programmable device and is

used to integrate large amounts of logic in a single IC Implementation on FPGA is one of the

method to handle the real time requirements and disadvantages of conventional

microcontroller By using FPGA made faster and efficient solution to controller It involves the

logic based PWM method to control the speed of three phase induction motor

CHAPTER ndash 2

LITERATURE SURVEY

1 P Menghal and A Laxmi

These authors presents With the improvement in the technology of Microprocessor and Power

Electronics Induction motor drives with digital control have become more popular Artificial

intelligent controller (AIC) could be the best candidate for Induction Motor control Over the last

two decades researchers have been working to apply AIC for induction motor drives This is

because that AIC possesses advantages as compared to the conventional PI PID and their

adaptive versions The main advantages are that the designs of these controllers do not depend on

accurate system mathematical model and their performances are robust In recent years scientists

and researchers have acquired significant development on various sorts of control theories and

methods Among these control technologies intelligent control methods which are generally

regarded as the aggregation of Fuzzy Logic Control Neural Network Control Genetic

Algorithm and Expert System have exhibited particular superiorities The artificial neural

network controller introduced to the system for keeping the motor speed to be constant when the

load varies The speed control scheme of vector controlle d induction motor drive

involves decoupling of the speed and ref speed into torque and flux producing components The

performance of artificial neural network based controllers is compared with that of the

conventional proportional integral controller The dynamic modeling of Induction motor is

done and the performance of the Induction motor drive has been analyzed for constant and

variable loads By using neuro controller the transient response of induction machine has been

improved greatly and the dynamic response of the same has been made faster

1 M Mohamadian E Nowicki F Ashrafzadeh A Chu R Sachdeva and E Evanik

These authors presents an artificial neural network controller is experimentally implemented on

the Texas Instruments TMS320C30 digital signal processor (DSP) The controller emulates

indirect field-oriented control for an induction motor generating direct and quadrature current

command signals in the stationary frame In this way the neural network performs the critical

functions of slip estimation and matrix rotation internally There are five input signals to the

neural network controller namely a shaft speed signal the synchronous frame present and

delayed values of the quadrature axis stator current as well as two neural network output

signals fed back after a delay of one sample period The proposed three-layer neural network

controller contains only 17 neurons in an attempt to minimize computational requirements of

the digital signal processor This allows DSP resources to be used for other control purposes

and system functions For experimental investigation a sampling period of 1 ms is employed

Operating at 333 MHz (167 MIPS) the digital signal processor is able to perform all neural

network calculations in a total time of only 280 micros or only 4700 machine instructions

Torque pulsations are initially observed but are reduced by iterative re-training of the neural

network using experimental data The resulting motor speed step response (for several forward

and reverse step commands) quickly tracks the expected response with negligible error under

steady-state conditions

2 V Panchade R Chile and B Patre

These authors presents a state of the art review of control and estimation methods for

induction motor (IM) based on conventional approaches sliding mode control (SMC) and

sensorless SMC is presented The objective of this survey paper is to summarize the different

control approaches for IMs including field oriented control (FOC) direct torque control (DTC)

speed observer observer based flux estimation sliding mode (SM) flux and speed observer

current regulation by SMC sensorless SMC etc The applications of SMC to IMs has been

widespread in recent years The increasing interest in SMC is because of its interesting features

such as invariance robustness order reduction and control chattering Particularly robustness of

SM approach with respect to parameter variations and external disturbance is vital for the

control system The review covers the sensorless SMC schemes by integrating controller and

observer design to guarantee convergence of the estimates to the real states It also covers the

chattering problems encountered often in SMC area dealt by using an asymptotic observer

4 B Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

These authors presents a controller for induction motors is proposed A continuous feedback is

first applied to obtain a discrete-time model in closed form Then on the basis of these exact

sampled dynamics a discrete-time controller ensuring speed and flux modulus reference tracking

is determined making use of the sliding mode technique The resulting controller is hence

hybrid in the sense that it contains both continuous and discrete-time terms It is shown how to

implement such a hybrid controller using the so-called exponential holder which is the only

device to be implemented analogically together with an analog integrator Moreover a

discrete-time reduced-order observer is designed for rotor fluxes and load torque estimation The

performance of the proposed controller is finally studied by simulation and experimental tests

5 C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

An adaptive discrete-time tracking controller for a direct current motor with controlled

excitation flux is presented A recurrent neural network is used to identify the plant

model this neural identifier is trained with an extended Kalman filter algorithm Then the

discrete-time block-control and sliding-mode techniques are used to develop the trajectory

tracking This paper also includes the respective stability analysis for the whole closed-loop

system The effectiveness of the proposed control scheme is verified via real- time

implementation

6 A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

These authors presents deals with real-time adaptive tracking for discrete-time induction motors

in the presence of bounded disturbances A high-order neural-network structure is used to

identify the plant model and based on this model a discrete-time control law is derived which

combines discrete-time block-control and sliding-mode techniques This paper also includes the

respective stability analysis for the whole system with a strategy to avoid adaptive weight zero-

crossing The scheme is implemented in real time using a three- phase induction motor

7 A Y Alanis E N Sanchez and A G Loukianov

These authors presents the design of an adaptive controller based on the block control technique

and a new neural observer for a class of MIMO discrete-time nonlinear systems The observer

is based on a recurrent high-order neural network (RHONN) which estimates the state

vectors of the unknown plant dynamics The learning algorithm for the RHONN is based on an

extended Kalman filter (EKF) This paper also includes the respective stability analysis using

the Lyapunov approach for the whole system which includes the nonlinear plant the neural

observer trained with the EKF and the block controller Applicability of the proposed scheme

is illustrated via simulation for a discrete-time nonlinear model of an electric induction motor

8 M P Kazmierkowski and M A Dzieniakowski

These authors presents a review of recently used current regulation techniques for Voltage

Sourced Pulse WidthModulated (VS-PWM) inverters A variety of techniques different in

concept are described as follows On-Off hysteresis free running and fued frequency regulators

(phase independent look-up table based space vector based) linear regulators (carrier based

working in stationary and rotating coordinates PI and state feedback) predictive (minimum and

constant switching frequency) and dead beat regulators Also nowadays trends in the current

regulations - neural networks and fuzzy logic based regulators - are presented Some oscillograms

which illustrate properties of the presented regulator groups are shown The references include

96 actual papers and conference contributions

9 Ying-Yu Tzou and Hau- Jean Hsu

These authors presents a new circuit realization of the space-vector pulse-width modulation

(SVPWM) strategy An SVPWM control integrated circuit (IC) has been developed using the

state-of-the-art field-programmable gate array (FPGA) technologyThe proposed SVPWM

control scheme can be realized using only a single FPGA (XC4010) from Xilinx Inc The output

fundamental frequency can be adjusted from 0094 to 1500 HzThe pulse-width modulation

(PWM) switching frequency can be set from 381 Hz to 4884 kHz The delay time for the PWM

gating signals is adjustable This SVPWM IC can also be included in the digital current control

loop for stator current regulation The designed SVPWM IC can be incorporated with a digital

signal processor (DSP) to provide a simple and effective solution for high-performance ac drives

Simulation and experimental results are given to verify the implemented SVPWM control IC

10 G Thomas M Jahns and Edward L Owen

These authors represents there is broad recognition of the huge strides taken in the development

of modern ac adjustable-speed drives since the introduction of the thyristor in 1957 far fewer

engineers in the power electronics profession today are aware of the key engineering

developments in this field that preceded the solid-state era The purpose of this paper is to review

major milestones that set the stage for the development of todayrsquos ac drives including sufficient

details to acquaint readers with their basic principles strengths and limitations Attention will be

devoted to the continuum of this development history and the many direct echoes of

developments from the first half of the 1900rsquos that we take for granted in todayrsquos ac drives In

addition the spirited competition between electromechanical and electronic ac drive solutions

that dominated engineering attention during the early part of the century will be reviewed

highlighting the complicated interrelationship between electric machines and drive electronics

that persists today

11 Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh Krishnamurthy and Ali

Emadi

These authors presents Development of advanced motor drives has yielded increases in

efficiency and reliability Residential and commercial appliances such as refrigerators and air

conditioning systems use conventional motor drive technology The machines found in these

applications are characterized by low efficiency and high maintenance A brushless dc (BLDC)

motor drive is characterized by higher efficiency lower maintenance and higher cost In a

market driven by profit margins the appliance industry is reluctant to replace the conventional

motor drives with the advanced motor drives (BLDC) due to their higher cost Therefore it is

necessary to have a low-cost but effective BLDC motor controller This paper lays the

groundwork for the development of a new low-cost IC for control of BLDC motors A simple

novel digital pulse width modulation control has been implemented for a trapezoidal BLDC

motor drive system Due to the simplistic nature of this control it has the potential to be

implemented in a low-cost applicationspecific integrated circuit The novel controller is modeled

and verified using simulations Experimental verification is carried out using field-programmable

gate arrays to validate the claims presented

12 Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N Cirstea

These authors presents a novel direct torque control (DTC) approach for induction machines

based on an improved torque and stator flux estimator and its implementation using field

programmable gate arrays (FPGA) The DTC performance is significantly improved by the use

of FPGA which can execute the DTC algorithm at higher sampling frequency This leads to the

reduction of the torque ripple and improved flux and torque estimations The main achievements

are 1) calculating a discrete integration operation of stator flux using backward Euler approach

2) modifying a so called nonrestoring method in calculating the complicated square root

operation in stator flux estimator 3) introducing a new flux sector determinationmethod 4)

increasing the sampling frequency to 200 kHz such that the digital computation will perform

similar to that of the analog operation and 5) using tworsquos complement fixed-point format

approach to minimize calculation errors and the hardware resource usage in all operations The

design was achieved in VHDL based on a MATLABSimulink simulation model The

Hardware-in-the-Loop method is used to verify the functionality of the FPGA estimator The

simulation results are validated experimentally Thus it is demonstrated that FPGA

implementation of DTC drives can achieve excellent performance at high sampling frequency

13 Alessandro Cilardo

These authors presents Field programmable gate array (FPGA) devices are increasingly being

deployed in industrial environments making reconfigurable hardware testing and reliability an

active area of investigation While FPGA devices can be tested exhaustively the so-called

application-dependent test (ADT) has emerged as an effective approach ensuring reduced testing

efforts and improving the manufacturing yield since it can selectively exclude a subset of faults

not affecting a given design In addition to manufacturing ADT can be used online providing a

solution for fast runtime fault detection and diagnostics This paper identifies a number of issues

in existing ADT techniques which limit their applicability and proposes new approaches

improving the range of covered faults with special emphasis on feedback bridging faults as well

as new algorithms for generating ADT test configurations Furthermore the work introduces a

software environment addressing the current lack of tools either academic or commercial

supporting ADT techniques The architecture of the environment is highly modular and

extensively based on a plug-in approach To demonstrate the potential of the toolset we

developed a complete suite of plug-ins based on both state-of-the-art ADT techniques and the

novel approaches introduced here The experimental results presented at the end of the paper

confirm the impact of the proposed techniques

14 M Nasir Uddin Tawfik S Radwan and M Azizur Rahman

These authors presents a novel speed control scheme of an induction motor (IM) using fuzzy-

logic control The fuzzy-logic controller (FLC) is based on the indirect vector control The fuzzy-

logic speed controller is employed in the outer loop Thecomplete vector control scheme of the

IM drive incorporating the FLC is experimentally implemented using a digital signal processor

board DS-1102 for the laboratory 1-hp squirrel-cage IM The performances of the proposed

FLC-based IM drive are investigated and compared to those obtained from the conventional

proportional-integral (PI) controller-based drive both theoretically and experimentally at

different dynamic operating conditions such as sudden change in command speed step change in

load etc The comparative experimental results show that the FLC is more robust and hence

found to be a suitable replacement of the conventional PI controller for the high-performance

industrial drive applications

15 Bhim Singh BP Singh and Sanjeet Dwivedi

These authors presents a Digital Signal Processor (DSP) based implementation of a Hybrid of

Fuzzy Logic Controller (FLC) and Proportional-Integral (PI) speed controller for Vector

Controlled (VC) Permanent Magnet Synchronous Motor (PMSM) Drive The fuzzy membership

function is used for the hybrid combination of these two FLC and PI speed controllers in such a

way that during the time of dynamic conditions such as starting the degree of belonging for FLC

speed controller is higher than the PI controller and near the set point the degree of belonging of

PI controller is having higher weightage The simulation model of the PMSM drive system is

developed in MATLAB environment with simulink and PSB oolboxes to analyze the

performance of the proposed drive system The hybrid speed controller is found suitable for

Vector Controlled PMSM drive in giving the high level of performance while maintaining the

excellent response at the time of starting speed reversal load perturbation and steady-state

operation of the drive

16 WP Hew C P Ooi And N A Rahim

These authors proposes the circuit realization of Space Vector Modulation (SVM) algorithm

using a single Altera Flex 10k chip (EPFlOK70RC240-4) An SVM integrated circuit (IC) has

been designed and developed to improve the vector control of the three-phase voltage source

inverter (VSI) The design of SVM IC is downloaded to the Altera UP2 Board and tested with

the inverter circuit to drive a 3-phase induction motor The experimental results for 33Hz and

50Hz fundamental frequency and inverter switching frequency of 819 kHz are recorded

CHAPTER ndash3

DESCRIPTION ON FPGA CONTROLLER

In this project the speed of the induction motor is controlled by varying stator

frequency and voltage using FPGA programming FPGA is a new platform for motor control

application and it gives excellent performance at mathematical calculation The AC induction

motor is a relatively simple inexpensive and rugged device which requires little maintenance

However the induction motor is virtually a fixed speed device when operated from a constant

frequency source Since some applications require a fairly wide range of operating speeds DC

machines were often required With the advent of power electronics devices have become

available that allow induction machines to be operated over a range of speeds It is now

frequently possible to buy an induction machine with an electronic drive for about the same price

as a comparable DC machine Furthermore variable speed induction motors can also be used to

drive pumps or fans more economically than the mechanical means which are often used to

provide variable flow

Todayrsquos FPGA based PWM-output variable frequency drives provide the user with a

tremendous variety of features and functions which allow accurate control and monitoring in

nearly every 3-phase motor application A fundamental advantage of an ac drive is that it

provides virtually infinite speed control of the standard induction motors

FPGAThe Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K gate

Spartan-3AN on-board IO devicesand 1Mb Internal flash memory making it the perfect

platform to experiment with any new design

The Spartan3AN FPGA Stick Board kit includes a USB JTAG programming and

debugging chain Additionally there are two possible way for programming download and

debugging through USB as well as JTAG header a mini USB cable which is used to download

the program from PC into FPGA For this purpose the cable directly connected to USB port of

the PC and another way is the JTAG cable connects directly to the parallel port of a PC and to a

standard 6-pin JTAG programming header in the kit that can program a devices that have a

JTAG voltage of 18v or greater

31 Methodology

The speed control of the induction motor with FPGA implementation is developed

When the Induction motors connected to the main supply it runs at their rated speed

Therefore to vary the rotor speed of IM variable frequency drive is required In the

methodology it cannot be considered as a suitable design solution for cost sensitive or

industrial applications Field Programmable Gate Arrays (FPGA) is a Higher density

programmable device and is used to integrate large amounts of logic in a single IC

Implementation on FPGA is one of the method to handle the real time requirements and

disadvantages of conventional microcontroller By using FPGA made faster and efficient

solution to controller It involves the logic based PWM method to control the speed of three

phase induction motorThe matlab based speed control simulation is developed and checked

for the performance improvements As well as hardware part by using FPGA has done

CHAPTER ndash 4

DYNAMIC MODELING amp SIMULATION OF THE INDUCTION MOTOR DRIVE

Dynamic behaviour of induction motor can be expressed by voltage and torque which are

time varying The differential equation that belongs to dynamic analysis of induction motor

are so sophisticated Then with the change of variables the complexity of these equations can

be decreased through movement from poly phase winding to two phase winding(q-d) In

other words the stator and rotor variables like voltage current and flux linkages of an

induction machine are transferred to another reference model which remains stationary

The AC induction motor model is given by the space vector form of the voltage equations

The system model defined in the stationary αβ-coordinate system attached to the stator is

expressed by the following equations Ideally the motor model is symmetrical with a linear

magnetic circuit characteristic

The stator amp rotor voltage differential equations

usα=R si sα+ddt

ψsα (1)

usβ=Rs isβ+ddt

ψsβ (2)

urα=0=Rr irα+ddt

ψrα+ωrβ (3)

urβ=0=R rir β+ddt

ψrβminusωrβ (4)

Electromagnetic torque expressed by utilizing space vector quantities

T e=32

Pp(ψsα isβminusψsβ isα) (5)

where

αβ = Stator orthogonal coordinate system

u sαβ urαβ = Stator and Rotor voltages [V]

isαβ irαβ = Stator and Rotor currents [A]

Ψsαβ Ψrαβ = Stator and Rotor magnetic fluxes [Vs]

Rs Rr = Stator and Rotor phase resistance [Ohm]

ω ωs = Electrical rotor speed synchronous speed [rads]

pp = Number of pole pairs

Te = electromagnetic torque [Nm]

After transformation into d-q coordinates the motor model follows

usd=R sisd+ddt

ψsdminusωsψsd (6)

usq=Rs isq+ddt

ψ sqminusωsψ sq (7)

urd=0=Rr ird+ddt

ψrdminus(ωiquestiquest sminusω)ψrqiquest (8)

urq=0=Rr irq+ddt

ψrqminus(ωiquestiquest sminusω)ψrd iquest (9)

T e=32

Pp(ψsd isqminusψsq isd) (10)

41Equivalent circuit The Induction motor is normally modeled as Equivalent circuit The figure 41(a) amp 41(b) shows the typical equivalent circuits

Fig 41 (a) and 41(b) Equivalent circuit of IM

42 AC Motors

An AC motor is an electric motors that is driven by an alternating current It consists of

two basic parts an outside stationary stator having coils supplied with alternating current to

produce a rotating magnetic field and an inside rotor attached to the output shaft that is given

a torque by the rotating field

There are two recognized broad classes of AC electrical rotating machine synchronouns

motor(SM) which rotates exactly at the supply frequency or a submultiple of the supply

frequency The magnetic field on the rotor either generated by current delivered throgh slip

rings or by a permanent magnet

The second is the asynchronous or induction (IM) which runs slightly slower than the

supply frequency The magnetic field on the rotor of this motor is created by an induced

current

A third class is introduced here for clarity the Electronically Commutated Machine

(ECM) Such ECM machines have electronic commutation or switching as an inherent part of

the operation This is different to electronically producing a variable frequency sine wave

supply say by pulse width modulation (PWM) and using this instead of mains excitation

The AC induction motor is a rotating electric machine which is designed to operate

from a 3-phase source of alternating voltage The source is usually an inverter and is used for

variable speed drives that uses power switches to produce approximately sinusoidal voltages

and currents for magnitude and frequency control

Fig 42 3-phase AC Induction motor

A cross-section of a two-pole induction motor is shown in figure Slots in the inner

periphery of the stator accommodate 3-phase winding abc The turns in each winding are

distributed so that a current in a stator winding produces an approximately sinusoidally-

distributed flux density around the periphery of the air gap When three currents that are

sinusoidally varying in time but displaced in phase by 120deg from each other flow through

the three symmetrically-placed windings a radially-directed air gap flux density is produced

that is also sinusoidally distributed around the gap and rotates at an angular velocity equal to

the angular frequency ωs of the stator currents

The most common type of induction motor has a squirrel cage rotor in which

aluminum conductors or bars are cast into slots in the outer periphery of the rotor These

conductors or bars are shorted together at both ends of the rotor by cast aluminum end rings

which also can be shaped to act as fans In larger induction motors copper or copper-alloy

bars are used to fabricate the rotor cage winding

CHAPTER ndash 5

SPEED CONTROL OF INDUCTION MOTOR

51 Necessity of speed control

Every day engineers design products that employ induction motors Speed control of 3-

phase induction motors is desirable in most motor control applications since it not only

provides variable speed but also reduces energy consumption and audible noise Controlling

the speed has many advantages such as power efficiency reduced audible noise and better

control over the applications The speed control of induction motor is more important to

achieve maximum torque and efficiency

AC Induction motors are being applied today in a wider range of applications requiring

variable speed Generally variable speed drives for induction motor require both wide

operating range of speed and fast torque response regardless of load variations This leads to

more advanced control methods to meet the real demand

Difficulties using conventional methods of speed control

1 It depends on the accuracy of the mathematical model of the system

2 The expected performance is not met due to the load disturbance motor saturation and

thermal variations

3 Classical linear control shows good performance only at one operating speed

4 The coefficients must be chosen properly for acceptable results whereas choosing the

proper coefficient with varying parameters like set point is very difficult

To implement conventional control The model of the controlled system must be known The

usual method of computation of mathematical model of a system is difficult When there are

system parameter variations or environmental disturbance the behaviour of the system is not

satisfactory The classical controller designed for high performance increases the complexity

of the design and hence the cost

52 Speed Control Ttechniques

In the past DC motors were used extensively in areas where variable-speed operations

were required DC motors have certain disadvantages however which are due to the

existance of the commutator and the brushes which makes the motor more bulky costly and

heavy They are also robust and immune to heavy loading the speed of the induction motor

has to be controlled and so different types of controllers are used to obtain the desired speed

Various speed control techniques implemented by modern-age variable frequency drive are

mainly classified in the following three categories

1 Scalar Control (Vf Control)

2 Vector Control (Indirect Torque Control)

3 Direct Torque Control(DTC)

The aim is to control the Speed amp Torque of the induction motor using vector control

technique The dynamic modelling of induction motor is done in the SIMULINK using the

necessary equations The Vector control of the induction motor is also modelled in the

SIMULINK using the necessary equations FPGA is implemented in the system for the better

control of the induction motor

521 Scalar Control ( V f control)

Whenever for three phase induction motor three phase supply is given rotating magnetic

field is produced which rotates at synchronous speed given by

Ns = 120fP

In three phase induction motor emf is induced by induction similar to that of transformer

which is given by

E or V = 444∮KTf or ∮ = V444KTf

Where K is the winding constant T is the number of turns per phase and f is frequency Now

synchronous speed changes if we change frequency but with decrease in frequency flux will

increase and this change in value of flux causes saturation of rotor and stator cores which will

further cause increase in no load current of the motor Somaintaining of flux is important φ

constant and it is possible in the case of voltage change ie if we decrease frequency flux

increases but at the same time if we decrease voltage flux will also decease causing no

change in flux and hence it remains constant So here we are keeping the ratio of V f as

constant Hence its name is V f method For the speed control of three phase induction

motor by V f method supply variable voltage and frequency we need to supply by using

converter and inverter set which is obtained

53 Vector Control (Indirect Torque Control) of AC induction machine

In AC induction motors vector control technique is most popular method In reference

frames the electromagnetic torque of the smooth-air-gap machine expression is similar to the

torque of the separately excited DC machine Induction machines case the control is

performed in the reference frame (d-q) attached to the rotor flux space vector So the

implementation of vector control requires information on the modulus and the space angle of

the rotor flux space vector The stator currents of the induction machine are separated into

flux- and torque-producing components by transformation to the d-q coordinate system

whose direct axis (d) is aligned with the rotor flux space vector It means that the q-axis

component of the rotor flux space vector is always zero

Ѱrq = 0 and ddtѰrq = 0 ----- (1)

The rotor flux space vector calculation and transformation to the d-q coordinate system

require the highѰ computational power of a microcontroller a digital signal processor is

suitable for this task

54 PWM Control

In this method a fixed dc input voltage is given to the inverter and a controlled ac

output voltage is obtained by adjusting the on and off periods of the inverter components

Inverter employing PWM principle are called PWM Inverters PWM techniques are

characterized by constant amplitude pulses The width of these pulses is modulated to obtain

inverter output voltage control and to reduce its harmonic content The advantages possessed

by PWM technique are the output voltage control with this method lower order harmonics

can be eliminated or minimized along with its output voltage control As higher order

harmonics can be filtered easily the filtering requirements are minimized The main

disadvantage of this method is that the SCRs are expensive as they must possess low turn on

and turn off times This is the most popular method of controlling the output voltage of an

inverter in industrial applications

541 Types of PWM Techniques

There are several types of PWM techniques Sinusoidal PWM (SPWM) selected

harmonics eliminations (SHE) PWM Minimum ripple current PWM Space vector PWM

Hysteresis band current control PWM (HBPWM) Sinusoidal PWM with instantaneous

current control Sigma-delta modulation The hysteresis band current control PWM has been

used because of its simple implementation fast transient response direct limiting of device

peak current and practical insensitivity of dc link voltage ripple that permits a lower filter

capacitor

Fig 51 Pulse Width Modultion

CHAPTER ndash6

BLOCK DIAGRAM DESCRIPTION OF THE HARDWARE MODEL

Figure 6 represents the block diagram of hardware model it consists of various blocks

same of these blocks are as explained below

Generating the square wave pulses using the Spartan 3AN FPGA kit then connected to

the driver circuit and though this circuit input is given to the three phase inverter By using

single phase supply(auto transformer) given to the rectifiers as it coverts AC voltage to DC

voltage Hence the DC voltage is connected to the inverter circuit From the inverter circuit

connected to the 3 phase induction motor terminals to measure the various speed

Fig 6 Block diagram of Hardware Implementation

61 Power Supply

All electronic circuits works only in low DC voltage so a power supply unit is

required to provide the appropriate voltage supply for their proper functioning This

power supply unit consists of transformer rectifier filter and regulator AC voltage of

typically 230V RMS is connected to a transformer which step down the voltage to the

desired AC voltage

Fig 611 General Block of Power Supply Unit

Single phase AC supply is given to bridge rectifier It converts AC into DC The paper

introduces the operation of power supply circuits built using filters rectifiers and then

voltage regulators Starting with an AC voltage a steady DC voltage is obtained by rectifying

the AC voltage then filtering to a DC level and finally regulating to obtain a desired fixed

DC voltage

611 Transformer

A transformer is a static device in which electric power in one circuit is transferred

into electric power of same frequency in another circuit It can raise or lower the voltage in

the circuit but with a corresponding decrease or increase in current It works with the

principle of mutual induction In this project a step-down transformer is used to provide

necessary supply of 12 V for the electronic circuits

612 Rectifier

A rectifier is an electrical device composed of one or more diodes that converts

alternating current (AC) to direct current (DC) Here in this project rectifier is used to get dc

for inverter circuitConverter is a device which convert AC to DC since high voltage dc

supply is required at the input of the inverter

In the bridge rectifier the diodes may be of variable types like 1N4001 1N4003

1N4004 1N4005 IN4007 etc can be used But in this project 1N4007 is used because it can

withstand up to 1000V

613 Filters

In order to obtain a dc voltage of 0 Hz a low pass capacitive filter circuit is used where a

capacitor is connected at the rectifier output and a DC voltage without ripples is obtained across

it The filtered waveform is essentially a DC voltage with negligible ripples and it is ultimately

fed to the load

614 Regulators

The filtered output voltage from the capacitor is finally regulated The voltage regulator

is a device which maintains the output voltage constant irrespective of the change in supply

variations load variations and temperature changes Here a fixed voltage regulator namely

LM7805 is usedThe IC LM7805 is a +5V regulator which is used for microcontroller

62 Spartan 3AN FPGA

Some of the important features of spartan 3AN FPGA is as given below

8-Nos General purpose point LEDs

8-Nos of DIP switches (Digital inputs)

2-Nos of Push Button

USB port

PTB Connector

Communication protocols

Full Duplex UART (USB) and UART (RS 232)

Other Features

1Mb Internal flash

50 MHz crystal oscillator clock source

USBJTAG Interface Connector for parallel programming Spartan3AN FPGA

USBUART interface

RS 232 interface

The Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K

gate Spartan-3AN on-board IO devices and 1Mb Internal flash memory making it the

perfect platform to experiment with any new design

The FPGA has good processing speed than the other controllers Here we are using

SPARTAN 3AN stick board kit for the proposed model The FPGA will give the appropriate

pulses to control the power in the inverter output It is very easy to make the pulses

compared to other controllers

In the FPGA board operating voltage of 33V is possible with the pulses

Fig 621 Spartan 3AN stick Board Components placement

63 Three phase inverter

A power inverter is a device which converts DC current supply into AC supply in

the form of sinewaves The DC and AC converters more commonly known as inverteres

depending on the type of supply source and the related topology of the power circuit are

classified as voltage source inverters (VSIs) and current source inverters (CSIs)

Inverter converts DC power to AC power usually at a controlled frequency and

voltage and this power used for supplying power to an AC motor

These work by controlling a switching device like an IGBT MOSFET or Bipolar

transistor with an oscillator so that the control device is switched on and off between

conducting and not conducting

The three phase MOSFET inverter uses the DC voltage supplied from the three phase

bridge and the gate drive signals to produce a balanced three phase sinusoidal output

which drives the induction motor

The metal-oxide semiconductor field effect transistor (MOSFET) is a transistor used

for amplifying or switching electronic signals

Although the MOSFET is a four terminal device with source (S) gate (G) Drain (D)

and body (B) terminals the body (or subtrate) of the MOSFET often is connected to

the source terminal making it a three-terminal device like other field effect

transistors Because these two terminals are normally connected to each other (short

circuited) internally only three terminals appear in electrical

Whereas MOSFETs are used for low-current and high ndashfrequency switching

The MOSFET used here is IRFP250N the gate signal provide by driver circuit six

MOSFET are included in three phase inverter to drive AC motor

Fig 631 Hardware representation of 3-phase inverter FPGA kit with driver circuit

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 4: my report

CHAPTER ndash 2

LITERATURE SURVEY

1 P Menghal and A Laxmi

These authors presents With the improvement in the technology of Microprocessor and Power

Electronics Induction motor drives with digital control have become more popular Artificial

intelligent controller (AIC) could be the best candidate for Induction Motor control Over the last

two decades researchers have been working to apply AIC for induction motor drives This is

because that AIC possesses advantages as compared to the conventional PI PID and their

adaptive versions The main advantages are that the designs of these controllers do not depend on

accurate system mathematical model and their performances are robust In recent years scientists

and researchers have acquired significant development on various sorts of control theories and

methods Among these control technologies intelligent control methods which are generally

regarded as the aggregation of Fuzzy Logic Control Neural Network Control Genetic

Algorithm and Expert System have exhibited particular superiorities The artificial neural

network controller introduced to the system for keeping the motor speed to be constant when the

load varies The speed control scheme of vector controlle d induction motor drive

involves decoupling of the speed and ref speed into torque and flux producing components The

performance of artificial neural network based controllers is compared with that of the

conventional proportional integral controller The dynamic modeling of Induction motor is

done and the performance of the Induction motor drive has been analyzed for constant and

variable loads By using neuro controller the transient response of induction machine has been

improved greatly and the dynamic response of the same has been made faster

1 M Mohamadian E Nowicki F Ashrafzadeh A Chu R Sachdeva and E Evanik

These authors presents an artificial neural network controller is experimentally implemented on

the Texas Instruments TMS320C30 digital signal processor (DSP) The controller emulates

indirect field-oriented control for an induction motor generating direct and quadrature current

command signals in the stationary frame In this way the neural network performs the critical

functions of slip estimation and matrix rotation internally There are five input signals to the

neural network controller namely a shaft speed signal the synchronous frame present and

delayed values of the quadrature axis stator current as well as two neural network output

signals fed back after a delay of one sample period The proposed three-layer neural network

controller contains only 17 neurons in an attempt to minimize computational requirements of

the digital signal processor This allows DSP resources to be used for other control purposes

and system functions For experimental investigation a sampling period of 1 ms is employed

Operating at 333 MHz (167 MIPS) the digital signal processor is able to perform all neural

network calculations in a total time of only 280 micros or only 4700 machine instructions

Torque pulsations are initially observed but are reduced by iterative re-training of the neural

network using experimental data The resulting motor speed step response (for several forward

and reverse step commands) quickly tracks the expected response with negligible error under

steady-state conditions

2 V Panchade R Chile and B Patre

These authors presents a state of the art review of control and estimation methods for

induction motor (IM) based on conventional approaches sliding mode control (SMC) and

sensorless SMC is presented The objective of this survey paper is to summarize the different

control approaches for IMs including field oriented control (FOC) direct torque control (DTC)

speed observer observer based flux estimation sliding mode (SM) flux and speed observer

current regulation by SMC sensorless SMC etc The applications of SMC to IMs has been

widespread in recent years The increasing interest in SMC is because of its interesting features

such as invariance robustness order reduction and control chattering Particularly robustness of

SM approach with respect to parameter variations and external disturbance is vital for the

control system The review covers the sensorless SMC schemes by integrating controller and

observer design to guarantee convergence of the estimates to the real states It also covers the

chattering problems encountered often in SMC area dealt by using an asymptotic observer

4 B Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

These authors presents a controller for induction motors is proposed A continuous feedback is

first applied to obtain a discrete-time model in closed form Then on the basis of these exact

sampled dynamics a discrete-time controller ensuring speed and flux modulus reference tracking

is determined making use of the sliding mode technique The resulting controller is hence

hybrid in the sense that it contains both continuous and discrete-time terms It is shown how to

implement such a hybrid controller using the so-called exponential holder which is the only

device to be implemented analogically together with an analog integrator Moreover a

discrete-time reduced-order observer is designed for rotor fluxes and load torque estimation The

performance of the proposed controller is finally studied by simulation and experimental tests

5 C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

An adaptive discrete-time tracking controller for a direct current motor with controlled

excitation flux is presented A recurrent neural network is used to identify the plant

model this neural identifier is trained with an extended Kalman filter algorithm Then the

discrete-time block-control and sliding-mode techniques are used to develop the trajectory

tracking This paper also includes the respective stability analysis for the whole closed-loop

system The effectiveness of the proposed control scheme is verified via real- time

implementation

6 A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

These authors presents deals with real-time adaptive tracking for discrete-time induction motors

in the presence of bounded disturbances A high-order neural-network structure is used to

identify the plant model and based on this model a discrete-time control law is derived which

combines discrete-time block-control and sliding-mode techniques This paper also includes the

respective stability analysis for the whole system with a strategy to avoid adaptive weight zero-

crossing The scheme is implemented in real time using a three- phase induction motor

7 A Y Alanis E N Sanchez and A G Loukianov

These authors presents the design of an adaptive controller based on the block control technique

and a new neural observer for a class of MIMO discrete-time nonlinear systems The observer

is based on a recurrent high-order neural network (RHONN) which estimates the state

vectors of the unknown plant dynamics The learning algorithm for the RHONN is based on an

extended Kalman filter (EKF) This paper also includes the respective stability analysis using

the Lyapunov approach for the whole system which includes the nonlinear plant the neural

observer trained with the EKF and the block controller Applicability of the proposed scheme

is illustrated via simulation for a discrete-time nonlinear model of an electric induction motor

8 M P Kazmierkowski and M A Dzieniakowski

These authors presents a review of recently used current regulation techniques for Voltage

Sourced Pulse WidthModulated (VS-PWM) inverters A variety of techniques different in

concept are described as follows On-Off hysteresis free running and fued frequency regulators

(phase independent look-up table based space vector based) linear regulators (carrier based

working in stationary and rotating coordinates PI and state feedback) predictive (minimum and

constant switching frequency) and dead beat regulators Also nowadays trends in the current

regulations - neural networks and fuzzy logic based regulators - are presented Some oscillograms

which illustrate properties of the presented regulator groups are shown The references include

96 actual papers and conference contributions

9 Ying-Yu Tzou and Hau- Jean Hsu

These authors presents a new circuit realization of the space-vector pulse-width modulation

(SVPWM) strategy An SVPWM control integrated circuit (IC) has been developed using the

state-of-the-art field-programmable gate array (FPGA) technologyThe proposed SVPWM

control scheme can be realized using only a single FPGA (XC4010) from Xilinx Inc The output

fundamental frequency can be adjusted from 0094 to 1500 HzThe pulse-width modulation

(PWM) switching frequency can be set from 381 Hz to 4884 kHz The delay time for the PWM

gating signals is adjustable This SVPWM IC can also be included in the digital current control

loop for stator current regulation The designed SVPWM IC can be incorporated with a digital

signal processor (DSP) to provide a simple and effective solution for high-performance ac drives

Simulation and experimental results are given to verify the implemented SVPWM control IC

10 G Thomas M Jahns and Edward L Owen

These authors represents there is broad recognition of the huge strides taken in the development

of modern ac adjustable-speed drives since the introduction of the thyristor in 1957 far fewer

engineers in the power electronics profession today are aware of the key engineering

developments in this field that preceded the solid-state era The purpose of this paper is to review

major milestones that set the stage for the development of todayrsquos ac drives including sufficient

details to acquaint readers with their basic principles strengths and limitations Attention will be

devoted to the continuum of this development history and the many direct echoes of

developments from the first half of the 1900rsquos that we take for granted in todayrsquos ac drives In

addition the spirited competition between electromechanical and electronic ac drive solutions

that dominated engineering attention during the early part of the century will be reviewed

highlighting the complicated interrelationship between electric machines and drive electronics

that persists today

11 Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh Krishnamurthy and Ali

Emadi

These authors presents Development of advanced motor drives has yielded increases in

efficiency and reliability Residential and commercial appliances such as refrigerators and air

conditioning systems use conventional motor drive technology The machines found in these

applications are characterized by low efficiency and high maintenance A brushless dc (BLDC)

motor drive is characterized by higher efficiency lower maintenance and higher cost In a

market driven by profit margins the appliance industry is reluctant to replace the conventional

motor drives with the advanced motor drives (BLDC) due to their higher cost Therefore it is

necessary to have a low-cost but effective BLDC motor controller This paper lays the

groundwork for the development of a new low-cost IC for control of BLDC motors A simple

novel digital pulse width modulation control has been implemented for a trapezoidal BLDC

motor drive system Due to the simplistic nature of this control it has the potential to be

implemented in a low-cost applicationspecific integrated circuit The novel controller is modeled

and verified using simulations Experimental verification is carried out using field-programmable

gate arrays to validate the claims presented

12 Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N Cirstea

These authors presents a novel direct torque control (DTC) approach for induction machines

based on an improved torque and stator flux estimator and its implementation using field

programmable gate arrays (FPGA) The DTC performance is significantly improved by the use

of FPGA which can execute the DTC algorithm at higher sampling frequency This leads to the

reduction of the torque ripple and improved flux and torque estimations The main achievements

are 1) calculating a discrete integration operation of stator flux using backward Euler approach

2) modifying a so called nonrestoring method in calculating the complicated square root

operation in stator flux estimator 3) introducing a new flux sector determinationmethod 4)

increasing the sampling frequency to 200 kHz such that the digital computation will perform

similar to that of the analog operation and 5) using tworsquos complement fixed-point format

approach to minimize calculation errors and the hardware resource usage in all operations The

design was achieved in VHDL based on a MATLABSimulink simulation model The

Hardware-in-the-Loop method is used to verify the functionality of the FPGA estimator The

simulation results are validated experimentally Thus it is demonstrated that FPGA

implementation of DTC drives can achieve excellent performance at high sampling frequency

13 Alessandro Cilardo

These authors presents Field programmable gate array (FPGA) devices are increasingly being

deployed in industrial environments making reconfigurable hardware testing and reliability an

active area of investigation While FPGA devices can be tested exhaustively the so-called

application-dependent test (ADT) has emerged as an effective approach ensuring reduced testing

efforts and improving the manufacturing yield since it can selectively exclude a subset of faults

not affecting a given design In addition to manufacturing ADT can be used online providing a

solution for fast runtime fault detection and diagnostics This paper identifies a number of issues

in existing ADT techniques which limit their applicability and proposes new approaches

improving the range of covered faults with special emphasis on feedback bridging faults as well

as new algorithms for generating ADT test configurations Furthermore the work introduces a

software environment addressing the current lack of tools either academic or commercial

supporting ADT techniques The architecture of the environment is highly modular and

extensively based on a plug-in approach To demonstrate the potential of the toolset we

developed a complete suite of plug-ins based on both state-of-the-art ADT techniques and the

novel approaches introduced here The experimental results presented at the end of the paper

confirm the impact of the proposed techniques

14 M Nasir Uddin Tawfik S Radwan and M Azizur Rahman

These authors presents a novel speed control scheme of an induction motor (IM) using fuzzy-

logic control The fuzzy-logic controller (FLC) is based on the indirect vector control The fuzzy-

logic speed controller is employed in the outer loop Thecomplete vector control scheme of the

IM drive incorporating the FLC is experimentally implemented using a digital signal processor

board DS-1102 for the laboratory 1-hp squirrel-cage IM The performances of the proposed

FLC-based IM drive are investigated and compared to those obtained from the conventional

proportional-integral (PI) controller-based drive both theoretically and experimentally at

different dynamic operating conditions such as sudden change in command speed step change in

load etc The comparative experimental results show that the FLC is more robust and hence

found to be a suitable replacement of the conventional PI controller for the high-performance

industrial drive applications

15 Bhim Singh BP Singh and Sanjeet Dwivedi

These authors presents a Digital Signal Processor (DSP) based implementation of a Hybrid of

Fuzzy Logic Controller (FLC) and Proportional-Integral (PI) speed controller for Vector

Controlled (VC) Permanent Magnet Synchronous Motor (PMSM) Drive The fuzzy membership

function is used for the hybrid combination of these two FLC and PI speed controllers in such a

way that during the time of dynamic conditions such as starting the degree of belonging for FLC

speed controller is higher than the PI controller and near the set point the degree of belonging of

PI controller is having higher weightage The simulation model of the PMSM drive system is

developed in MATLAB environment with simulink and PSB oolboxes to analyze the

performance of the proposed drive system The hybrid speed controller is found suitable for

Vector Controlled PMSM drive in giving the high level of performance while maintaining the

excellent response at the time of starting speed reversal load perturbation and steady-state

operation of the drive

16 WP Hew C P Ooi And N A Rahim

These authors proposes the circuit realization of Space Vector Modulation (SVM) algorithm

using a single Altera Flex 10k chip (EPFlOK70RC240-4) An SVM integrated circuit (IC) has

been designed and developed to improve the vector control of the three-phase voltage source

inverter (VSI) The design of SVM IC is downloaded to the Altera UP2 Board and tested with

the inverter circuit to drive a 3-phase induction motor The experimental results for 33Hz and

50Hz fundamental frequency and inverter switching frequency of 819 kHz are recorded

CHAPTER ndash3

DESCRIPTION ON FPGA CONTROLLER

In this project the speed of the induction motor is controlled by varying stator

frequency and voltage using FPGA programming FPGA is a new platform for motor control

application and it gives excellent performance at mathematical calculation The AC induction

motor is a relatively simple inexpensive and rugged device which requires little maintenance

However the induction motor is virtually a fixed speed device when operated from a constant

frequency source Since some applications require a fairly wide range of operating speeds DC

machines were often required With the advent of power electronics devices have become

available that allow induction machines to be operated over a range of speeds It is now

frequently possible to buy an induction machine with an electronic drive for about the same price

as a comparable DC machine Furthermore variable speed induction motors can also be used to

drive pumps or fans more economically than the mechanical means which are often used to

provide variable flow

Todayrsquos FPGA based PWM-output variable frequency drives provide the user with a

tremendous variety of features and functions which allow accurate control and monitoring in

nearly every 3-phase motor application A fundamental advantage of an ac drive is that it

provides virtually infinite speed control of the standard induction motors

FPGAThe Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K gate

Spartan-3AN on-board IO devicesand 1Mb Internal flash memory making it the perfect

platform to experiment with any new design

The Spartan3AN FPGA Stick Board kit includes a USB JTAG programming and

debugging chain Additionally there are two possible way for programming download and

debugging through USB as well as JTAG header a mini USB cable which is used to download

the program from PC into FPGA For this purpose the cable directly connected to USB port of

the PC and another way is the JTAG cable connects directly to the parallel port of a PC and to a

standard 6-pin JTAG programming header in the kit that can program a devices that have a

JTAG voltage of 18v or greater

31 Methodology

The speed control of the induction motor with FPGA implementation is developed

When the Induction motors connected to the main supply it runs at their rated speed

Therefore to vary the rotor speed of IM variable frequency drive is required In the

methodology it cannot be considered as a suitable design solution for cost sensitive or

industrial applications Field Programmable Gate Arrays (FPGA) is a Higher density

programmable device and is used to integrate large amounts of logic in a single IC

Implementation on FPGA is one of the method to handle the real time requirements and

disadvantages of conventional microcontroller By using FPGA made faster and efficient

solution to controller It involves the logic based PWM method to control the speed of three

phase induction motorThe matlab based speed control simulation is developed and checked

for the performance improvements As well as hardware part by using FPGA has done

CHAPTER ndash 4

DYNAMIC MODELING amp SIMULATION OF THE INDUCTION MOTOR DRIVE

Dynamic behaviour of induction motor can be expressed by voltage and torque which are

time varying The differential equation that belongs to dynamic analysis of induction motor

are so sophisticated Then with the change of variables the complexity of these equations can

be decreased through movement from poly phase winding to two phase winding(q-d) In

other words the stator and rotor variables like voltage current and flux linkages of an

induction machine are transferred to another reference model which remains stationary

The AC induction motor model is given by the space vector form of the voltage equations

The system model defined in the stationary αβ-coordinate system attached to the stator is

expressed by the following equations Ideally the motor model is symmetrical with a linear

magnetic circuit characteristic

The stator amp rotor voltage differential equations

usα=R si sα+ddt

ψsα (1)

usβ=Rs isβ+ddt

ψsβ (2)

urα=0=Rr irα+ddt

ψrα+ωrβ (3)

urβ=0=R rir β+ddt

ψrβminusωrβ (4)

Electromagnetic torque expressed by utilizing space vector quantities

T e=32

Pp(ψsα isβminusψsβ isα) (5)

where

αβ = Stator orthogonal coordinate system

u sαβ urαβ = Stator and Rotor voltages [V]

isαβ irαβ = Stator and Rotor currents [A]

Ψsαβ Ψrαβ = Stator and Rotor magnetic fluxes [Vs]

Rs Rr = Stator and Rotor phase resistance [Ohm]

ω ωs = Electrical rotor speed synchronous speed [rads]

pp = Number of pole pairs

Te = electromagnetic torque [Nm]

After transformation into d-q coordinates the motor model follows

usd=R sisd+ddt

ψsdminusωsψsd (6)

usq=Rs isq+ddt

ψ sqminusωsψ sq (7)

urd=0=Rr ird+ddt

ψrdminus(ωiquestiquest sminusω)ψrqiquest (8)

urq=0=Rr irq+ddt

ψrqminus(ωiquestiquest sminusω)ψrd iquest (9)

T e=32

Pp(ψsd isqminusψsq isd) (10)

41Equivalent circuit The Induction motor is normally modeled as Equivalent circuit The figure 41(a) amp 41(b) shows the typical equivalent circuits

Fig 41 (a) and 41(b) Equivalent circuit of IM

42 AC Motors

An AC motor is an electric motors that is driven by an alternating current It consists of

two basic parts an outside stationary stator having coils supplied with alternating current to

produce a rotating magnetic field and an inside rotor attached to the output shaft that is given

a torque by the rotating field

There are two recognized broad classes of AC electrical rotating machine synchronouns

motor(SM) which rotates exactly at the supply frequency or a submultiple of the supply

frequency The magnetic field on the rotor either generated by current delivered throgh slip

rings or by a permanent magnet

The second is the asynchronous or induction (IM) which runs slightly slower than the

supply frequency The magnetic field on the rotor of this motor is created by an induced

current

A third class is introduced here for clarity the Electronically Commutated Machine

(ECM) Such ECM machines have electronic commutation or switching as an inherent part of

the operation This is different to electronically producing a variable frequency sine wave

supply say by pulse width modulation (PWM) and using this instead of mains excitation

The AC induction motor is a rotating electric machine which is designed to operate

from a 3-phase source of alternating voltage The source is usually an inverter and is used for

variable speed drives that uses power switches to produce approximately sinusoidal voltages

and currents for magnitude and frequency control

Fig 42 3-phase AC Induction motor

A cross-section of a two-pole induction motor is shown in figure Slots in the inner

periphery of the stator accommodate 3-phase winding abc The turns in each winding are

distributed so that a current in a stator winding produces an approximately sinusoidally-

distributed flux density around the periphery of the air gap When three currents that are

sinusoidally varying in time but displaced in phase by 120deg from each other flow through

the three symmetrically-placed windings a radially-directed air gap flux density is produced

that is also sinusoidally distributed around the gap and rotates at an angular velocity equal to

the angular frequency ωs of the stator currents

The most common type of induction motor has a squirrel cage rotor in which

aluminum conductors or bars are cast into slots in the outer periphery of the rotor These

conductors or bars are shorted together at both ends of the rotor by cast aluminum end rings

which also can be shaped to act as fans In larger induction motors copper or copper-alloy

bars are used to fabricate the rotor cage winding

CHAPTER ndash 5

SPEED CONTROL OF INDUCTION MOTOR

51 Necessity of speed control

Every day engineers design products that employ induction motors Speed control of 3-

phase induction motors is desirable in most motor control applications since it not only

provides variable speed but also reduces energy consumption and audible noise Controlling

the speed has many advantages such as power efficiency reduced audible noise and better

control over the applications The speed control of induction motor is more important to

achieve maximum torque and efficiency

AC Induction motors are being applied today in a wider range of applications requiring

variable speed Generally variable speed drives for induction motor require both wide

operating range of speed and fast torque response regardless of load variations This leads to

more advanced control methods to meet the real demand

Difficulties using conventional methods of speed control

1 It depends on the accuracy of the mathematical model of the system

2 The expected performance is not met due to the load disturbance motor saturation and

thermal variations

3 Classical linear control shows good performance only at one operating speed

4 The coefficients must be chosen properly for acceptable results whereas choosing the

proper coefficient with varying parameters like set point is very difficult

To implement conventional control The model of the controlled system must be known The

usual method of computation of mathematical model of a system is difficult When there are

system parameter variations or environmental disturbance the behaviour of the system is not

satisfactory The classical controller designed for high performance increases the complexity

of the design and hence the cost

52 Speed Control Ttechniques

In the past DC motors were used extensively in areas where variable-speed operations

were required DC motors have certain disadvantages however which are due to the

existance of the commutator and the brushes which makes the motor more bulky costly and

heavy They are also robust and immune to heavy loading the speed of the induction motor

has to be controlled and so different types of controllers are used to obtain the desired speed

Various speed control techniques implemented by modern-age variable frequency drive are

mainly classified in the following three categories

1 Scalar Control (Vf Control)

2 Vector Control (Indirect Torque Control)

3 Direct Torque Control(DTC)

The aim is to control the Speed amp Torque of the induction motor using vector control

technique The dynamic modelling of induction motor is done in the SIMULINK using the

necessary equations The Vector control of the induction motor is also modelled in the

SIMULINK using the necessary equations FPGA is implemented in the system for the better

control of the induction motor

521 Scalar Control ( V f control)

Whenever for three phase induction motor three phase supply is given rotating magnetic

field is produced which rotates at synchronous speed given by

Ns = 120fP

In three phase induction motor emf is induced by induction similar to that of transformer

which is given by

E or V = 444∮KTf or ∮ = V444KTf

Where K is the winding constant T is the number of turns per phase and f is frequency Now

synchronous speed changes if we change frequency but with decrease in frequency flux will

increase and this change in value of flux causes saturation of rotor and stator cores which will

further cause increase in no load current of the motor Somaintaining of flux is important φ

constant and it is possible in the case of voltage change ie if we decrease frequency flux

increases but at the same time if we decrease voltage flux will also decease causing no

change in flux and hence it remains constant So here we are keeping the ratio of V f as

constant Hence its name is V f method For the speed control of three phase induction

motor by V f method supply variable voltage and frequency we need to supply by using

converter and inverter set which is obtained

53 Vector Control (Indirect Torque Control) of AC induction machine

In AC induction motors vector control technique is most popular method In reference

frames the electromagnetic torque of the smooth-air-gap machine expression is similar to the

torque of the separately excited DC machine Induction machines case the control is

performed in the reference frame (d-q) attached to the rotor flux space vector So the

implementation of vector control requires information on the modulus and the space angle of

the rotor flux space vector The stator currents of the induction machine are separated into

flux- and torque-producing components by transformation to the d-q coordinate system

whose direct axis (d) is aligned with the rotor flux space vector It means that the q-axis

component of the rotor flux space vector is always zero

Ѱrq = 0 and ddtѰrq = 0 ----- (1)

The rotor flux space vector calculation and transformation to the d-q coordinate system

require the highѰ computational power of a microcontroller a digital signal processor is

suitable for this task

54 PWM Control

In this method a fixed dc input voltage is given to the inverter and a controlled ac

output voltage is obtained by adjusting the on and off periods of the inverter components

Inverter employing PWM principle are called PWM Inverters PWM techniques are

characterized by constant amplitude pulses The width of these pulses is modulated to obtain

inverter output voltage control and to reduce its harmonic content The advantages possessed

by PWM technique are the output voltage control with this method lower order harmonics

can be eliminated or minimized along with its output voltage control As higher order

harmonics can be filtered easily the filtering requirements are minimized The main

disadvantage of this method is that the SCRs are expensive as they must possess low turn on

and turn off times This is the most popular method of controlling the output voltage of an

inverter in industrial applications

541 Types of PWM Techniques

There are several types of PWM techniques Sinusoidal PWM (SPWM) selected

harmonics eliminations (SHE) PWM Minimum ripple current PWM Space vector PWM

Hysteresis band current control PWM (HBPWM) Sinusoidal PWM with instantaneous

current control Sigma-delta modulation The hysteresis band current control PWM has been

used because of its simple implementation fast transient response direct limiting of device

peak current and practical insensitivity of dc link voltage ripple that permits a lower filter

capacitor

Fig 51 Pulse Width Modultion

CHAPTER ndash6

BLOCK DIAGRAM DESCRIPTION OF THE HARDWARE MODEL

Figure 6 represents the block diagram of hardware model it consists of various blocks

same of these blocks are as explained below

Generating the square wave pulses using the Spartan 3AN FPGA kit then connected to

the driver circuit and though this circuit input is given to the three phase inverter By using

single phase supply(auto transformer) given to the rectifiers as it coverts AC voltage to DC

voltage Hence the DC voltage is connected to the inverter circuit From the inverter circuit

connected to the 3 phase induction motor terminals to measure the various speed

Fig 6 Block diagram of Hardware Implementation

61 Power Supply

All electronic circuits works only in low DC voltage so a power supply unit is

required to provide the appropriate voltage supply for their proper functioning This

power supply unit consists of transformer rectifier filter and regulator AC voltage of

typically 230V RMS is connected to a transformer which step down the voltage to the

desired AC voltage

Fig 611 General Block of Power Supply Unit

Single phase AC supply is given to bridge rectifier It converts AC into DC The paper

introduces the operation of power supply circuits built using filters rectifiers and then

voltage regulators Starting with an AC voltage a steady DC voltage is obtained by rectifying

the AC voltage then filtering to a DC level and finally regulating to obtain a desired fixed

DC voltage

611 Transformer

A transformer is a static device in which electric power in one circuit is transferred

into electric power of same frequency in another circuit It can raise or lower the voltage in

the circuit but with a corresponding decrease or increase in current It works with the

principle of mutual induction In this project a step-down transformer is used to provide

necessary supply of 12 V for the electronic circuits

612 Rectifier

A rectifier is an electrical device composed of one or more diodes that converts

alternating current (AC) to direct current (DC) Here in this project rectifier is used to get dc

for inverter circuitConverter is a device which convert AC to DC since high voltage dc

supply is required at the input of the inverter

In the bridge rectifier the diodes may be of variable types like 1N4001 1N4003

1N4004 1N4005 IN4007 etc can be used But in this project 1N4007 is used because it can

withstand up to 1000V

613 Filters

In order to obtain a dc voltage of 0 Hz a low pass capacitive filter circuit is used where a

capacitor is connected at the rectifier output and a DC voltage without ripples is obtained across

it The filtered waveform is essentially a DC voltage with negligible ripples and it is ultimately

fed to the load

614 Regulators

The filtered output voltage from the capacitor is finally regulated The voltage regulator

is a device which maintains the output voltage constant irrespective of the change in supply

variations load variations and temperature changes Here a fixed voltage regulator namely

LM7805 is usedThe IC LM7805 is a +5V regulator which is used for microcontroller

62 Spartan 3AN FPGA

Some of the important features of spartan 3AN FPGA is as given below

8-Nos General purpose point LEDs

8-Nos of DIP switches (Digital inputs)

2-Nos of Push Button

USB port

PTB Connector

Communication protocols

Full Duplex UART (USB) and UART (RS 232)

Other Features

1Mb Internal flash

50 MHz crystal oscillator clock source

USBJTAG Interface Connector for parallel programming Spartan3AN FPGA

USBUART interface

RS 232 interface

The Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K

gate Spartan-3AN on-board IO devices and 1Mb Internal flash memory making it the

perfect platform to experiment with any new design

The FPGA has good processing speed than the other controllers Here we are using

SPARTAN 3AN stick board kit for the proposed model The FPGA will give the appropriate

pulses to control the power in the inverter output It is very easy to make the pulses

compared to other controllers

In the FPGA board operating voltage of 33V is possible with the pulses

Fig 621 Spartan 3AN stick Board Components placement

63 Three phase inverter

A power inverter is a device which converts DC current supply into AC supply in

the form of sinewaves The DC and AC converters more commonly known as inverteres

depending on the type of supply source and the related topology of the power circuit are

classified as voltage source inverters (VSIs) and current source inverters (CSIs)

Inverter converts DC power to AC power usually at a controlled frequency and

voltage and this power used for supplying power to an AC motor

These work by controlling a switching device like an IGBT MOSFET or Bipolar

transistor with an oscillator so that the control device is switched on and off between

conducting and not conducting

The three phase MOSFET inverter uses the DC voltage supplied from the three phase

bridge and the gate drive signals to produce a balanced three phase sinusoidal output

which drives the induction motor

The metal-oxide semiconductor field effect transistor (MOSFET) is a transistor used

for amplifying or switching electronic signals

Although the MOSFET is a four terminal device with source (S) gate (G) Drain (D)

and body (B) terminals the body (or subtrate) of the MOSFET often is connected to

the source terminal making it a three-terminal device like other field effect

transistors Because these two terminals are normally connected to each other (short

circuited) internally only three terminals appear in electrical

Whereas MOSFETs are used for low-current and high ndashfrequency switching

The MOSFET used here is IRFP250N the gate signal provide by driver circuit six

MOSFET are included in three phase inverter to drive AC motor

Fig 631 Hardware representation of 3-phase inverter FPGA kit with driver circuit

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 5: my report

command signals in the stationary frame In this way the neural network performs the critical

functions of slip estimation and matrix rotation internally There are five input signals to the

neural network controller namely a shaft speed signal the synchronous frame present and

delayed values of the quadrature axis stator current as well as two neural network output

signals fed back after a delay of one sample period The proposed three-layer neural network

controller contains only 17 neurons in an attempt to minimize computational requirements of

the digital signal processor This allows DSP resources to be used for other control purposes

and system functions For experimental investigation a sampling period of 1 ms is employed

Operating at 333 MHz (167 MIPS) the digital signal processor is able to perform all neural

network calculations in a total time of only 280 micros or only 4700 machine instructions

Torque pulsations are initially observed but are reduced by iterative re-training of the neural

network using experimental data The resulting motor speed step response (for several forward

and reverse step commands) quickly tracks the expected response with negligible error under

steady-state conditions

2 V Panchade R Chile and B Patre

These authors presents a state of the art review of control and estimation methods for

induction motor (IM) based on conventional approaches sliding mode control (SMC) and

sensorless SMC is presented The objective of this survey paper is to summarize the different

control approaches for IMs including field oriented control (FOC) direct torque control (DTC)

speed observer observer based flux estimation sliding mode (SM) flux and speed observer

current regulation by SMC sensorless SMC etc The applications of SMC to IMs has been

widespread in recent years The increasing interest in SMC is because of its interesting features

such as invariance robustness order reduction and control chattering Particularly robustness of

SM approach with respect to parameter variations and external disturbance is vital for the

control system The review covers the sensorless SMC schemes by integrating controller and

observer design to guarantee convergence of the estimates to the real states It also covers the

chattering problems encountered often in SMC area dealt by using an asymptotic observer

4 B Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

These authors presents a controller for induction motors is proposed A continuous feedback is

first applied to obtain a discrete-time model in closed form Then on the basis of these exact

sampled dynamics a discrete-time controller ensuring speed and flux modulus reference tracking

is determined making use of the sliding mode technique The resulting controller is hence

hybrid in the sense that it contains both continuous and discrete-time terms It is shown how to

implement such a hybrid controller using the so-called exponential holder which is the only

device to be implemented analogically together with an analog integrator Moreover a

discrete-time reduced-order observer is designed for rotor fluxes and load torque estimation The

performance of the proposed controller is finally studied by simulation and experimental tests

5 C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

An adaptive discrete-time tracking controller for a direct current motor with controlled

excitation flux is presented A recurrent neural network is used to identify the plant

model this neural identifier is trained with an extended Kalman filter algorithm Then the

discrete-time block-control and sliding-mode techniques are used to develop the trajectory

tracking This paper also includes the respective stability analysis for the whole closed-loop

system The effectiveness of the proposed control scheme is verified via real- time

implementation

6 A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

These authors presents deals with real-time adaptive tracking for discrete-time induction motors

in the presence of bounded disturbances A high-order neural-network structure is used to

identify the plant model and based on this model a discrete-time control law is derived which

combines discrete-time block-control and sliding-mode techniques This paper also includes the

respective stability analysis for the whole system with a strategy to avoid adaptive weight zero-

crossing The scheme is implemented in real time using a three- phase induction motor

7 A Y Alanis E N Sanchez and A G Loukianov

These authors presents the design of an adaptive controller based on the block control technique

and a new neural observer for a class of MIMO discrete-time nonlinear systems The observer

is based on a recurrent high-order neural network (RHONN) which estimates the state

vectors of the unknown plant dynamics The learning algorithm for the RHONN is based on an

extended Kalman filter (EKF) This paper also includes the respective stability analysis using

the Lyapunov approach for the whole system which includes the nonlinear plant the neural

observer trained with the EKF and the block controller Applicability of the proposed scheme

is illustrated via simulation for a discrete-time nonlinear model of an electric induction motor

8 M P Kazmierkowski and M A Dzieniakowski

These authors presents a review of recently used current regulation techniques for Voltage

Sourced Pulse WidthModulated (VS-PWM) inverters A variety of techniques different in

concept are described as follows On-Off hysteresis free running and fued frequency regulators

(phase independent look-up table based space vector based) linear regulators (carrier based

working in stationary and rotating coordinates PI and state feedback) predictive (minimum and

constant switching frequency) and dead beat regulators Also nowadays trends in the current

regulations - neural networks and fuzzy logic based regulators - are presented Some oscillograms

which illustrate properties of the presented regulator groups are shown The references include

96 actual papers and conference contributions

9 Ying-Yu Tzou and Hau- Jean Hsu

These authors presents a new circuit realization of the space-vector pulse-width modulation

(SVPWM) strategy An SVPWM control integrated circuit (IC) has been developed using the

state-of-the-art field-programmable gate array (FPGA) technologyThe proposed SVPWM

control scheme can be realized using only a single FPGA (XC4010) from Xilinx Inc The output

fundamental frequency can be adjusted from 0094 to 1500 HzThe pulse-width modulation

(PWM) switching frequency can be set from 381 Hz to 4884 kHz The delay time for the PWM

gating signals is adjustable This SVPWM IC can also be included in the digital current control

loop for stator current regulation The designed SVPWM IC can be incorporated with a digital

signal processor (DSP) to provide a simple and effective solution for high-performance ac drives

Simulation and experimental results are given to verify the implemented SVPWM control IC

10 G Thomas M Jahns and Edward L Owen

These authors represents there is broad recognition of the huge strides taken in the development

of modern ac adjustable-speed drives since the introduction of the thyristor in 1957 far fewer

engineers in the power electronics profession today are aware of the key engineering

developments in this field that preceded the solid-state era The purpose of this paper is to review

major milestones that set the stage for the development of todayrsquos ac drives including sufficient

details to acquaint readers with their basic principles strengths and limitations Attention will be

devoted to the continuum of this development history and the many direct echoes of

developments from the first half of the 1900rsquos that we take for granted in todayrsquos ac drives In

addition the spirited competition between electromechanical and electronic ac drive solutions

that dominated engineering attention during the early part of the century will be reviewed

highlighting the complicated interrelationship between electric machines and drive electronics

that persists today

11 Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh Krishnamurthy and Ali

Emadi

These authors presents Development of advanced motor drives has yielded increases in

efficiency and reliability Residential and commercial appliances such as refrigerators and air

conditioning systems use conventional motor drive technology The machines found in these

applications are characterized by low efficiency and high maintenance A brushless dc (BLDC)

motor drive is characterized by higher efficiency lower maintenance and higher cost In a

market driven by profit margins the appliance industry is reluctant to replace the conventional

motor drives with the advanced motor drives (BLDC) due to their higher cost Therefore it is

necessary to have a low-cost but effective BLDC motor controller This paper lays the

groundwork for the development of a new low-cost IC for control of BLDC motors A simple

novel digital pulse width modulation control has been implemented for a trapezoidal BLDC

motor drive system Due to the simplistic nature of this control it has the potential to be

implemented in a low-cost applicationspecific integrated circuit The novel controller is modeled

and verified using simulations Experimental verification is carried out using field-programmable

gate arrays to validate the claims presented

12 Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N Cirstea

These authors presents a novel direct torque control (DTC) approach for induction machines

based on an improved torque and stator flux estimator and its implementation using field

programmable gate arrays (FPGA) The DTC performance is significantly improved by the use

of FPGA which can execute the DTC algorithm at higher sampling frequency This leads to the

reduction of the torque ripple and improved flux and torque estimations The main achievements

are 1) calculating a discrete integration operation of stator flux using backward Euler approach

2) modifying a so called nonrestoring method in calculating the complicated square root

operation in stator flux estimator 3) introducing a new flux sector determinationmethod 4)

increasing the sampling frequency to 200 kHz such that the digital computation will perform

similar to that of the analog operation and 5) using tworsquos complement fixed-point format

approach to minimize calculation errors and the hardware resource usage in all operations The

design was achieved in VHDL based on a MATLABSimulink simulation model The

Hardware-in-the-Loop method is used to verify the functionality of the FPGA estimator The

simulation results are validated experimentally Thus it is demonstrated that FPGA

implementation of DTC drives can achieve excellent performance at high sampling frequency

13 Alessandro Cilardo

These authors presents Field programmable gate array (FPGA) devices are increasingly being

deployed in industrial environments making reconfigurable hardware testing and reliability an

active area of investigation While FPGA devices can be tested exhaustively the so-called

application-dependent test (ADT) has emerged as an effective approach ensuring reduced testing

efforts and improving the manufacturing yield since it can selectively exclude a subset of faults

not affecting a given design In addition to manufacturing ADT can be used online providing a

solution for fast runtime fault detection and diagnostics This paper identifies a number of issues

in existing ADT techniques which limit their applicability and proposes new approaches

improving the range of covered faults with special emphasis on feedback bridging faults as well

as new algorithms for generating ADT test configurations Furthermore the work introduces a

software environment addressing the current lack of tools either academic or commercial

supporting ADT techniques The architecture of the environment is highly modular and

extensively based on a plug-in approach To demonstrate the potential of the toolset we

developed a complete suite of plug-ins based on both state-of-the-art ADT techniques and the

novel approaches introduced here The experimental results presented at the end of the paper

confirm the impact of the proposed techniques

14 M Nasir Uddin Tawfik S Radwan and M Azizur Rahman

These authors presents a novel speed control scheme of an induction motor (IM) using fuzzy-

logic control The fuzzy-logic controller (FLC) is based on the indirect vector control The fuzzy-

logic speed controller is employed in the outer loop Thecomplete vector control scheme of the

IM drive incorporating the FLC is experimentally implemented using a digital signal processor

board DS-1102 for the laboratory 1-hp squirrel-cage IM The performances of the proposed

FLC-based IM drive are investigated and compared to those obtained from the conventional

proportional-integral (PI) controller-based drive both theoretically and experimentally at

different dynamic operating conditions such as sudden change in command speed step change in

load etc The comparative experimental results show that the FLC is more robust and hence

found to be a suitable replacement of the conventional PI controller for the high-performance

industrial drive applications

15 Bhim Singh BP Singh and Sanjeet Dwivedi

These authors presents a Digital Signal Processor (DSP) based implementation of a Hybrid of

Fuzzy Logic Controller (FLC) and Proportional-Integral (PI) speed controller for Vector

Controlled (VC) Permanent Magnet Synchronous Motor (PMSM) Drive The fuzzy membership

function is used for the hybrid combination of these two FLC and PI speed controllers in such a

way that during the time of dynamic conditions such as starting the degree of belonging for FLC

speed controller is higher than the PI controller and near the set point the degree of belonging of

PI controller is having higher weightage The simulation model of the PMSM drive system is

developed in MATLAB environment with simulink and PSB oolboxes to analyze the

performance of the proposed drive system The hybrid speed controller is found suitable for

Vector Controlled PMSM drive in giving the high level of performance while maintaining the

excellent response at the time of starting speed reversal load perturbation and steady-state

operation of the drive

16 WP Hew C P Ooi And N A Rahim

These authors proposes the circuit realization of Space Vector Modulation (SVM) algorithm

using a single Altera Flex 10k chip (EPFlOK70RC240-4) An SVM integrated circuit (IC) has

been designed and developed to improve the vector control of the three-phase voltage source

inverter (VSI) The design of SVM IC is downloaded to the Altera UP2 Board and tested with

the inverter circuit to drive a 3-phase induction motor The experimental results for 33Hz and

50Hz fundamental frequency and inverter switching frequency of 819 kHz are recorded

CHAPTER ndash3

DESCRIPTION ON FPGA CONTROLLER

In this project the speed of the induction motor is controlled by varying stator

frequency and voltage using FPGA programming FPGA is a new platform for motor control

application and it gives excellent performance at mathematical calculation The AC induction

motor is a relatively simple inexpensive and rugged device which requires little maintenance

However the induction motor is virtually a fixed speed device when operated from a constant

frequency source Since some applications require a fairly wide range of operating speeds DC

machines were often required With the advent of power electronics devices have become

available that allow induction machines to be operated over a range of speeds It is now

frequently possible to buy an induction machine with an electronic drive for about the same price

as a comparable DC machine Furthermore variable speed induction motors can also be used to

drive pumps or fans more economically than the mechanical means which are often used to

provide variable flow

Todayrsquos FPGA based PWM-output variable frequency drives provide the user with a

tremendous variety of features and functions which allow accurate control and monitoring in

nearly every 3-phase motor application A fundamental advantage of an ac drive is that it

provides virtually infinite speed control of the standard induction motors

FPGAThe Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K gate

Spartan-3AN on-board IO devicesand 1Mb Internal flash memory making it the perfect

platform to experiment with any new design

The Spartan3AN FPGA Stick Board kit includes a USB JTAG programming and

debugging chain Additionally there are two possible way for programming download and

debugging through USB as well as JTAG header a mini USB cable which is used to download

the program from PC into FPGA For this purpose the cable directly connected to USB port of

the PC and another way is the JTAG cable connects directly to the parallel port of a PC and to a

standard 6-pin JTAG programming header in the kit that can program a devices that have a

JTAG voltage of 18v or greater

31 Methodology

The speed control of the induction motor with FPGA implementation is developed

When the Induction motors connected to the main supply it runs at their rated speed

Therefore to vary the rotor speed of IM variable frequency drive is required In the

methodology it cannot be considered as a suitable design solution for cost sensitive or

industrial applications Field Programmable Gate Arrays (FPGA) is a Higher density

programmable device and is used to integrate large amounts of logic in a single IC

Implementation on FPGA is one of the method to handle the real time requirements and

disadvantages of conventional microcontroller By using FPGA made faster and efficient

solution to controller It involves the logic based PWM method to control the speed of three

phase induction motorThe matlab based speed control simulation is developed and checked

for the performance improvements As well as hardware part by using FPGA has done

CHAPTER ndash 4

DYNAMIC MODELING amp SIMULATION OF THE INDUCTION MOTOR DRIVE

Dynamic behaviour of induction motor can be expressed by voltage and torque which are

time varying The differential equation that belongs to dynamic analysis of induction motor

are so sophisticated Then with the change of variables the complexity of these equations can

be decreased through movement from poly phase winding to two phase winding(q-d) In

other words the stator and rotor variables like voltage current and flux linkages of an

induction machine are transferred to another reference model which remains stationary

The AC induction motor model is given by the space vector form of the voltage equations

The system model defined in the stationary αβ-coordinate system attached to the stator is

expressed by the following equations Ideally the motor model is symmetrical with a linear

magnetic circuit characteristic

The stator amp rotor voltage differential equations

usα=R si sα+ddt

ψsα (1)

usβ=Rs isβ+ddt

ψsβ (2)

urα=0=Rr irα+ddt

ψrα+ωrβ (3)

urβ=0=R rir β+ddt

ψrβminusωrβ (4)

Electromagnetic torque expressed by utilizing space vector quantities

T e=32

Pp(ψsα isβminusψsβ isα) (5)

where

αβ = Stator orthogonal coordinate system

u sαβ urαβ = Stator and Rotor voltages [V]

isαβ irαβ = Stator and Rotor currents [A]

Ψsαβ Ψrαβ = Stator and Rotor magnetic fluxes [Vs]

Rs Rr = Stator and Rotor phase resistance [Ohm]

ω ωs = Electrical rotor speed synchronous speed [rads]

pp = Number of pole pairs

Te = electromagnetic torque [Nm]

After transformation into d-q coordinates the motor model follows

usd=R sisd+ddt

ψsdminusωsψsd (6)

usq=Rs isq+ddt

ψ sqminusωsψ sq (7)

urd=0=Rr ird+ddt

ψrdminus(ωiquestiquest sminusω)ψrqiquest (8)

urq=0=Rr irq+ddt

ψrqminus(ωiquestiquest sminusω)ψrd iquest (9)

T e=32

Pp(ψsd isqminusψsq isd) (10)

41Equivalent circuit The Induction motor is normally modeled as Equivalent circuit The figure 41(a) amp 41(b) shows the typical equivalent circuits

Fig 41 (a) and 41(b) Equivalent circuit of IM

42 AC Motors

An AC motor is an electric motors that is driven by an alternating current It consists of

two basic parts an outside stationary stator having coils supplied with alternating current to

produce a rotating magnetic field and an inside rotor attached to the output shaft that is given

a torque by the rotating field

There are two recognized broad classes of AC electrical rotating machine synchronouns

motor(SM) which rotates exactly at the supply frequency or a submultiple of the supply

frequency The magnetic field on the rotor either generated by current delivered throgh slip

rings or by a permanent magnet

The second is the asynchronous or induction (IM) which runs slightly slower than the

supply frequency The magnetic field on the rotor of this motor is created by an induced

current

A third class is introduced here for clarity the Electronically Commutated Machine

(ECM) Such ECM machines have electronic commutation or switching as an inherent part of

the operation This is different to electronically producing a variable frequency sine wave

supply say by pulse width modulation (PWM) and using this instead of mains excitation

The AC induction motor is a rotating electric machine which is designed to operate

from a 3-phase source of alternating voltage The source is usually an inverter and is used for

variable speed drives that uses power switches to produce approximately sinusoidal voltages

and currents for magnitude and frequency control

Fig 42 3-phase AC Induction motor

A cross-section of a two-pole induction motor is shown in figure Slots in the inner

periphery of the stator accommodate 3-phase winding abc The turns in each winding are

distributed so that a current in a stator winding produces an approximately sinusoidally-

distributed flux density around the periphery of the air gap When three currents that are

sinusoidally varying in time but displaced in phase by 120deg from each other flow through

the three symmetrically-placed windings a radially-directed air gap flux density is produced

that is also sinusoidally distributed around the gap and rotates at an angular velocity equal to

the angular frequency ωs of the stator currents

The most common type of induction motor has a squirrel cage rotor in which

aluminum conductors or bars are cast into slots in the outer periphery of the rotor These

conductors or bars are shorted together at both ends of the rotor by cast aluminum end rings

which also can be shaped to act as fans In larger induction motors copper or copper-alloy

bars are used to fabricate the rotor cage winding

CHAPTER ndash 5

SPEED CONTROL OF INDUCTION MOTOR

51 Necessity of speed control

Every day engineers design products that employ induction motors Speed control of 3-

phase induction motors is desirable in most motor control applications since it not only

provides variable speed but also reduces energy consumption and audible noise Controlling

the speed has many advantages such as power efficiency reduced audible noise and better

control over the applications The speed control of induction motor is more important to

achieve maximum torque and efficiency

AC Induction motors are being applied today in a wider range of applications requiring

variable speed Generally variable speed drives for induction motor require both wide

operating range of speed and fast torque response regardless of load variations This leads to

more advanced control methods to meet the real demand

Difficulties using conventional methods of speed control

1 It depends on the accuracy of the mathematical model of the system

2 The expected performance is not met due to the load disturbance motor saturation and

thermal variations

3 Classical linear control shows good performance only at one operating speed

4 The coefficients must be chosen properly for acceptable results whereas choosing the

proper coefficient with varying parameters like set point is very difficult

To implement conventional control The model of the controlled system must be known The

usual method of computation of mathematical model of a system is difficult When there are

system parameter variations or environmental disturbance the behaviour of the system is not

satisfactory The classical controller designed for high performance increases the complexity

of the design and hence the cost

52 Speed Control Ttechniques

In the past DC motors were used extensively in areas where variable-speed operations

were required DC motors have certain disadvantages however which are due to the

existance of the commutator and the brushes which makes the motor more bulky costly and

heavy They are also robust and immune to heavy loading the speed of the induction motor

has to be controlled and so different types of controllers are used to obtain the desired speed

Various speed control techniques implemented by modern-age variable frequency drive are

mainly classified in the following three categories

1 Scalar Control (Vf Control)

2 Vector Control (Indirect Torque Control)

3 Direct Torque Control(DTC)

The aim is to control the Speed amp Torque of the induction motor using vector control

technique The dynamic modelling of induction motor is done in the SIMULINK using the

necessary equations The Vector control of the induction motor is also modelled in the

SIMULINK using the necessary equations FPGA is implemented in the system for the better

control of the induction motor

521 Scalar Control ( V f control)

Whenever for three phase induction motor three phase supply is given rotating magnetic

field is produced which rotates at synchronous speed given by

Ns = 120fP

In three phase induction motor emf is induced by induction similar to that of transformer

which is given by

E or V = 444∮KTf or ∮ = V444KTf

Where K is the winding constant T is the number of turns per phase and f is frequency Now

synchronous speed changes if we change frequency but with decrease in frequency flux will

increase and this change in value of flux causes saturation of rotor and stator cores which will

further cause increase in no load current of the motor Somaintaining of flux is important φ

constant and it is possible in the case of voltage change ie if we decrease frequency flux

increases but at the same time if we decrease voltage flux will also decease causing no

change in flux and hence it remains constant So here we are keeping the ratio of V f as

constant Hence its name is V f method For the speed control of three phase induction

motor by V f method supply variable voltage and frequency we need to supply by using

converter and inverter set which is obtained

53 Vector Control (Indirect Torque Control) of AC induction machine

In AC induction motors vector control technique is most popular method In reference

frames the electromagnetic torque of the smooth-air-gap machine expression is similar to the

torque of the separately excited DC machine Induction machines case the control is

performed in the reference frame (d-q) attached to the rotor flux space vector So the

implementation of vector control requires information on the modulus and the space angle of

the rotor flux space vector The stator currents of the induction machine are separated into

flux- and torque-producing components by transformation to the d-q coordinate system

whose direct axis (d) is aligned with the rotor flux space vector It means that the q-axis

component of the rotor flux space vector is always zero

Ѱrq = 0 and ddtѰrq = 0 ----- (1)

The rotor flux space vector calculation and transformation to the d-q coordinate system

require the highѰ computational power of a microcontroller a digital signal processor is

suitable for this task

54 PWM Control

In this method a fixed dc input voltage is given to the inverter and a controlled ac

output voltage is obtained by adjusting the on and off periods of the inverter components

Inverter employing PWM principle are called PWM Inverters PWM techniques are

characterized by constant amplitude pulses The width of these pulses is modulated to obtain

inverter output voltage control and to reduce its harmonic content The advantages possessed

by PWM technique are the output voltage control with this method lower order harmonics

can be eliminated or minimized along with its output voltage control As higher order

harmonics can be filtered easily the filtering requirements are minimized The main

disadvantage of this method is that the SCRs are expensive as they must possess low turn on

and turn off times This is the most popular method of controlling the output voltage of an

inverter in industrial applications

541 Types of PWM Techniques

There are several types of PWM techniques Sinusoidal PWM (SPWM) selected

harmonics eliminations (SHE) PWM Minimum ripple current PWM Space vector PWM

Hysteresis band current control PWM (HBPWM) Sinusoidal PWM with instantaneous

current control Sigma-delta modulation The hysteresis band current control PWM has been

used because of its simple implementation fast transient response direct limiting of device

peak current and practical insensitivity of dc link voltage ripple that permits a lower filter

capacitor

Fig 51 Pulse Width Modultion

CHAPTER ndash6

BLOCK DIAGRAM DESCRIPTION OF THE HARDWARE MODEL

Figure 6 represents the block diagram of hardware model it consists of various blocks

same of these blocks are as explained below

Generating the square wave pulses using the Spartan 3AN FPGA kit then connected to

the driver circuit and though this circuit input is given to the three phase inverter By using

single phase supply(auto transformer) given to the rectifiers as it coverts AC voltage to DC

voltage Hence the DC voltage is connected to the inverter circuit From the inverter circuit

connected to the 3 phase induction motor terminals to measure the various speed

Fig 6 Block diagram of Hardware Implementation

61 Power Supply

All electronic circuits works only in low DC voltage so a power supply unit is

required to provide the appropriate voltage supply for their proper functioning This

power supply unit consists of transformer rectifier filter and regulator AC voltage of

typically 230V RMS is connected to a transformer which step down the voltage to the

desired AC voltage

Fig 611 General Block of Power Supply Unit

Single phase AC supply is given to bridge rectifier It converts AC into DC The paper

introduces the operation of power supply circuits built using filters rectifiers and then

voltage regulators Starting with an AC voltage a steady DC voltage is obtained by rectifying

the AC voltage then filtering to a DC level and finally regulating to obtain a desired fixed

DC voltage

611 Transformer

A transformer is a static device in which electric power in one circuit is transferred

into electric power of same frequency in another circuit It can raise or lower the voltage in

the circuit but with a corresponding decrease or increase in current It works with the

principle of mutual induction In this project a step-down transformer is used to provide

necessary supply of 12 V for the electronic circuits

612 Rectifier

A rectifier is an electrical device composed of one or more diodes that converts

alternating current (AC) to direct current (DC) Here in this project rectifier is used to get dc

for inverter circuitConverter is a device which convert AC to DC since high voltage dc

supply is required at the input of the inverter

In the bridge rectifier the diodes may be of variable types like 1N4001 1N4003

1N4004 1N4005 IN4007 etc can be used But in this project 1N4007 is used because it can

withstand up to 1000V

613 Filters

In order to obtain a dc voltage of 0 Hz a low pass capacitive filter circuit is used where a

capacitor is connected at the rectifier output and a DC voltage without ripples is obtained across

it The filtered waveform is essentially a DC voltage with negligible ripples and it is ultimately

fed to the load

614 Regulators

The filtered output voltage from the capacitor is finally regulated The voltage regulator

is a device which maintains the output voltage constant irrespective of the change in supply

variations load variations and temperature changes Here a fixed voltage regulator namely

LM7805 is usedThe IC LM7805 is a +5V regulator which is used for microcontroller

62 Spartan 3AN FPGA

Some of the important features of spartan 3AN FPGA is as given below

8-Nos General purpose point LEDs

8-Nos of DIP switches (Digital inputs)

2-Nos of Push Button

USB port

PTB Connector

Communication protocols

Full Duplex UART (USB) and UART (RS 232)

Other Features

1Mb Internal flash

50 MHz crystal oscillator clock source

USBJTAG Interface Connector for parallel programming Spartan3AN FPGA

USBUART interface

RS 232 interface

The Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K

gate Spartan-3AN on-board IO devices and 1Mb Internal flash memory making it the

perfect platform to experiment with any new design

The FPGA has good processing speed than the other controllers Here we are using

SPARTAN 3AN stick board kit for the proposed model The FPGA will give the appropriate

pulses to control the power in the inverter output It is very easy to make the pulses

compared to other controllers

In the FPGA board operating voltage of 33V is possible with the pulses

Fig 621 Spartan 3AN stick Board Components placement

63 Three phase inverter

A power inverter is a device which converts DC current supply into AC supply in

the form of sinewaves The DC and AC converters more commonly known as inverteres

depending on the type of supply source and the related topology of the power circuit are

classified as voltage source inverters (VSIs) and current source inverters (CSIs)

Inverter converts DC power to AC power usually at a controlled frequency and

voltage and this power used for supplying power to an AC motor

These work by controlling a switching device like an IGBT MOSFET or Bipolar

transistor with an oscillator so that the control device is switched on and off between

conducting and not conducting

The three phase MOSFET inverter uses the DC voltage supplied from the three phase

bridge and the gate drive signals to produce a balanced three phase sinusoidal output

which drives the induction motor

The metal-oxide semiconductor field effect transistor (MOSFET) is a transistor used

for amplifying or switching electronic signals

Although the MOSFET is a four terminal device with source (S) gate (G) Drain (D)

and body (B) terminals the body (or subtrate) of the MOSFET often is connected to

the source terminal making it a three-terminal device like other field effect

transistors Because these two terminals are normally connected to each other (short

circuited) internally only three terminals appear in electrical

Whereas MOSFETs are used for low-current and high ndashfrequency switching

The MOSFET used here is IRFP250N the gate signal provide by driver circuit six

MOSFET are included in three phase inverter to drive AC motor

Fig 631 Hardware representation of 3-phase inverter FPGA kit with driver circuit

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 6: my report

4 B Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

These authors presents a controller for induction motors is proposed A continuous feedback is

first applied to obtain a discrete-time model in closed form Then on the basis of these exact

sampled dynamics a discrete-time controller ensuring speed and flux modulus reference tracking

is determined making use of the sliding mode technique The resulting controller is hence

hybrid in the sense that it contains both continuous and discrete-time terms It is shown how to

implement such a hybrid controller using the so-called exponential holder which is the only

device to be implemented analogically together with an analog integrator Moreover a

discrete-time reduced-order observer is designed for rotor fluxes and load torque estimation The

performance of the proposed controller is finally studied by simulation and experimental tests

5 C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

An adaptive discrete-time tracking controller for a direct current motor with controlled

excitation flux is presented A recurrent neural network is used to identify the plant

model this neural identifier is trained with an extended Kalman filter algorithm Then the

discrete-time block-control and sliding-mode techniques are used to develop the trajectory

tracking This paper also includes the respective stability analysis for the whole closed-loop

system The effectiveness of the proposed control scheme is verified via real- time

implementation

6 A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

These authors presents deals with real-time adaptive tracking for discrete-time induction motors

in the presence of bounded disturbances A high-order neural-network structure is used to

identify the plant model and based on this model a discrete-time control law is derived which

combines discrete-time block-control and sliding-mode techniques This paper also includes the

respective stability analysis for the whole system with a strategy to avoid adaptive weight zero-

crossing The scheme is implemented in real time using a three- phase induction motor

7 A Y Alanis E N Sanchez and A G Loukianov

These authors presents the design of an adaptive controller based on the block control technique

and a new neural observer for a class of MIMO discrete-time nonlinear systems The observer

is based on a recurrent high-order neural network (RHONN) which estimates the state

vectors of the unknown plant dynamics The learning algorithm for the RHONN is based on an

extended Kalman filter (EKF) This paper also includes the respective stability analysis using

the Lyapunov approach for the whole system which includes the nonlinear plant the neural

observer trained with the EKF and the block controller Applicability of the proposed scheme

is illustrated via simulation for a discrete-time nonlinear model of an electric induction motor

8 M P Kazmierkowski and M A Dzieniakowski

These authors presents a review of recently used current regulation techniques for Voltage

Sourced Pulse WidthModulated (VS-PWM) inverters A variety of techniques different in

concept are described as follows On-Off hysteresis free running and fued frequency regulators

(phase independent look-up table based space vector based) linear regulators (carrier based

working in stationary and rotating coordinates PI and state feedback) predictive (minimum and

constant switching frequency) and dead beat regulators Also nowadays trends in the current

regulations - neural networks and fuzzy logic based regulators - are presented Some oscillograms

which illustrate properties of the presented regulator groups are shown The references include

96 actual papers and conference contributions

9 Ying-Yu Tzou and Hau- Jean Hsu

These authors presents a new circuit realization of the space-vector pulse-width modulation

(SVPWM) strategy An SVPWM control integrated circuit (IC) has been developed using the

state-of-the-art field-programmable gate array (FPGA) technologyThe proposed SVPWM

control scheme can be realized using only a single FPGA (XC4010) from Xilinx Inc The output

fundamental frequency can be adjusted from 0094 to 1500 HzThe pulse-width modulation

(PWM) switching frequency can be set from 381 Hz to 4884 kHz The delay time for the PWM

gating signals is adjustable This SVPWM IC can also be included in the digital current control

loop for stator current regulation The designed SVPWM IC can be incorporated with a digital

signal processor (DSP) to provide a simple and effective solution for high-performance ac drives

Simulation and experimental results are given to verify the implemented SVPWM control IC

10 G Thomas M Jahns and Edward L Owen

These authors represents there is broad recognition of the huge strides taken in the development

of modern ac adjustable-speed drives since the introduction of the thyristor in 1957 far fewer

engineers in the power electronics profession today are aware of the key engineering

developments in this field that preceded the solid-state era The purpose of this paper is to review

major milestones that set the stage for the development of todayrsquos ac drives including sufficient

details to acquaint readers with their basic principles strengths and limitations Attention will be

devoted to the continuum of this development history and the many direct echoes of

developments from the first half of the 1900rsquos that we take for granted in todayrsquos ac drives In

addition the spirited competition between electromechanical and electronic ac drive solutions

that dominated engineering attention during the early part of the century will be reviewed

highlighting the complicated interrelationship between electric machines and drive electronics

that persists today

11 Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh Krishnamurthy and Ali

Emadi

These authors presents Development of advanced motor drives has yielded increases in

efficiency and reliability Residential and commercial appliances such as refrigerators and air

conditioning systems use conventional motor drive technology The machines found in these

applications are characterized by low efficiency and high maintenance A brushless dc (BLDC)

motor drive is characterized by higher efficiency lower maintenance and higher cost In a

market driven by profit margins the appliance industry is reluctant to replace the conventional

motor drives with the advanced motor drives (BLDC) due to their higher cost Therefore it is

necessary to have a low-cost but effective BLDC motor controller This paper lays the

groundwork for the development of a new low-cost IC for control of BLDC motors A simple

novel digital pulse width modulation control has been implemented for a trapezoidal BLDC

motor drive system Due to the simplistic nature of this control it has the potential to be

implemented in a low-cost applicationspecific integrated circuit The novel controller is modeled

and verified using simulations Experimental verification is carried out using field-programmable

gate arrays to validate the claims presented

12 Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N Cirstea

These authors presents a novel direct torque control (DTC) approach for induction machines

based on an improved torque and stator flux estimator and its implementation using field

programmable gate arrays (FPGA) The DTC performance is significantly improved by the use

of FPGA which can execute the DTC algorithm at higher sampling frequency This leads to the

reduction of the torque ripple and improved flux and torque estimations The main achievements

are 1) calculating a discrete integration operation of stator flux using backward Euler approach

2) modifying a so called nonrestoring method in calculating the complicated square root

operation in stator flux estimator 3) introducing a new flux sector determinationmethod 4)

increasing the sampling frequency to 200 kHz such that the digital computation will perform

similar to that of the analog operation and 5) using tworsquos complement fixed-point format

approach to minimize calculation errors and the hardware resource usage in all operations The

design was achieved in VHDL based on a MATLABSimulink simulation model The

Hardware-in-the-Loop method is used to verify the functionality of the FPGA estimator The

simulation results are validated experimentally Thus it is demonstrated that FPGA

implementation of DTC drives can achieve excellent performance at high sampling frequency

13 Alessandro Cilardo

These authors presents Field programmable gate array (FPGA) devices are increasingly being

deployed in industrial environments making reconfigurable hardware testing and reliability an

active area of investigation While FPGA devices can be tested exhaustively the so-called

application-dependent test (ADT) has emerged as an effective approach ensuring reduced testing

efforts and improving the manufacturing yield since it can selectively exclude a subset of faults

not affecting a given design In addition to manufacturing ADT can be used online providing a

solution for fast runtime fault detection and diagnostics This paper identifies a number of issues

in existing ADT techniques which limit their applicability and proposes new approaches

improving the range of covered faults with special emphasis on feedback bridging faults as well

as new algorithms for generating ADT test configurations Furthermore the work introduces a

software environment addressing the current lack of tools either academic or commercial

supporting ADT techniques The architecture of the environment is highly modular and

extensively based on a plug-in approach To demonstrate the potential of the toolset we

developed a complete suite of plug-ins based on both state-of-the-art ADT techniques and the

novel approaches introduced here The experimental results presented at the end of the paper

confirm the impact of the proposed techniques

14 M Nasir Uddin Tawfik S Radwan and M Azizur Rahman

These authors presents a novel speed control scheme of an induction motor (IM) using fuzzy-

logic control The fuzzy-logic controller (FLC) is based on the indirect vector control The fuzzy-

logic speed controller is employed in the outer loop Thecomplete vector control scheme of the

IM drive incorporating the FLC is experimentally implemented using a digital signal processor

board DS-1102 for the laboratory 1-hp squirrel-cage IM The performances of the proposed

FLC-based IM drive are investigated and compared to those obtained from the conventional

proportional-integral (PI) controller-based drive both theoretically and experimentally at

different dynamic operating conditions such as sudden change in command speed step change in

load etc The comparative experimental results show that the FLC is more robust and hence

found to be a suitable replacement of the conventional PI controller for the high-performance

industrial drive applications

15 Bhim Singh BP Singh and Sanjeet Dwivedi

These authors presents a Digital Signal Processor (DSP) based implementation of a Hybrid of

Fuzzy Logic Controller (FLC) and Proportional-Integral (PI) speed controller for Vector

Controlled (VC) Permanent Magnet Synchronous Motor (PMSM) Drive The fuzzy membership

function is used for the hybrid combination of these two FLC and PI speed controllers in such a

way that during the time of dynamic conditions such as starting the degree of belonging for FLC

speed controller is higher than the PI controller and near the set point the degree of belonging of

PI controller is having higher weightage The simulation model of the PMSM drive system is

developed in MATLAB environment with simulink and PSB oolboxes to analyze the

performance of the proposed drive system The hybrid speed controller is found suitable for

Vector Controlled PMSM drive in giving the high level of performance while maintaining the

excellent response at the time of starting speed reversal load perturbation and steady-state

operation of the drive

16 WP Hew C P Ooi And N A Rahim

These authors proposes the circuit realization of Space Vector Modulation (SVM) algorithm

using a single Altera Flex 10k chip (EPFlOK70RC240-4) An SVM integrated circuit (IC) has

been designed and developed to improve the vector control of the three-phase voltage source

inverter (VSI) The design of SVM IC is downloaded to the Altera UP2 Board and tested with

the inverter circuit to drive a 3-phase induction motor The experimental results for 33Hz and

50Hz fundamental frequency and inverter switching frequency of 819 kHz are recorded

CHAPTER ndash3

DESCRIPTION ON FPGA CONTROLLER

In this project the speed of the induction motor is controlled by varying stator

frequency and voltage using FPGA programming FPGA is a new platform for motor control

application and it gives excellent performance at mathematical calculation The AC induction

motor is a relatively simple inexpensive and rugged device which requires little maintenance

However the induction motor is virtually a fixed speed device when operated from a constant

frequency source Since some applications require a fairly wide range of operating speeds DC

machines were often required With the advent of power electronics devices have become

available that allow induction machines to be operated over a range of speeds It is now

frequently possible to buy an induction machine with an electronic drive for about the same price

as a comparable DC machine Furthermore variable speed induction motors can also be used to

drive pumps or fans more economically than the mechanical means which are often used to

provide variable flow

Todayrsquos FPGA based PWM-output variable frequency drives provide the user with a

tremendous variety of features and functions which allow accurate control and monitoring in

nearly every 3-phase motor application A fundamental advantage of an ac drive is that it

provides virtually infinite speed control of the standard induction motors

FPGAThe Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K gate

Spartan-3AN on-board IO devicesand 1Mb Internal flash memory making it the perfect

platform to experiment with any new design

The Spartan3AN FPGA Stick Board kit includes a USB JTAG programming and

debugging chain Additionally there are two possible way for programming download and

debugging through USB as well as JTAG header a mini USB cable which is used to download

the program from PC into FPGA For this purpose the cable directly connected to USB port of

the PC and another way is the JTAG cable connects directly to the parallel port of a PC and to a

standard 6-pin JTAG programming header in the kit that can program a devices that have a

JTAG voltage of 18v or greater

31 Methodology

The speed control of the induction motor with FPGA implementation is developed

When the Induction motors connected to the main supply it runs at their rated speed

Therefore to vary the rotor speed of IM variable frequency drive is required In the

methodology it cannot be considered as a suitable design solution for cost sensitive or

industrial applications Field Programmable Gate Arrays (FPGA) is a Higher density

programmable device and is used to integrate large amounts of logic in a single IC

Implementation on FPGA is one of the method to handle the real time requirements and

disadvantages of conventional microcontroller By using FPGA made faster and efficient

solution to controller It involves the logic based PWM method to control the speed of three

phase induction motorThe matlab based speed control simulation is developed and checked

for the performance improvements As well as hardware part by using FPGA has done

CHAPTER ndash 4

DYNAMIC MODELING amp SIMULATION OF THE INDUCTION MOTOR DRIVE

Dynamic behaviour of induction motor can be expressed by voltage and torque which are

time varying The differential equation that belongs to dynamic analysis of induction motor

are so sophisticated Then with the change of variables the complexity of these equations can

be decreased through movement from poly phase winding to two phase winding(q-d) In

other words the stator and rotor variables like voltage current and flux linkages of an

induction machine are transferred to another reference model which remains stationary

The AC induction motor model is given by the space vector form of the voltage equations

The system model defined in the stationary αβ-coordinate system attached to the stator is

expressed by the following equations Ideally the motor model is symmetrical with a linear

magnetic circuit characteristic

The stator amp rotor voltage differential equations

usα=R si sα+ddt

ψsα (1)

usβ=Rs isβ+ddt

ψsβ (2)

urα=0=Rr irα+ddt

ψrα+ωrβ (3)

urβ=0=R rir β+ddt

ψrβminusωrβ (4)

Electromagnetic torque expressed by utilizing space vector quantities

T e=32

Pp(ψsα isβminusψsβ isα) (5)

where

αβ = Stator orthogonal coordinate system

u sαβ urαβ = Stator and Rotor voltages [V]

isαβ irαβ = Stator and Rotor currents [A]

Ψsαβ Ψrαβ = Stator and Rotor magnetic fluxes [Vs]

Rs Rr = Stator and Rotor phase resistance [Ohm]

ω ωs = Electrical rotor speed synchronous speed [rads]

pp = Number of pole pairs

Te = electromagnetic torque [Nm]

After transformation into d-q coordinates the motor model follows

usd=R sisd+ddt

ψsdminusωsψsd (6)

usq=Rs isq+ddt

ψ sqminusωsψ sq (7)

urd=0=Rr ird+ddt

ψrdminus(ωiquestiquest sminusω)ψrqiquest (8)

urq=0=Rr irq+ddt

ψrqminus(ωiquestiquest sminusω)ψrd iquest (9)

T e=32

Pp(ψsd isqminusψsq isd) (10)

41Equivalent circuit The Induction motor is normally modeled as Equivalent circuit The figure 41(a) amp 41(b) shows the typical equivalent circuits

Fig 41 (a) and 41(b) Equivalent circuit of IM

42 AC Motors

An AC motor is an electric motors that is driven by an alternating current It consists of

two basic parts an outside stationary stator having coils supplied with alternating current to

produce a rotating magnetic field and an inside rotor attached to the output shaft that is given

a torque by the rotating field

There are two recognized broad classes of AC electrical rotating machine synchronouns

motor(SM) which rotates exactly at the supply frequency or a submultiple of the supply

frequency The magnetic field on the rotor either generated by current delivered throgh slip

rings or by a permanent magnet

The second is the asynchronous or induction (IM) which runs slightly slower than the

supply frequency The magnetic field on the rotor of this motor is created by an induced

current

A third class is introduced here for clarity the Electronically Commutated Machine

(ECM) Such ECM machines have electronic commutation or switching as an inherent part of

the operation This is different to electronically producing a variable frequency sine wave

supply say by pulse width modulation (PWM) and using this instead of mains excitation

The AC induction motor is a rotating electric machine which is designed to operate

from a 3-phase source of alternating voltage The source is usually an inverter and is used for

variable speed drives that uses power switches to produce approximately sinusoidal voltages

and currents for magnitude and frequency control

Fig 42 3-phase AC Induction motor

A cross-section of a two-pole induction motor is shown in figure Slots in the inner

periphery of the stator accommodate 3-phase winding abc The turns in each winding are

distributed so that a current in a stator winding produces an approximately sinusoidally-

distributed flux density around the periphery of the air gap When three currents that are

sinusoidally varying in time but displaced in phase by 120deg from each other flow through

the three symmetrically-placed windings a radially-directed air gap flux density is produced

that is also sinusoidally distributed around the gap and rotates at an angular velocity equal to

the angular frequency ωs of the stator currents

The most common type of induction motor has a squirrel cage rotor in which

aluminum conductors or bars are cast into slots in the outer periphery of the rotor These

conductors or bars are shorted together at both ends of the rotor by cast aluminum end rings

which also can be shaped to act as fans In larger induction motors copper or copper-alloy

bars are used to fabricate the rotor cage winding

CHAPTER ndash 5

SPEED CONTROL OF INDUCTION MOTOR

51 Necessity of speed control

Every day engineers design products that employ induction motors Speed control of 3-

phase induction motors is desirable in most motor control applications since it not only

provides variable speed but also reduces energy consumption and audible noise Controlling

the speed has many advantages such as power efficiency reduced audible noise and better

control over the applications The speed control of induction motor is more important to

achieve maximum torque and efficiency

AC Induction motors are being applied today in a wider range of applications requiring

variable speed Generally variable speed drives for induction motor require both wide

operating range of speed and fast torque response regardless of load variations This leads to

more advanced control methods to meet the real demand

Difficulties using conventional methods of speed control

1 It depends on the accuracy of the mathematical model of the system

2 The expected performance is not met due to the load disturbance motor saturation and

thermal variations

3 Classical linear control shows good performance only at one operating speed

4 The coefficients must be chosen properly for acceptable results whereas choosing the

proper coefficient with varying parameters like set point is very difficult

To implement conventional control The model of the controlled system must be known The

usual method of computation of mathematical model of a system is difficult When there are

system parameter variations or environmental disturbance the behaviour of the system is not

satisfactory The classical controller designed for high performance increases the complexity

of the design and hence the cost

52 Speed Control Ttechniques

In the past DC motors were used extensively in areas where variable-speed operations

were required DC motors have certain disadvantages however which are due to the

existance of the commutator and the brushes which makes the motor more bulky costly and

heavy They are also robust and immune to heavy loading the speed of the induction motor

has to be controlled and so different types of controllers are used to obtain the desired speed

Various speed control techniques implemented by modern-age variable frequency drive are

mainly classified in the following three categories

1 Scalar Control (Vf Control)

2 Vector Control (Indirect Torque Control)

3 Direct Torque Control(DTC)

The aim is to control the Speed amp Torque of the induction motor using vector control

technique The dynamic modelling of induction motor is done in the SIMULINK using the

necessary equations The Vector control of the induction motor is also modelled in the

SIMULINK using the necessary equations FPGA is implemented in the system for the better

control of the induction motor

521 Scalar Control ( V f control)

Whenever for three phase induction motor three phase supply is given rotating magnetic

field is produced which rotates at synchronous speed given by

Ns = 120fP

In three phase induction motor emf is induced by induction similar to that of transformer

which is given by

E or V = 444∮KTf or ∮ = V444KTf

Where K is the winding constant T is the number of turns per phase and f is frequency Now

synchronous speed changes if we change frequency but with decrease in frequency flux will

increase and this change in value of flux causes saturation of rotor and stator cores which will

further cause increase in no load current of the motor Somaintaining of flux is important φ

constant and it is possible in the case of voltage change ie if we decrease frequency flux

increases but at the same time if we decrease voltage flux will also decease causing no

change in flux and hence it remains constant So here we are keeping the ratio of V f as

constant Hence its name is V f method For the speed control of three phase induction

motor by V f method supply variable voltage and frequency we need to supply by using

converter and inverter set which is obtained

53 Vector Control (Indirect Torque Control) of AC induction machine

In AC induction motors vector control technique is most popular method In reference

frames the electromagnetic torque of the smooth-air-gap machine expression is similar to the

torque of the separately excited DC machine Induction machines case the control is

performed in the reference frame (d-q) attached to the rotor flux space vector So the

implementation of vector control requires information on the modulus and the space angle of

the rotor flux space vector The stator currents of the induction machine are separated into

flux- and torque-producing components by transformation to the d-q coordinate system

whose direct axis (d) is aligned with the rotor flux space vector It means that the q-axis

component of the rotor flux space vector is always zero

Ѱrq = 0 and ddtѰrq = 0 ----- (1)

The rotor flux space vector calculation and transformation to the d-q coordinate system

require the highѰ computational power of a microcontroller a digital signal processor is

suitable for this task

54 PWM Control

In this method a fixed dc input voltage is given to the inverter and a controlled ac

output voltage is obtained by adjusting the on and off periods of the inverter components

Inverter employing PWM principle are called PWM Inverters PWM techniques are

characterized by constant amplitude pulses The width of these pulses is modulated to obtain

inverter output voltage control and to reduce its harmonic content The advantages possessed

by PWM technique are the output voltage control with this method lower order harmonics

can be eliminated or minimized along with its output voltage control As higher order

harmonics can be filtered easily the filtering requirements are minimized The main

disadvantage of this method is that the SCRs are expensive as they must possess low turn on

and turn off times This is the most popular method of controlling the output voltage of an

inverter in industrial applications

541 Types of PWM Techniques

There are several types of PWM techniques Sinusoidal PWM (SPWM) selected

harmonics eliminations (SHE) PWM Minimum ripple current PWM Space vector PWM

Hysteresis band current control PWM (HBPWM) Sinusoidal PWM with instantaneous

current control Sigma-delta modulation The hysteresis band current control PWM has been

used because of its simple implementation fast transient response direct limiting of device

peak current and practical insensitivity of dc link voltage ripple that permits a lower filter

capacitor

Fig 51 Pulse Width Modultion

CHAPTER ndash6

BLOCK DIAGRAM DESCRIPTION OF THE HARDWARE MODEL

Figure 6 represents the block diagram of hardware model it consists of various blocks

same of these blocks are as explained below

Generating the square wave pulses using the Spartan 3AN FPGA kit then connected to

the driver circuit and though this circuit input is given to the three phase inverter By using

single phase supply(auto transformer) given to the rectifiers as it coverts AC voltage to DC

voltage Hence the DC voltage is connected to the inverter circuit From the inverter circuit

connected to the 3 phase induction motor terminals to measure the various speed

Fig 6 Block diagram of Hardware Implementation

61 Power Supply

All electronic circuits works only in low DC voltage so a power supply unit is

required to provide the appropriate voltage supply for their proper functioning This

power supply unit consists of transformer rectifier filter and regulator AC voltage of

typically 230V RMS is connected to a transformer which step down the voltage to the

desired AC voltage

Fig 611 General Block of Power Supply Unit

Single phase AC supply is given to bridge rectifier It converts AC into DC The paper

introduces the operation of power supply circuits built using filters rectifiers and then

voltage regulators Starting with an AC voltage a steady DC voltage is obtained by rectifying

the AC voltage then filtering to a DC level and finally regulating to obtain a desired fixed

DC voltage

611 Transformer

A transformer is a static device in which electric power in one circuit is transferred

into electric power of same frequency in another circuit It can raise or lower the voltage in

the circuit but with a corresponding decrease or increase in current It works with the

principle of mutual induction In this project a step-down transformer is used to provide

necessary supply of 12 V for the electronic circuits

612 Rectifier

A rectifier is an electrical device composed of one or more diodes that converts

alternating current (AC) to direct current (DC) Here in this project rectifier is used to get dc

for inverter circuitConverter is a device which convert AC to DC since high voltage dc

supply is required at the input of the inverter

In the bridge rectifier the diodes may be of variable types like 1N4001 1N4003

1N4004 1N4005 IN4007 etc can be used But in this project 1N4007 is used because it can

withstand up to 1000V

613 Filters

In order to obtain a dc voltage of 0 Hz a low pass capacitive filter circuit is used where a

capacitor is connected at the rectifier output and a DC voltage without ripples is obtained across

it The filtered waveform is essentially a DC voltage with negligible ripples and it is ultimately

fed to the load

614 Regulators

The filtered output voltage from the capacitor is finally regulated The voltage regulator

is a device which maintains the output voltage constant irrespective of the change in supply

variations load variations and temperature changes Here a fixed voltage regulator namely

LM7805 is usedThe IC LM7805 is a +5V regulator which is used for microcontroller

62 Spartan 3AN FPGA

Some of the important features of spartan 3AN FPGA is as given below

8-Nos General purpose point LEDs

8-Nos of DIP switches (Digital inputs)

2-Nos of Push Button

USB port

PTB Connector

Communication protocols

Full Duplex UART (USB) and UART (RS 232)

Other Features

1Mb Internal flash

50 MHz crystal oscillator clock source

USBJTAG Interface Connector for parallel programming Spartan3AN FPGA

USBUART interface

RS 232 interface

The Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K

gate Spartan-3AN on-board IO devices and 1Mb Internal flash memory making it the

perfect platform to experiment with any new design

The FPGA has good processing speed than the other controllers Here we are using

SPARTAN 3AN stick board kit for the proposed model The FPGA will give the appropriate

pulses to control the power in the inverter output It is very easy to make the pulses

compared to other controllers

In the FPGA board operating voltage of 33V is possible with the pulses

Fig 621 Spartan 3AN stick Board Components placement

63 Three phase inverter

A power inverter is a device which converts DC current supply into AC supply in

the form of sinewaves The DC and AC converters more commonly known as inverteres

depending on the type of supply source and the related topology of the power circuit are

classified as voltage source inverters (VSIs) and current source inverters (CSIs)

Inverter converts DC power to AC power usually at a controlled frequency and

voltage and this power used for supplying power to an AC motor

These work by controlling a switching device like an IGBT MOSFET or Bipolar

transistor with an oscillator so that the control device is switched on and off between

conducting and not conducting

The three phase MOSFET inverter uses the DC voltage supplied from the three phase

bridge and the gate drive signals to produce a balanced three phase sinusoidal output

which drives the induction motor

The metal-oxide semiconductor field effect transistor (MOSFET) is a transistor used

for amplifying or switching electronic signals

Although the MOSFET is a four terminal device with source (S) gate (G) Drain (D)

and body (B) terminals the body (or subtrate) of the MOSFET often is connected to

the source terminal making it a three-terminal device like other field effect

transistors Because these two terminals are normally connected to each other (short

circuited) internally only three terminals appear in electrical

Whereas MOSFETs are used for low-current and high ndashfrequency switching

The MOSFET used here is IRFP250N the gate signal provide by driver circuit six

MOSFET are included in three phase inverter to drive AC motor

Fig 631 Hardware representation of 3-phase inverter FPGA kit with driver circuit

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 7: my report

7 A Y Alanis E N Sanchez and A G Loukianov

These authors presents the design of an adaptive controller based on the block control technique

and a new neural observer for a class of MIMO discrete-time nonlinear systems The observer

is based on a recurrent high-order neural network (RHONN) which estimates the state

vectors of the unknown plant dynamics The learning algorithm for the RHONN is based on an

extended Kalman filter (EKF) This paper also includes the respective stability analysis using

the Lyapunov approach for the whole system which includes the nonlinear plant the neural

observer trained with the EKF and the block controller Applicability of the proposed scheme

is illustrated via simulation for a discrete-time nonlinear model of an electric induction motor

8 M P Kazmierkowski and M A Dzieniakowski

These authors presents a review of recently used current regulation techniques for Voltage

Sourced Pulse WidthModulated (VS-PWM) inverters A variety of techniques different in

concept are described as follows On-Off hysteresis free running and fued frequency regulators

(phase independent look-up table based space vector based) linear regulators (carrier based

working in stationary and rotating coordinates PI and state feedback) predictive (minimum and

constant switching frequency) and dead beat regulators Also nowadays trends in the current

regulations - neural networks and fuzzy logic based regulators - are presented Some oscillograms

which illustrate properties of the presented regulator groups are shown The references include

96 actual papers and conference contributions

9 Ying-Yu Tzou and Hau- Jean Hsu

These authors presents a new circuit realization of the space-vector pulse-width modulation

(SVPWM) strategy An SVPWM control integrated circuit (IC) has been developed using the

state-of-the-art field-programmable gate array (FPGA) technologyThe proposed SVPWM

control scheme can be realized using only a single FPGA (XC4010) from Xilinx Inc The output

fundamental frequency can be adjusted from 0094 to 1500 HzThe pulse-width modulation

(PWM) switching frequency can be set from 381 Hz to 4884 kHz The delay time for the PWM

gating signals is adjustable This SVPWM IC can also be included in the digital current control

loop for stator current regulation The designed SVPWM IC can be incorporated with a digital

signal processor (DSP) to provide a simple and effective solution for high-performance ac drives

Simulation and experimental results are given to verify the implemented SVPWM control IC

10 G Thomas M Jahns and Edward L Owen

These authors represents there is broad recognition of the huge strides taken in the development

of modern ac adjustable-speed drives since the introduction of the thyristor in 1957 far fewer

engineers in the power electronics profession today are aware of the key engineering

developments in this field that preceded the solid-state era The purpose of this paper is to review

major milestones that set the stage for the development of todayrsquos ac drives including sufficient

details to acquaint readers with their basic principles strengths and limitations Attention will be

devoted to the continuum of this development history and the many direct echoes of

developments from the first half of the 1900rsquos that we take for granted in todayrsquos ac drives In

addition the spirited competition between electromechanical and electronic ac drive solutions

that dominated engineering attention during the early part of the century will be reviewed

highlighting the complicated interrelationship between electric machines and drive electronics

that persists today

11 Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh Krishnamurthy and Ali

Emadi

These authors presents Development of advanced motor drives has yielded increases in

efficiency and reliability Residential and commercial appliances such as refrigerators and air

conditioning systems use conventional motor drive technology The machines found in these

applications are characterized by low efficiency and high maintenance A brushless dc (BLDC)

motor drive is characterized by higher efficiency lower maintenance and higher cost In a

market driven by profit margins the appliance industry is reluctant to replace the conventional

motor drives with the advanced motor drives (BLDC) due to their higher cost Therefore it is

necessary to have a low-cost but effective BLDC motor controller This paper lays the

groundwork for the development of a new low-cost IC for control of BLDC motors A simple

novel digital pulse width modulation control has been implemented for a trapezoidal BLDC

motor drive system Due to the simplistic nature of this control it has the potential to be

implemented in a low-cost applicationspecific integrated circuit The novel controller is modeled

and verified using simulations Experimental verification is carried out using field-programmable

gate arrays to validate the claims presented

12 Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N Cirstea

These authors presents a novel direct torque control (DTC) approach for induction machines

based on an improved torque and stator flux estimator and its implementation using field

programmable gate arrays (FPGA) The DTC performance is significantly improved by the use

of FPGA which can execute the DTC algorithm at higher sampling frequency This leads to the

reduction of the torque ripple and improved flux and torque estimations The main achievements

are 1) calculating a discrete integration operation of stator flux using backward Euler approach

2) modifying a so called nonrestoring method in calculating the complicated square root

operation in stator flux estimator 3) introducing a new flux sector determinationmethod 4)

increasing the sampling frequency to 200 kHz such that the digital computation will perform

similar to that of the analog operation and 5) using tworsquos complement fixed-point format

approach to minimize calculation errors and the hardware resource usage in all operations The

design was achieved in VHDL based on a MATLABSimulink simulation model The

Hardware-in-the-Loop method is used to verify the functionality of the FPGA estimator The

simulation results are validated experimentally Thus it is demonstrated that FPGA

implementation of DTC drives can achieve excellent performance at high sampling frequency

13 Alessandro Cilardo

These authors presents Field programmable gate array (FPGA) devices are increasingly being

deployed in industrial environments making reconfigurable hardware testing and reliability an

active area of investigation While FPGA devices can be tested exhaustively the so-called

application-dependent test (ADT) has emerged as an effective approach ensuring reduced testing

efforts and improving the manufacturing yield since it can selectively exclude a subset of faults

not affecting a given design In addition to manufacturing ADT can be used online providing a

solution for fast runtime fault detection and diagnostics This paper identifies a number of issues

in existing ADT techniques which limit their applicability and proposes new approaches

improving the range of covered faults with special emphasis on feedback bridging faults as well

as new algorithms for generating ADT test configurations Furthermore the work introduces a

software environment addressing the current lack of tools either academic or commercial

supporting ADT techniques The architecture of the environment is highly modular and

extensively based on a plug-in approach To demonstrate the potential of the toolset we

developed a complete suite of plug-ins based on both state-of-the-art ADT techniques and the

novel approaches introduced here The experimental results presented at the end of the paper

confirm the impact of the proposed techniques

14 M Nasir Uddin Tawfik S Radwan and M Azizur Rahman

These authors presents a novel speed control scheme of an induction motor (IM) using fuzzy-

logic control The fuzzy-logic controller (FLC) is based on the indirect vector control The fuzzy-

logic speed controller is employed in the outer loop Thecomplete vector control scheme of the

IM drive incorporating the FLC is experimentally implemented using a digital signal processor

board DS-1102 for the laboratory 1-hp squirrel-cage IM The performances of the proposed

FLC-based IM drive are investigated and compared to those obtained from the conventional

proportional-integral (PI) controller-based drive both theoretically and experimentally at

different dynamic operating conditions such as sudden change in command speed step change in

load etc The comparative experimental results show that the FLC is more robust and hence

found to be a suitable replacement of the conventional PI controller for the high-performance

industrial drive applications

15 Bhim Singh BP Singh and Sanjeet Dwivedi

These authors presents a Digital Signal Processor (DSP) based implementation of a Hybrid of

Fuzzy Logic Controller (FLC) and Proportional-Integral (PI) speed controller for Vector

Controlled (VC) Permanent Magnet Synchronous Motor (PMSM) Drive The fuzzy membership

function is used for the hybrid combination of these two FLC and PI speed controllers in such a

way that during the time of dynamic conditions such as starting the degree of belonging for FLC

speed controller is higher than the PI controller and near the set point the degree of belonging of

PI controller is having higher weightage The simulation model of the PMSM drive system is

developed in MATLAB environment with simulink and PSB oolboxes to analyze the

performance of the proposed drive system The hybrid speed controller is found suitable for

Vector Controlled PMSM drive in giving the high level of performance while maintaining the

excellent response at the time of starting speed reversal load perturbation and steady-state

operation of the drive

16 WP Hew C P Ooi And N A Rahim

These authors proposes the circuit realization of Space Vector Modulation (SVM) algorithm

using a single Altera Flex 10k chip (EPFlOK70RC240-4) An SVM integrated circuit (IC) has

been designed and developed to improve the vector control of the three-phase voltage source

inverter (VSI) The design of SVM IC is downloaded to the Altera UP2 Board and tested with

the inverter circuit to drive a 3-phase induction motor The experimental results for 33Hz and

50Hz fundamental frequency and inverter switching frequency of 819 kHz are recorded

CHAPTER ndash3

DESCRIPTION ON FPGA CONTROLLER

In this project the speed of the induction motor is controlled by varying stator

frequency and voltage using FPGA programming FPGA is a new platform for motor control

application and it gives excellent performance at mathematical calculation The AC induction

motor is a relatively simple inexpensive and rugged device which requires little maintenance

However the induction motor is virtually a fixed speed device when operated from a constant

frequency source Since some applications require a fairly wide range of operating speeds DC

machines were often required With the advent of power electronics devices have become

available that allow induction machines to be operated over a range of speeds It is now

frequently possible to buy an induction machine with an electronic drive for about the same price

as a comparable DC machine Furthermore variable speed induction motors can also be used to

drive pumps or fans more economically than the mechanical means which are often used to

provide variable flow

Todayrsquos FPGA based PWM-output variable frequency drives provide the user with a

tremendous variety of features and functions which allow accurate control and monitoring in

nearly every 3-phase motor application A fundamental advantage of an ac drive is that it

provides virtually infinite speed control of the standard induction motors

FPGAThe Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K gate

Spartan-3AN on-board IO devicesand 1Mb Internal flash memory making it the perfect

platform to experiment with any new design

The Spartan3AN FPGA Stick Board kit includes a USB JTAG programming and

debugging chain Additionally there are two possible way for programming download and

debugging through USB as well as JTAG header a mini USB cable which is used to download

the program from PC into FPGA For this purpose the cable directly connected to USB port of

the PC and another way is the JTAG cable connects directly to the parallel port of a PC and to a

standard 6-pin JTAG programming header in the kit that can program a devices that have a

JTAG voltage of 18v or greater

31 Methodology

The speed control of the induction motor with FPGA implementation is developed

When the Induction motors connected to the main supply it runs at their rated speed

Therefore to vary the rotor speed of IM variable frequency drive is required In the

methodology it cannot be considered as a suitable design solution for cost sensitive or

industrial applications Field Programmable Gate Arrays (FPGA) is a Higher density

programmable device and is used to integrate large amounts of logic in a single IC

Implementation on FPGA is one of the method to handle the real time requirements and

disadvantages of conventional microcontroller By using FPGA made faster and efficient

solution to controller It involves the logic based PWM method to control the speed of three

phase induction motorThe matlab based speed control simulation is developed and checked

for the performance improvements As well as hardware part by using FPGA has done

CHAPTER ndash 4

DYNAMIC MODELING amp SIMULATION OF THE INDUCTION MOTOR DRIVE

Dynamic behaviour of induction motor can be expressed by voltage and torque which are

time varying The differential equation that belongs to dynamic analysis of induction motor

are so sophisticated Then with the change of variables the complexity of these equations can

be decreased through movement from poly phase winding to two phase winding(q-d) In

other words the stator and rotor variables like voltage current and flux linkages of an

induction machine are transferred to another reference model which remains stationary

The AC induction motor model is given by the space vector form of the voltage equations

The system model defined in the stationary αβ-coordinate system attached to the stator is

expressed by the following equations Ideally the motor model is symmetrical with a linear

magnetic circuit characteristic

The stator amp rotor voltage differential equations

usα=R si sα+ddt

ψsα (1)

usβ=Rs isβ+ddt

ψsβ (2)

urα=0=Rr irα+ddt

ψrα+ωrβ (3)

urβ=0=R rir β+ddt

ψrβminusωrβ (4)

Electromagnetic torque expressed by utilizing space vector quantities

T e=32

Pp(ψsα isβminusψsβ isα) (5)

where

αβ = Stator orthogonal coordinate system

u sαβ urαβ = Stator and Rotor voltages [V]

isαβ irαβ = Stator and Rotor currents [A]

Ψsαβ Ψrαβ = Stator and Rotor magnetic fluxes [Vs]

Rs Rr = Stator and Rotor phase resistance [Ohm]

ω ωs = Electrical rotor speed synchronous speed [rads]

pp = Number of pole pairs

Te = electromagnetic torque [Nm]

After transformation into d-q coordinates the motor model follows

usd=R sisd+ddt

ψsdminusωsψsd (6)

usq=Rs isq+ddt

ψ sqminusωsψ sq (7)

urd=0=Rr ird+ddt

ψrdminus(ωiquestiquest sminusω)ψrqiquest (8)

urq=0=Rr irq+ddt

ψrqminus(ωiquestiquest sminusω)ψrd iquest (9)

T e=32

Pp(ψsd isqminusψsq isd) (10)

41Equivalent circuit The Induction motor is normally modeled as Equivalent circuit The figure 41(a) amp 41(b) shows the typical equivalent circuits

Fig 41 (a) and 41(b) Equivalent circuit of IM

42 AC Motors

An AC motor is an electric motors that is driven by an alternating current It consists of

two basic parts an outside stationary stator having coils supplied with alternating current to

produce a rotating magnetic field and an inside rotor attached to the output shaft that is given

a torque by the rotating field

There are two recognized broad classes of AC electrical rotating machine synchronouns

motor(SM) which rotates exactly at the supply frequency or a submultiple of the supply

frequency The magnetic field on the rotor either generated by current delivered throgh slip

rings or by a permanent magnet

The second is the asynchronous or induction (IM) which runs slightly slower than the

supply frequency The magnetic field on the rotor of this motor is created by an induced

current

A third class is introduced here for clarity the Electronically Commutated Machine

(ECM) Such ECM machines have electronic commutation or switching as an inherent part of

the operation This is different to electronically producing a variable frequency sine wave

supply say by pulse width modulation (PWM) and using this instead of mains excitation

The AC induction motor is a rotating electric machine which is designed to operate

from a 3-phase source of alternating voltage The source is usually an inverter and is used for

variable speed drives that uses power switches to produce approximately sinusoidal voltages

and currents for magnitude and frequency control

Fig 42 3-phase AC Induction motor

A cross-section of a two-pole induction motor is shown in figure Slots in the inner

periphery of the stator accommodate 3-phase winding abc The turns in each winding are

distributed so that a current in a stator winding produces an approximately sinusoidally-

distributed flux density around the periphery of the air gap When three currents that are

sinusoidally varying in time but displaced in phase by 120deg from each other flow through

the three symmetrically-placed windings a radially-directed air gap flux density is produced

that is also sinusoidally distributed around the gap and rotates at an angular velocity equal to

the angular frequency ωs of the stator currents

The most common type of induction motor has a squirrel cage rotor in which

aluminum conductors or bars are cast into slots in the outer periphery of the rotor These

conductors or bars are shorted together at both ends of the rotor by cast aluminum end rings

which also can be shaped to act as fans In larger induction motors copper or copper-alloy

bars are used to fabricate the rotor cage winding

CHAPTER ndash 5

SPEED CONTROL OF INDUCTION MOTOR

51 Necessity of speed control

Every day engineers design products that employ induction motors Speed control of 3-

phase induction motors is desirable in most motor control applications since it not only

provides variable speed but also reduces energy consumption and audible noise Controlling

the speed has many advantages such as power efficiency reduced audible noise and better

control over the applications The speed control of induction motor is more important to

achieve maximum torque and efficiency

AC Induction motors are being applied today in a wider range of applications requiring

variable speed Generally variable speed drives for induction motor require both wide

operating range of speed and fast torque response regardless of load variations This leads to

more advanced control methods to meet the real demand

Difficulties using conventional methods of speed control

1 It depends on the accuracy of the mathematical model of the system

2 The expected performance is not met due to the load disturbance motor saturation and

thermal variations

3 Classical linear control shows good performance only at one operating speed

4 The coefficients must be chosen properly for acceptable results whereas choosing the

proper coefficient with varying parameters like set point is very difficult

To implement conventional control The model of the controlled system must be known The

usual method of computation of mathematical model of a system is difficult When there are

system parameter variations or environmental disturbance the behaviour of the system is not

satisfactory The classical controller designed for high performance increases the complexity

of the design and hence the cost

52 Speed Control Ttechniques

In the past DC motors were used extensively in areas where variable-speed operations

were required DC motors have certain disadvantages however which are due to the

existance of the commutator and the brushes which makes the motor more bulky costly and

heavy They are also robust and immune to heavy loading the speed of the induction motor

has to be controlled and so different types of controllers are used to obtain the desired speed

Various speed control techniques implemented by modern-age variable frequency drive are

mainly classified in the following three categories

1 Scalar Control (Vf Control)

2 Vector Control (Indirect Torque Control)

3 Direct Torque Control(DTC)

The aim is to control the Speed amp Torque of the induction motor using vector control

technique The dynamic modelling of induction motor is done in the SIMULINK using the

necessary equations The Vector control of the induction motor is also modelled in the

SIMULINK using the necessary equations FPGA is implemented in the system for the better

control of the induction motor

521 Scalar Control ( V f control)

Whenever for three phase induction motor three phase supply is given rotating magnetic

field is produced which rotates at synchronous speed given by

Ns = 120fP

In three phase induction motor emf is induced by induction similar to that of transformer

which is given by

E or V = 444∮KTf or ∮ = V444KTf

Where K is the winding constant T is the number of turns per phase and f is frequency Now

synchronous speed changes if we change frequency but with decrease in frequency flux will

increase and this change in value of flux causes saturation of rotor and stator cores which will

further cause increase in no load current of the motor Somaintaining of flux is important φ

constant and it is possible in the case of voltage change ie if we decrease frequency flux

increases but at the same time if we decrease voltage flux will also decease causing no

change in flux and hence it remains constant So here we are keeping the ratio of V f as

constant Hence its name is V f method For the speed control of three phase induction

motor by V f method supply variable voltage and frequency we need to supply by using

converter and inverter set which is obtained

53 Vector Control (Indirect Torque Control) of AC induction machine

In AC induction motors vector control technique is most popular method In reference

frames the electromagnetic torque of the smooth-air-gap machine expression is similar to the

torque of the separately excited DC machine Induction machines case the control is

performed in the reference frame (d-q) attached to the rotor flux space vector So the

implementation of vector control requires information on the modulus and the space angle of

the rotor flux space vector The stator currents of the induction machine are separated into

flux- and torque-producing components by transformation to the d-q coordinate system

whose direct axis (d) is aligned with the rotor flux space vector It means that the q-axis

component of the rotor flux space vector is always zero

Ѱrq = 0 and ddtѰrq = 0 ----- (1)

The rotor flux space vector calculation and transformation to the d-q coordinate system

require the highѰ computational power of a microcontroller a digital signal processor is

suitable for this task

54 PWM Control

In this method a fixed dc input voltage is given to the inverter and a controlled ac

output voltage is obtained by adjusting the on and off periods of the inverter components

Inverter employing PWM principle are called PWM Inverters PWM techniques are

characterized by constant amplitude pulses The width of these pulses is modulated to obtain

inverter output voltage control and to reduce its harmonic content The advantages possessed

by PWM technique are the output voltage control with this method lower order harmonics

can be eliminated or minimized along with its output voltage control As higher order

harmonics can be filtered easily the filtering requirements are minimized The main

disadvantage of this method is that the SCRs are expensive as they must possess low turn on

and turn off times This is the most popular method of controlling the output voltage of an

inverter in industrial applications

541 Types of PWM Techniques

There are several types of PWM techniques Sinusoidal PWM (SPWM) selected

harmonics eliminations (SHE) PWM Minimum ripple current PWM Space vector PWM

Hysteresis band current control PWM (HBPWM) Sinusoidal PWM with instantaneous

current control Sigma-delta modulation The hysteresis band current control PWM has been

used because of its simple implementation fast transient response direct limiting of device

peak current and practical insensitivity of dc link voltage ripple that permits a lower filter

capacitor

Fig 51 Pulse Width Modultion

CHAPTER ndash6

BLOCK DIAGRAM DESCRIPTION OF THE HARDWARE MODEL

Figure 6 represents the block diagram of hardware model it consists of various blocks

same of these blocks are as explained below

Generating the square wave pulses using the Spartan 3AN FPGA kit then connected to

the driver circuit and though this circuit input is given to the three phase inverter By using

single phase supply(auto transformer) given to the rectifiers as it coverts AC voltage to DC

voltage Hence the DC voltage is connected to the inverter circuit From the inverter circuit

connected to the 3 phase induction motor terminals to measure the various speed

Fig 6 Block diagram of Hardware Implementation

61 Power Supply

All electronic circuits works only in low DC voltage so a power supply unit is

required to provide the appropriate voltage supply for their proper functioning This

power supply unit consists of transformer rectifier filter and regulator AC voltage of

typically 230V RMS is connected to a transformer which step down the voltage to the

desired AC voltage

Fig 611 General Block of Power Supply Unit

Single phase AC supply is given to bridge rectifier It converts AC into DC The paper

introduces the operation of power supply circuits built using filters rectifiers and then

voltage regulators Starting with an AC voltage a steady DC voltage is obtained by rectifying

the AC voltage then filtering to a DC level and finally regulating to obtain a desired fixed

DC voltage

611 Transformer

A transformer is a static device in which electric power in one circuit is transferred

into electric power of same frequency in another circuit It can raise or lower the voltage in

the circuit but with a corresponding decrease or increase in current It works with the

principle of mutual induction In this project a step-down transformer is used to provide

necessary supply of 12 V for the electronic circuits

612 Rectifier

A rectifier is an electrical device composed of one or more diodes that converts

alternating current (AC) to direct current (DC) Here in this project rectifier is used to get dc

for inverter circuitConverter is a device which convert AC to DC since high voltage dc

supply is required at the input of the inverter

In the bridge rectifier the diodes may be of variable types like 1N4001 1N4003

1N4004 1N4005 IN4007 etc can be used But in this project 1N4007 is used because it can

withstand up to 1000V

613 Filters

In order to obtain a dc voltage of 0 Hz a low pass capacitive filter circuit is used where a

capacitor is connected at the rectifier output and a DC voltage without ripples is obtained across

it The filtered waveform is essentially a DC voltage with negligible ripples and it is ultimately

fed to the load

614 Regulators

The filtered output voltage from the capacitor is finally regulated The voltage regulator

is a device which maintains the output voltage constant irrespective of the change in supply

variations load variations and temperature changes Here a fixed voltage regulator namely

LM7805 is usedThe IC LM7805 is a +5V regulator which is used for microcontroller

62 Spartan 3AN FPGA

Some of the important features of spartan 3AN FPGA is as given below

8-Nos General purpose point LEDs

8-Nos of DIP switches (Digital inputs)

2-Nos of Push Button

USB port

PTB Connector

Communication protocols

Full Duplex UART (USB) and UART (RS 232)

Other Features

1Mb Internal flash

50 MHz crystal oscillator clock source

USBJTAG Interface Connector for parallel programming Spartan3AN FPGA

USBUART interface

RS 232 interface

The Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K

gate Spartan-3AN on-board IO devices and 1Mb Internal flash memory making it the

perfect platform to experiment with any new design

The FPGA has good processing speed than the other controllers Here we are using

SPARTAN 3AN stick board kit for the proposed model The FPGA will give the appropriate

pulses to control the power in the inverter output It is very easy to make the pulses

compared to other controllers

In the FPGA board operating voltage of 33V is possible with the pulses

Fig 621 Spartan 3AN stick Board Components placement

63 Three phase inverter

A power inverter is a device which converts DC current supply into AC supply in

the form of sinewaves The DC and AC converters more commonly known as inverteres

depending on the type of supply source and the related topology of the power circuit are

classified as voltage source inverters (VSIs) and current source inverters (CSIs)

Inverter converts DC power to AC power usually at a controlled frequency and

voltage and this power used for supplying power to an AC motor

These work by controlling a switching device like an IGBT MOSFET or Bipolar

transistor with an oscillator so that the control device is switched on and off between

conducting and not conducting

The three phase MOSFET inverter uses the DC voltage supplied from the three phase

bridge and the gate drive signals to produce a balanced three phase sinusoidal output

which drives the induction motor

The metal-oxide semiconductor field effect transistor (MOSFET) is a transistor used

for amplifying or switching electronic signals

Although the MOSFET is a four terminal device with source (S) gate (G) Drain (D)

and body (B) terminals the body (or subtrate) of the MOSFET often is connected to

the source terminal making it a three-terminal device like other field effect

transistors Because these two terminals are normally connected to each other (short

circuited) internally only three terminals appear in electrical

Whereas MOSFETs are used for low-current and high ndashfrequency switching

The MOSFET used here is IRFP250N the gate signal provide by driver circuit six

MOSFET are included in three phase inverter to drive AC motor

Fig 631 Hardware representation of 3-phase inverter FPGA kit with driver circuit

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 8: my report

gating signals is adjustable This SVPWM IC can also be included in the digital current control

loop for stator current regulation The designed SVPWM IC can be incorporated with a digital

signal processor (DSP) to provide a simple and effective solution for high-performance ac drives

Simulation and experimental results are given to verify the implemented SVPWM control IC

10 G Thomas M Jahns and Edward L Owen

These authors represents there is broad recognition of the huge strides taken in the development

of modern ac adjustable-speed drives since the introduction of the thyristor in 1957 far fewer

engineers in the power electronics profession today are aware of the key engineering

developments in this field that preceded the solid-state era The purpose of this paper is to review

major milestones that set the stage for the development of todayrsquos ac drives including sufficient

details to acquaint readers with their basic principles strengths and limitations Attention will be

devoted to the continuum of this development history and the many direct echoes of

developments from the first half of the 1900rsquos that we take for granted in todayrsquos ac drives In

addition the spirited competition between electromechanical and electronic ac drive solutions

that dominated engineering attention during the early part of the century will be reviewed

highlighting the complicated interrelationship between electric machines and drive electronics

that persists today

11 Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh Krishnamurthy and Ali

Emadi

These authors presents Development of advanced motor drives has yielded increases in

efficiency and reliability Residential and commercial appliances such as refrigerators and air

conditioning systems use conventional motor drive technology The machines found in these

applications are characterized by low efficiency and high maintenance A brushless dc (BLDC)

motor drive is characterized by higher efficiency lower maintenance and higher cost In a

market driven by profit margins the appliance industry is reluctant to replace the conventional

motor drives with the advanced motor drives (BLDC) due to their higher cost Therefore it is

necessary to have a low-cost but effective BLDC motor controller This paper lays the

groundwork for the development of a new low-cost IC for control of BLDC motors A simple

novel digital pulse width modulation control has been implemented for a trapezoidal BLDC

motor drive system Due to the simplistic nature of this control it has the potential to be

implemented in a low-cost applicationspecific integrated circuit The novel controller is modeled

and verified using simulations Experimental verification is carried out using field-programmable

gate arrays to validate the claims presented

12 Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N Cirstea

These authors presents a novel direct torque control (DTC) approach for induction machines

based on an improved torque and stator flux estimator and its implementation using field

programmable gate arrays (FPGA) The DTC performance is significantly improved by the use

of FPGA which can execute the DTC algorithm at higher sampling frequency This leads to the

reduction of the torque ripple and improved flux and torque estimations The main achievements

are 1) calculating a discrete integration operation of stator flux using backward Euler approach

2) modifying a so called nonrestoring method in calculating the complicated square root

operation in stator flux estimator 3) introducing a new flux sector determinationmethod 4)

increasing the sampling frequency to 200 kHz such that the digital computation will perform

similar to that of the analog operation and 5) using tworsquos complement fixed-point format

approach to minimize calculation errors and the hardware resource usage in all operations The

design was achieved in VHDL based on a MATLABSimulink simulation model The

Hardware-in-the-Loop method is used to verify the functionality of the FPGA estimator The

simulation results are validated experimentally Thus it is demonstrated that FPGA

implementation of DTC drives can achieve excellent performance at high sampling frequency

13 Alessandro Cilardo

These authors presents Field programmable gate array (FPGA) devices are increasingly being

deployed in industrial environments making reconfigurable hardware testing and reliability an

active area of investigation While FPGA devices can be tested exhaustively the so-called

application-dependent test (ADT) has emerged as an effective approach ensuring reduced testing

efforts and improving the manufacturing yield since it can selectively exclude a subset of faults

not affecting a given design In addition to manufacturing ADT can be used online providing a

solution for fast runtime fault detection and diagnostics This paper identifies a number of issues

in existing ADT techniques which limit their applicability and proposes new approaches

improving the range of covered faults with special emphasis on feedback bridging faults as well

as new algorithms for generating ADT test configurations Furthermore the work introduces a

software environment addressing the current lack of tools either academic or commercial

supporting ADT techniques The architecture of the environment is highly modular and

extensively based on a plug-in approach To demonstrate the potential of the toolset we

developed a complete suite of plug-ins based on both state-of-the-art ADT techniques and the

novel approaches introduced here The experimental results presented at the end of the paper

confirm the impact of the proposed techniques

14 M Nasir Uddin Tawfik S Radwan and M Azizur Rahman

These authors presents a novel speed control scheme of an induction motor (IM) using fuzzy-

logic control The fuzzy-logic controller (FLC) is based on the indirect vector control The fuzzy-

logic speed controller is employed in the outer loop Thecomplete vector control scheme of the

IM drive incorporating the FLC is experimentally implemented using a digital signal processor

board DS-1102 for the laboratory 1-hp squirrel-cage IM The performances of the proposed

FLC-based IM drive are investigated and compared to those obtained from the conventional

proportional-integral (PI) controller-based drive both theoretically and experimentally at

different dynamic operating conditions such as sudden change in command speed step change in

load etc The comparative experimental results show that the FLC is more robust and hence

found to be a suitable replacement of the conventional PI controller for the high-performance

industrial drive applications

15 Bhim Singh BP Singh and Sanjeet Dwivedi

These authors presents a Digital Signal Processor (DSP) based implementation of a Hybrid of

Fuzzy Logic Controller (FLC) and Proportional-Integral (PI) speed controller for Vector

Controlled (VC) Permanent Magnet Synchronous Motor (PMSM) Drive The fuzzy membership

function is used for the hybrid combination of these two FLC and PI speed controllers in such a

way that during the time of dynamic conditions such as starting the degree of belonging for FLC

speed controller is higher than the PI controller and near the set point the degree of belonging of

PI controller is having higher weightage The simulation model of the PMSM drive system is

developed in MATLAB environment with simulink and PSB oolboxes to analyze the

performance of the proposed drive system The hybrid speed controller is found suitable for

Vector Controlled PMSM drive in giving the high level of performance while maintaining the

excellent response at the time of starting speed reversal load perturbation and steady-state

operation of the drive

16 WP Hew C P Ooi And N A Rahim

These authors proposes the circuit realization of Space Vector Modulation (SVM) algorithm

using a single Altera Flex 10k chip (EPFlOK70RC240-4) An SVM integrated circuit (IC) has

been designed and developed to improve the vector control of the three-phase voltage source

inverter (VSI) The design of SVM IC is downloaded to the Altera UP2 Board and tested with

the inverter circuit to drive a 3-phase induction motor The experimental results for 33Hz and

50Hz fundamental frequency and inverter switching frequency of 819 kHz are recorded

CHAPTER ndash3

DESCRIPTION ON FPGA CONTROLLER

In this project the speed of the induction motor is controlled by varying stator

frequency and voltage using FPGA programming FPGA is a new platform for motor control

application and it gives excellent performance at mathematical calculation The AC induction

motor is a relatively simple inexpensive and rugged device which requires little maintenance

However the induction motor is virtually a fixed speed device when operated from a constant

frequency source Since some applications require a fairly wide range of operating speeds DC

machines were often required With the advent of power electronics devices have become

available that allow induction machines to be operated over a range of speeds It is now

frequently possible to buy an induction machine with an electronic drive for about the same price

as a comparable DC machine Furthermore variable speed induction motors can also be used to

drive pumps or fans more economically than the mechanical means which are often used to

provide variable flow

Todayrsquos FPGA based PWM-output variable frequency drives provide the user with a

tremendous variety of features and functions which allow accurate control and monitoring in

nearly every 3-phase motor application A fundamental advantage of an ac drive is that it

provides virtually infinite speed control of the standard induction motors

FPGAThe Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K gate

Spartan-3AN on-board IO devicesand 1Mb Internal flash memory making it the perfect

platform to experiment with any new design

The Spartan3AN FPGA Stick Board kit includes a USB JTAG programming and

debugging chain Additionally there are two possible way for programming download and

debugging through USB as well as JTAG header a mini USB cable which is used to download

the program from PC into FPGA For this purpose the cable directly connected to USB port of

the PC and another way is the JTAG cable connects directly to the parallel port of a PC and to a

standard 6-pin JTAG programming header in the kit that can program a devices that have a

JTAG voltage of 18v or greater

31 Methodology

The speed control of the induction motor with FPGA implementation is developed

When the Induction motors connected to the main supply it runs at their rated speed

Therefore to vary the rotor speed of IM variable frequency drive is required In the

methodology it cannot be considered as a suitable design solution for cost sensitive or

industrial applications Field Programmable Gate Arrays (FPGA) is a Higher density

programmable device and is used to integrate large amounts of logic in a single IC

Implementation on FPGA is one of the method to handle the real time requirements and

disadvantages of conventional microcontroller By using FPGA made faster and efficient

solution to controller It involves the logic based PWM method to control the speed of three

phase induction motorThe matlab based speed control simulation is developed and checked

for the performance improvements As well as hardware part by using FPGA has done

CHAPTER ndash 4

DYNAMIC MODELING amp SIMULATION OF THE INDUCTION MOTOR DRIVE

Dynamic behaviour of induction motor can be expressed by voltage and torque which are

time varying The differential equation that belongs to dynamic analysis of induction motor

are so sophisticated Then with the change of variables the complexity of these equations can

be decreased through movement from poly phase winding to two phase winding(q-d) In

other words the stator and rotor variables like voltage current and flux linkages of an

induction machine are transferred to another reference model which remains stationary

The AC induction motor model is given by the space vector form of the voltage equations

The system model defined in the stationary αβ-coordinate system attached to the stator is

expressed by the following equations Ideally the motor model is symmetrical with a linear

magnetic circuit characteristic

The stator amp rotor voltage differential equations

usα=R si sα+ddt

ψsα (1)

usβ=Rs isβ+ddt

ψsβ (2)

urα=0=Rr irα+ddt

ψrα+ωrβ (3)

urβ=0=R rir β+ddt

ψrβminusωrβ (4)

Electromagnetic torque expressed by utilizing space vector quantities

T e=32

Pp(ψsα isβminusψsβ isα) (5)

where

αβ = Stator orthogonal coordinate system

u sαβ urαβ = Stator and Rotor voltages [V]

isαβ irαβ = Stator and Rotor currents [A]

Ψsαβ Ψrαβ = Stator and Rotor magnetic fluxes [Vs]

Rs Rr = Stator and Rotor phase resistance [Ohm]

ω ωs = Electrical rotor speed synchronous speed [rads]

pp = Number of pole pairs

Te = electromagnetic torque [Nm]

After transformation into d-q coordinates the motor model follows

usd=R sisd+ddt

ψsdminusωsψsd (6)

usq=Rs isq+ddt

ψ sqminusωsψ sq (7)

urd=0=Rr ird+ddt

ψrdminus(ωiquestiquest sminusω)ψrqiquest (8)

urq=0=Rr irq+ddt

ψrqminus(ωiquestiquest sminusω)ψrd iquest (9)

T e=32

Pp(ψsd isqminusψsq isd) (10)

41Equivalent circuit The Induction motor is normally modeled as Equivalent circuit The figure 41(a) amp 41(b) shows the typical equivalent circuits

Fig 41 (a) and 41(b) Equivalent circuit of IM

42 AC Motors

An AC motor is an electric motors that is driven by an alternating current It consists of

two basic parts an outside stationary stator having coils supplied with alternating current to

produce a rotating magnetic field and an inside rotor attached to the output shaft that is given

a torque by the rotating field

There are two recognized broad classes of AC electrical rotating machine synchronouns

motor(SM) which rotates exactly at the supply frequency or a submultiple of the supply

frequency The magnetic field on the rotor either generated by current delivered throgh slip

rings or by a permanent magnet

The second is the asynchronous or induction (IM) which runs slightly slower than the

supply frequency The magnetic field on the rotor of this motor is created by an induced

current

A third class is introduced here for clarity the Electronically Commutated Machine

(ECM) Such ECM machines have electronic commutation or switching as an inherent part of

the operation This is different to electronically producing a variable frequency sine wave

supply say by pulse width modulation (PWM) and using this instead of mains excitation

The AC induction motor is a rotating electric machine which is designed to operate

from a 3-phase source of alternating voltage The source is usually an inverter and is used for

variable speed drives that uses power switches to produce approximately sinusoidal voltages

and currents for magnitude and frequency control

Fig 42 3-phase AC Induction motor

A cross-section of a two-pole induction motor is shown in figure Slots in the inner

periphery of the stator accommodate 3-phase winding abc The turns in each winding are

distributed so that a current in a stator winding produces an approximately sinusoidally-

distributed flux density around the periphery of the air gap When three currents that are

sinusoidally varying in time but displaced in phase by 120deg from each other flow through

the three symmetrically-placed windings a radially-directed air gap flux density is produced

that is also sinusoidally distributed around the gap and rotates at an angular velocity equal to

the angular frequency ωs of the stator currents

The most common type of induction motor has a squirrel cage rotor in which

aluminum conductors or bars are cast into slots in the outer periphery of the rotor These

conductors or bars are shorted together at both ends of the rotor by cast aluminum end rings

which also can be shaped to act as fans In larger induction motors copper or copper-alloy

bars are used to fabricate the rotor cage winding

CHAPTER ndash 5

SPEED CONTROL OF INDUCTION MOTOR

51 Necessity of speed control

Every day engineers design products that employ induction motors Speed control of 3-

phase induction motors is desirable in most motor control applications since it not only

provides variable speed but also reduces energy consumption and audible noise Controlling

the speed has many advantages such as power efficiency reduced audible noise and better

control over the applications The speed control of induction motor is more important to

achieve maximum torque and efficiency

AC Induction motors are being applied today in a wider range of applications requiring

variable speed Generally variable speed drives for induction motor require both wide

operating range of speed and fast torque response regardless of load variations This leads to

more advanced control methods to meet the real demand

Difficulties using conventional methods of speed control

1 It depends on the accuracy of the mathematical model of the system

2 The expected performance is not met due to the load disturbance motor saturation and

thermal variations

3 Classical linear control shows good performance only at one operating speed

4 The coefficients must be chosen properly for acceptable results whereas choosing the

proper coefficient with varying parameters like set point is very difficult

To implement conventional control The model of the controlled system must be known The

usual method of computation of mathematical model of a system is difficult When there are

system parameter variations or environmental disturbance the behaviour of the system is not

satisfactory The classical controller designed for high performance increases the complexity

of the design and hence the cost

52 Speed Control Ttechniques

In the past DC motors were used extensively in areas where variable-speed operations

were required DC motors have certain disadvantages however which are due to the

existance of the commutator and the brushes which makes the motor more bulky costly and

heavy They are also robust and immune to heavy loading the speed of the induction motor

has to be controlled and so different types of controllers are used to obtain the desired speed

Various speed control techniques implemented by modern-age variable frequency drive are

mainly classified in the following three categories

1 Scalar Control (Vf Control)

2 Vector Control (Indirect Torque Control)

3 Direct Torque Control(DTC)

The aim is to control the Speed amp Torque of the induction motor using vector control

technique The dynamic modelling of induction motor is done in the SIMULINK using the

necessary equations The Vector control of the induction motor is also modelled in the

SIMULINK using the necessary equations FPGA is implemented in the system for the better

control of the induction motor

521 Scalar Control ( V f control)

Whenever for three phase induction motor three phase supply is given rotating magnetic

field is produced which rotates at synchronous speed given by

Ns = 120fP

In three phase induction motor emf is induced by induction similar to that of transformer

which is given by

E or V = 444∮KTf or ∮ = V444KTf

Where K is the winding constant T is the number of turns per phase and f is frequency Now

synchronous speed changes if we change frequency but with decrease in frequency flux will

increase and this change in value of flux causes saturation of rotor and stator cores which will

further cause increase in no load current of the motor Somaintaining of flux is important φ

constant and it is possible in the case of voltage change ie if we decrease frequency flux

increases but at the same time if we decrease voltage flux will also decease causing no

change in flux and hence it remains constant So here we are keeping the ratio of V f as

constant Hence its name is V f method For the speed control of three phase induction

motor by V f method supply variable voltage and frequency we need to supply by using

converter and inverter set which is obtained

53 Vector Control (Indirect Torque Control) of AC induction machine

In AC induction motors vector control technique is most popular method In reference

frames the electromagnetic torque of the smooth-air-gap machine expression is similar to the

torque of the separately excited DC machine Induction machines case the control is

performed in the reference frame (d-q) attached to the rotor flux space vector So the

implementation of vector control requires information on the modulus and the space angle of

the rotor flux space vector The stator currents of the induction machine are separated into

flux- and torque-producing components by transformation to the d-q coordinate system

whose direct axis (d) is aligned with the rotor flux space vector It means that the q-axis

component of the rotor flux space vector is always zero

Ѱrq = 0 and ddtѰrq = 0 ----- (1)

The rotor flux space vector calculation and transformation to the d-q coordinate system

require the highѰ computational power of a microcontroller a digital signal processor is

suitable for this task

54 PWM Control

In this method a fixed dc input voltage is given to the inverter and a controlled ac

output voltage is obtained by adjusting the on and off periods of the inverter components

Inverter employing PWM principle are called PWM Inverters PWM techniques are

characterized by constant amplitude pulses The width of these pulses is modulated to obtain

inverter output voltage control and to reduce its harmonic content The advantages possessed

by PWM technique are the output voltage control with this method lower order harmonics

can be eliminated or minimized along with its output voltage control As higher order

harmonics can be filtered easily the filtering requirements are minimized The main

disadvantage of this method is that the SCRs are expensive as they must possess low turn on

and turn off times This is the most popular method of controlling the output voltage of an

inverter in industrial applications

541 Types of PWM Techniques

There are several types of PWM techniques Sinusoidal PWM (SPWM) selected

harmonics eliminations (SHE) PWM Minimum ripple current PWM Space vector PWM

Hysteresis band current control PWM (HBPWM) Sinusoidal PWM with instantaneous

current control Sigma-delta modulation The hysteresis band current control PWM has been

used because of its simple implementation fast transient response direct limiting of device

peak current and practical insensitivity of dc link voltage ripple that permits a lower filter

capacitor

Fig 51 Pulse Width Modultion

CHAPTER ndash6

BLOCK DIAGRAM DESCRIPTION OF THE HARDWARE MODEL

Figure 6 represents the block diagram of hardware model it consists of various blocks

same of these blocks are as explained below

Generating the square wave pulses using the Spartan 3AN FPGA kit then connected to

the driver circuit and though this circuit input is given to the three phase inverter By using

single phase supply(auto transformer) given to the rectifiers as it coverts AC voltage to DC

voltage Hence the DC voltage is connected to the inverter circuit From the inverter circuit

connected to the 3 phase induction motor terminals to measure the various speed

Fig 6 Block diagram of Hardware Implementation

61 Power Supply

All electronic circuits works only in low DC voltage so a power supply unit is

required to provide the appropriate voltage supply for their proper functioning This

power supply unit consists of transformer rectifier filter and regulator AC voltage of

typically 230V RMS is connected to a transformer which step down the voltage to the

desired AC voltage

Fig 611 General Block of Power Supply Unit

Single phase AC supply is given to bridge rectifier It converts AC into DC The paper

introduces the operation of power supply circuits built using filters rectifiers and then

voltage regulators Starting with an AC voltage a steady DC voltage is obtained by rectifying

the AC voltage then filtering to a DC level and finally regulating to obtain a desired fixed

DC voltage

611 Transformer

A transformer is a static device in which electric power in one circuit is transferred

into electric power of same frequency in another circuit It can raise or lower the voltage in

the circuit but with a corresponding decrease or increase in current It works with the

principle of mutual induction In this project a step-down transformer is used to provide

necessary supply of 12 V for the electronic circuits

612 Rectifier

A rectifier is an electrical device composed of one or more diodes that converts

alternating current (AC) to direct current (DC) Here in this project rectifier is used to get dc

for inverter circuitConverter is a device which convert AC to DC since high voltage dc

supply is required at the input of the inverter

In the bridge rectifier the diodes may be of variable types like 1N4001 1N4003

1N4004 1N4005 IN4007 etc can be used But in this project 1N4007 is used because it can

withstand up to 1000V

613 Filters

In order to obtain a dc voltage of 0 Hz a low pass capacitive filter circuit is used where a

capacitor is connected at the rectifier output and a DC voltage without ripples is obtained across

it The filtered waveform is essentially a DC voltage with negligible ripples and it is ultimately

fed to the load

614 Regulators

The filtered output voltage from the capacitor is finally regulated The voltage regulator

is a device which maintains the output voltage constant irrespective of the change in supply

variations load variations and temperature changes Here a fixed voltage regulator namely

LM7805 is usedThe IC LM7805 is a +5V regulator which is used for microcontroller

62 Spartan 3AN FPGA

Some of the important features of spartan 3AN FPGA is as given below

8-Nos General purpose point LEDs

8-Nos of DIP switches (Digital inputs)

2-Nos of Push Button

USB port

PTB Connector

Communication protocols

Full Duplex UART (USB) and UART (RS 232)

Other Features

1Mb Internal flash

50 MHz crystal oscillator clock source

USBJTAG Interface Connector for parallel programming Spartan3AN FPGA

USBUART interface

RS 232 interface

The Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K

gate Spartan-3AN on-board IO devices and 1Mb Internal flash memory making it the

perfect platform to experiment with any new design

The FPGA has good processing speed than the other controllers Here we are using

SPARTAN 3AN stick board kit for the proposed model The FPGA will give the appropriate

pulses to control the power in the inverter output It is very easy to make the pulses

compared to other controllers

In the FPGA board operating voltage of 33V is possible with the pulses

Fig 621 Spartan 3AN stick Board Components placement

63 Three phase inverter

A power inverter is a device which converts DC current supply into AC supply in

the form of sinewaves The DC and AC converters more commonly known as inverteres

depending on the type of supply source and the related topology of the power circuit are

classified as voltage source inverters (VSIs) and current source inverters (CSIs)

Inverter converts DC power to AC power usually at a controlled frequency and

voltage and this power used for supplying power to an AC motor

These work by controlling a switching device like an IGBT MOSFET or Bipolar

transistor with an oscillator so that the control device is switched on and off between

conducting and not conducting

The three phase MOSFET inverter uses the DC voltage supplied from the three phase

bridge and the gate drive signals to produce a balanced three phase sinusoidal output

which drives the induction motor

The metal-oxide semiconductor field effect transistor (MOSFET) is a transistor used

for amplifying or switching electronic signals

Although the MOSFET is a four terminal device with source (S) gate (G) Drain (D)

and body (B) terminals the body (or subtrate) of the MOSFET often is connected to

the source terminal making it a three-terminal device like other field effect

transistors Because these two terminals are normally connected to each other (short

circuited) internally only three terminals appear in electrical

Whereas MOSFETs are used for low-current and high ndashfrequency switching

The MOSFET used here is IRFP250N the gate signal provide by driver circuit six

MOSFET are included in three phase inverter to drive AC motor

Fig 631 Hardware representation of 3-phase inverter FPGA kit with driver circuit

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 9: my report

novel digital pulse width modulation control has been implemented for a trapezoidal BLDC

motor drive system Due to the simplistic nature of this control it has the potential to be

implemented in a low-cost applicationspecific integrated circuit The novel controller is modeled

and verified using simulations Experimental verification is carried out using field-programmable

gate arrays to validate the claims presented

12 Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N Cirstea

These authors presents a novel direct torque control (DTC) approach for induction machines

based on an improved torque and stator flux estimator and its implementation using field

programmable gate arrays (FPGA) The DTC performance is significantly improved by the use

of FPGA which can execute the DTC algorithm at higher sampling frequency This leads to the

reduction of the torque ripple and improved flux and torque estimations The main achievements

are 1) calculating a discrete integration operation of stator flux using backward Euler approach

2) modifying a so called nonrestoring method in calculating the complicated square root

operation in stator flux estimator 3) introducing a new flux sector determinationmethod 4)

increasing the sampling frequency to 200 kHz such that the digital computation will perform

similar to that of the analog operation and 5) using tworsquos complement fixed-point format

approach to minimize calculation errors and the hardware resource usage in all operations The

design was achieved in VHDL based on a MATLABSimulink simulation model The

Hardware-in-the-Loop method is used to verify the functionality of the FPGA estimator The

simulation results are validated experimentally Thus it is demonstrated that FPGA

implementation of DTC drives can achieve excellent performance at high sampling frequency

13 Alessandro Cilardo

These authors presents Field programmable gate array (FPGA) devices are increasingly being

deployed in industrial environments making reconfigurable hardware testing and reliability an

active area of investigation While FPGA devices can be tested exhaustively the so-called

application-dependent test (ADT) has emerged as an effective approach ensuring reduced testing

efforts and improving the manufacturing yield since it can selectively exclude a subset of faults

not affecting a given design In addition to manufacturing ADT can be used online providing a

solution for fast runtime fault detection and diagnostics This paper identifies a number of issues

in existing ADT techniques which limit their applicability and proposes new approaches

improving the range of covered faults with special emphasis on feedback bridging faults as well

as new algorithms for generating ADT test configurations Furthermore the work introduces a

software environment addressing the current lack of tools either academic or commercial

supporting ADT techniques The architecture of the environment is highly modular and

extensively based on a plug-in approach To demonstrate the potential of the toolset we

developed a complete suite of plug-ins based on both state-of-the-art ADT techniques and the

novel approaches introduced here The experimental results presented at the end of the paper

confirm the impact of the proposed techniques

14 M Nasir Uddin Tawfik S Radwan and M Azizur Rahman

These authors presents a novel speed control scheme of an induction motor (IM) using fuzzy-

logic control The fuzzy-logic controller (FLC) is based on the indirect vector control The fuzzy-

logic speed controller is employed in the outer loop Thecomplete vector control scheme of the

IM drive incorporating the FLC is experimentally implemented using a digital signal processor

board DS-1102 for the laboratory 1-hp squirrel-cage IM The performances of the proposed

FLC-based IM drive are investigated and compared to those obtained from the conventional

proportional-integral (PI) controller-based drive both theoretically and experimentally at

different dynamic operating conditions such as sudden change in command speed step change in

load etc The comparative experimental results show that the FLC is more robust and hence

found to be a suitable replacement of the conventional PI controller for the high-performance

industrial drive applications

15 Bhim Singh BP Singh and Sanjeet Dwivedi

These authors presents a Digital Signal Processor (DSP) based implementation of a Hybrid of

Fuzzy Logic Controller (FLC) and Proportional-Integral (PI) speed controller for Vector

Controlled (VC) Permanent Magnet Synchronous Motor (PMSM) Drive The fuzzy membership

function is used for the hybrid combination of these two FLC and PI speed controllers in such a

way that during the time of dynamic conditions such as starting the degree of belonging for FLC

speed controller is higher than the PI controller and near the set point the degree of belonging of

PI controller is having higher weightage The simulation model of the PMSM drive system is

developed in MATLAB environment with simulink and PSB oolboxes to analyze the

performance of the proposed drive system The hybrid speed controller is found suitable for

Vector Controlled PMSM drive in giving the high level of performance while maintaining the

excellent response at the time of starting speed reversal load perturbation and steady-state

operation of the drive

16 WP Hew C P Ooi And N A Rahim

These authors proposes the circuit realization of Space Vector Modulation (SVM) algorithm

using a single Altera Flex 10k chip (EPFlOK70RC240-4) An SVM integrated circuit (IC) has

been designed and developed to improve the vector control of the three-phase voltage source

inverter (VSI) The design of SVM IC is downloaded to the Altera UP2 Board and tested with

the inverter circuit to drive a 3-phase induction motor The experimental results for 33Hz and

50Hz fundamental frequency and inverter switching frequency of 819 kHz are recorded

CHAPTER ndash3

DESCRIPTION ON FPGA CONTROLLER

In this project the speed of the induction motor is controlled by varying stator

frequency and voltage using FPGA programming FPGA is a new platform for motor control

application and it gives excellent performance at mathematical calculation The AC induction

motor is a relatively simple inexpensive and rugged device which requires little maintenance

However the induction motor is virtually a fixed speed device when operated from a constant

frequency source Since some applications require a fairly wide range of operating speeds DC

machines were often required With the advent of power electronics devices have become

available that allow induction machines to be operated over a range of speeds It is now

frequently possible to buy an induction machine with an electronic drive for about the same price

as a comparable DC machine Furthermore variable speed induction motors can also be used to

drive pumps or fans more economically than the mechanical means which are often used to

provide variable flow

Todayrsquos FPGA based PWM-output variable frequency drives provide the user with a

tremendous variety of features and functions which allow accurate control and monitoring in

nearly every 3-phase motor application A fundamental advantage of an ac drive is that it

provides virtually infinite speed control of the standard induction motors

FPGAThe Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K gate

Spartan-3AN on-board IO devicesand 1Mb Internal flash memory making it the perfect

platform to experiment with any new design

The Spartan3AN FPGA Stick Board kit includes a USB JTAG programming and

debugging chain Additionally there are two possible way for programming download and

debugging through USB as well as JTAG header a mini USB cable which is used to download

the program from PC into FPGA For this purpose the cable directly connected to USB port of

the PC and another way is the JTAG cable connects directly to the parallel port of a PC and to a

standard 6-pin JTAG programming header in the kit that can program a devices that have a

JTAG voltage of 18v or greater

31 Methodology

The speed control of the induction motor with FPGA implementation is developed

When the Induction motors connected to the main supply it runs at their rated speed

Therefore to vary the rotor speed of IM variable frequency drive is required In the

methodology it cannot be considered as a suitable design solution for cost sensitive or

industrial applications Field Programmable Gate Arrays (FPGA) is a Higher density

programmable device and is used to integrate large amounts of logic in a single IC

Implementation on FPGA is one of the method to handle the real time requirements and

disadvantages of conventional microcontroller By using FPGA made faster and efficient

solution to controller It involves the logic based PWM method to control the speed of three

phase induction motorThe matlab based speed control simulation is developed and checked

for the performance improvements As well as hardware part by using FPGA has done

CHAPTER ndash 4

DYNAMIC MODELING amp SIMULATION OF THE INDUCTION MOTOR DRIVE

Dynamic behaviour of induction motor can be expressed by voltage and torque which are

time varying The differential equation that belongs to dynamic analysis of induction motor

are so sophisticated Then with the change of variables the complexity of these equations can

be decreased through movement from poly phase winding to two phase winding(q-d) In

other words the stator and rotor variables like voltage current and flux linkages of an

induction machine are transferred to another reference model which remains stationary

The AC induction motor model is given by the space vector form of the voltage equations

The system model defined in the stationary αβ-coordinate system attached to the stator is

expressed by the following equations Ideally the motor model is symmetrical with a linear

magnetic circuit characteristic

The stator amp rotor voltage differential equations

usα=R si sα+ddt

ψsα (1)

usβ=Rs isβ+ddt

ψsβ (2)

urα=0=Rr irα+ddt

ψrα+ωrβ (3)

urβ=0=R rir β+ddt

ψrβminusωrβ (4)

Electromagnetic torque expressed by utilizing space vector quantities

T e=32

Pp(ψsα isβminusψsβ isα) (5)

where

αβ = Stator orthogonal coordinate system

u sαβ urαβ = Stator and Rotor voltages [V]

isαβ irαβ = Stator and Rotor currents [A]

Ψsαβ Ψrαβ = Stator and Rotor magnetic fluxes [Vs]

Rs Rr = Stator and Rotor phase resistance [Ohm]

ω ωs = Electrical rotor speed synchronous speed [rads]

pp = Number of pole pairs

Te = electromagnetic torque [Nm]

After transformation into d-q coordinates the motor model follows

usd=R sisd+ddt

ψsdminusωsψsd (6)

usq=Rs isq+ddt

ψ sqminusωsψ sq (7)

urd=0=Rr ird+ddt

ψrdminus(ωiquestiquest sminusω)ψrqiquest (8)

urq=0=Rr irq+ddt

ψrqminus(ωiquestiquest sminusω)ψrd iquest (9)

T e=32

Pp(ψsd isqminusψsq isd) (10)

41Equivalent circuit The Induction motor is normally modeled as Equivalent circuit The figure 41(a) amp 41(b) shows the typical equivalent circuits

Fig 41 (a) and 41(b) Equivalent circuit of IM

42 AC Motors

An AC motor is an electric motors that is driven by an alternating current It consists of

two basic parts an outside stationary stator having coils supplied with alternating current to

produce a rotating magnetic field and an inside rotor attached to the output shaft that is given

a torque by the rotating field

There are two recognized broad classes of AC electrical rotating machine synchronouns

motor(SM) which rotates exactly at the supply frequency or a submultiple of the supply

frequency The magnetic field on the rotor either generated by current delivered throgh slip

rings or by a permanent magnet

The second is the asynchronous or induction (IM) which runs slightly slower than the

supply frequency The magnetic field on the rotor of this motor is created by an induced

current

A third class is introduced here for clarity the Electronically Commutated Machine

(ECM) Such ECM machines have electronic commutation or switching as an inherent part of

the operation This is different to electronically producing a variable frequency sine wave

supply say by pulse width modulation (PWM) and using this instead of mains excitation

The AC induction motor is a rotating electric machine which is designed to operate

from a 3-phase source of alternating voltage The source is usually an inverter and is used for

variable speed drives that uses power switches to produce approximately sinusoidal voltages

and currents for magnitude and frequency control

Fig 42 3-phase AC Induction motor

A cross-section of a two-pole induction motor is shown in figure Slots in the inner

periphery of the stator accommodate 3-phase winding abc The turns in each winding are

distributed so that a current in a stator winding produces an approximately sinusoidally-

distributed flux density around the periphery of the air gap When three currents that are

sinusoidally varying in time but displaced in phase by 120deg from each other flow through

the three symmetrically-placed windings a radially-directed air gap flux density is produced

that is also sinusoidally distributed around the gap and rotates at an angular velocity equal to

the angular frequency ωs of the stator currents

The most common type of induction motor has a squirrel cage rotor in which

aluminum conductors or bars are cast into slots in the outer periphery of the rotor These

conductors or bars are shorted together at both ends of the rotor by cast aluminum end rings

which also can be shaped to act as fans In larger induction motors copper or copper-alloy

bars are used to fabricate the rotor cage winding

CHAPTER ndash 5

SPEED CONTROL OF INDUCTION MOTOR

51 Necessity of speed control

Every day engineers design products that employ induction motors Speed control of 3-

phase induction motors is desirable in most motor control applications since it not only

provides variable speed but also reduces energy consumption and audible noise Controlling

the speed has many advantages such as power efficiency reduced audible noise and better

control over the applications The speed control of induction motor is more important to

achieve maximum torque and efficiency

AC Induction motors are being applied today in a wider range of applications requiring

variable speed Generally variable speed drives for induction motor require both wide

operating range of speed and fast torque response regardless of load variations This leads to

more advanced control methods to meet the real demand

Difficulties using conventional methods of speed control

1 It depends on the accuracy of the mathematical model of the system

2 The expected performance is not met due to the load disturbance motor saturation and

thermal variations

3 Classical linear control shows good performance only at one operating speed

4 The coefficients must be chosen properly for acceptable results whereas choosing the

proper coefficient with varying parameters like set point is very difficult

To implement conventional control The model of the controlled system must be known The

usual method of computation of mathematical model of a system is difficult When there are

system parameter variations or environmental disturbance the behaviour of the system is not

satisfactory The classical controller designed for high performance increases the complexity

of the design and hence the cost

52 Speed Control Ttechniques

In the past DC motors were used extensively in areas where variable-speed operations

were required DC motors have certain disadvantages however which are due to the

existance of the commutator and the brushes which makes the motor more bulky costly and

heavy They are also robust and immune to heavy loading the speed of the induction motor

has to be controlled and so different types of controllers are used to obtain the desired speed

Various speed control techniques implemented by modern-age variable frequency drive are

mainly classified in the following three categories

1 Scalar Control (Vf Control)

2 Vector Control (Indirect Torque Control)

3 Direct Torque Control(DTC)

The aim is to control the Speed amp Torque of the induction motor using vector control

technique The dynamic modelling of induction motor is done in the SIMULINK using the

necessary equations The Vector control of the induction motor is also modelled in the

SIMULINK using the necessary equations FPGA is implemented in the system for the better

control of the induction motor

521 Scalar Control ( V f control)

Whenever for three phase induction motor three phase supply is given rotating magnetic

field is produced which rotates at synchronous speed given by

Ns = 120fP

In three phase induction motor emf is induced by induction similar to that of transformer

which is given by

E or V = 444∮KTf or ∮ = V444KTf

Where K is the winding constant T is the number of turns per phase and f is frequency Now

synchronous speed changes if we change frequency but with decrease in frequency flux will

increase and this change in value of flux causes saturation of rotor and stator cores which will

further cause increase in no load current of the motor Somaintaining of flux is important φ

constant and it is possible in the case of voltage change ie if we decrease frequency flux

increases but at the same time if we decrease voltage flux will also decease causing no

change in flux and hence it remains constant So here we are keeping the ratio of V f as

constant Hence its name is V f method For the speed control of three phase induction

motor by V f method supply variable voltage and frequency we need to supply by using

converter and inverter set which is obtained

53 Vector Control (Indirect Torque Control) of AC induction machine

In AC induction motors vector control technique is most popular method In reference

frames the electromagnetic torque of the smooth-air-gap machine expression is similar to the

torque of the separately excited DC machine Induction machines case the control is

performed in the reference frame (d-q) attached to the rotor flux space vector So the

implementation of vector control requires information on the modulus and the space angle of

the rotor flux space vector The stator currents of the induction machine are separated into

flux- and torque-producing components by transformation to the d-q coordinate system

whose direct axis (d) is aligned with the rotor flux space vector It means that the q-axis

component of the rotor flux space vector is always zero

Ѱrq = 0 and ddtѰrq = 0 ----- (1)

The rotor flux space vector calculation and transformation to the d-q coordinate system

require the highѰ computational power of a microcontroller a digital signal processor is

suitable for this task

54 PWM Control

In this method a fixed dc input voltage is given to the inverter and a controlled ac

output voltage is obtained by adjusting the on and off periods of the inverter components

Inverter employing PWM principle are called PWM Inverters PWM techniques are

characterized by constant amplitude pulses The width of these pulses is modulated to obtain

inverter output voltage control and to reduce its harmonic content The advantages possessed

by PWM technique are the output voltage control with this method lower order harmonics

can be eliminated or minimized along with its output voltage control As higher order

harmonics can be filtered easily the filtering requirements are minimized The main

disadvantage of this method is that the SCRs are expensive as they must possess low turn on

and turn off times This is the most popular method of controlling the output voltage of an

inverter in industrial applications

541 Types of PWM Techniques

There are several types of PWM techniques Sinusoidal PWM (SPWM) selected

harmonics eliminations (SHE) PWM Minimum ripple current PWM Space vector PWM

Hysteresis band current control PWM (HBPWM) Sinusoidal PWM with instantaneous

current control Sigma-delta modulation The hysteresis band current control PWM has been

used because of its simple implementation fast transient response direct limiting of device

peak current and practical insensitivity of dc link voltage ripple that permits a lower filter

capacitor

Fig 51 Pulse Width Modultion

CHAPTER ndash6

BLOCK DIAGRAM DESCRIPTION OF THE HARDWARE MODEL

Figure 6 represents the block diagram of hardware model it consists of various blocks

same of these blocks are as explained below

Generating the square wave pulses using the Spartan 3AN FPGA kit then connected to

the driver circuit and though this circuit input is given to the three phase inverter By using

single phase supply(auto transformer) given to the rectifiers as it coverts AC voltage to DC

voltage Hence the DC voltage is connected to the inverter circuit From the inverter circuit

connected to the 3 phase induction motor terminals to measure the various speed

Fig 6 Block diagram of Hardware Implementation

61 Power Supply

All electronic circuits works only in low DC voltage so a power supply unit is

required to provide the appropriate voltage supply for their proper functioning This

power supply unit consists of transformer rectifier filter and regulator AC voltage of

typically 230V RMS is connected to a transformer which step down the voltage to the

desired AC voltage

Fig 611 General Block of Power Supply Unit

Single phase AC supply is given to bridge rectifier It converts AC into DC The paper

introduces the operation of power supply circuits built using filters rectifiers and then

voltage regulators Starting with an AC voltage a steady DC voltage is obtained by rectifying

the AC voltage then filtering to a DC level and finally regulating to obtain a desired fixed

DC voltage

611 Transformer

A transformer is a static device in which electric power in one circuit is transferred

into electric power of same frequency in another circuit It can raise or lower the voltage in

the circuit but with a corresponding decrease or increase in current It works with the

principle of mutual induction In this project a step-down transformer is used to provide

necessary supply of 12 V for the electronic circuits

612 Rectifier

A rectifier is an electrical device composed of one or more diodes that converts

alternating current (AC) to direct current (DC) Here in this project rectifier is used to get dc

for inverter circuitConverter is a device which convert AC to DC since high voltage dc

supply is required at the input of the inverter

In the bridge rectifier the diodes may be of variable types like 1N4001 1N4003

1N4004 1N4005 IN4007 etc can be used But in this project 1N4007 is used because it can

withstand up to 1000V

613 Filters

In order to obtain a dc voltage of 0 Hz a low pass capacitive filter circuit is used where a

capacitor is connected at the rectifier output and a DC voltage without ripples is obtained across

it The filtered waveform is essentially a DC voltage with negligible ripples and it is ultimately

fed to the load

614 Regulators

The filtered output voltage from the capacitor is finally regulated The voltage regulator

is a device which maintains the output voltage constant irrespective of the change in supply

variations load variations and temperature changes Here a fixed voltage regulator namely

LM7805 is usedThe IC LM7805 is a +5V regulator which is used for microcontroller

62 Spartan 3AN FPGA

Some of the important features of spartan 3AN FPGA is as given below

8-Nos General purpose point LEDs

8-Nos of DIP switches (Digital inputs)

2-Nos of Push Button

USB port

PTB Connector

Communication protocols

Full Duplex UART (USB) and UART (RS 232)

Other Features

1Mb Internal flash

50 MHz crystal oscillator clock source

USBJTAG Interface Connector for parallel programming Spartan3AN FPGA

USBUART interface

RS 232 interface

The Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K

gate Spartan-3AN on-board IO devices and 1Mb Internal flash memory making it the

perfect platform to experiment with any new design

The FPGA has good processing speed than the other controllers Here we are using

SPARTAN 3AN stick board kit for the proposed model The FPGA will give the appropriate

pulses to control the power in the inverter output It is very easy to make the pulses

compared to other controllers

In the FPGA board operating voltage of 33V is possible with the pulses

Fig 621 Spartan 3AN stick Board Components placement

63 Three phase inverter

A power inverter is a device which converts DC current supply into AC supply in

the form of sinewaves The DC and AC converters more commonly known as inverteres

depending on the type of supply source and the related topology of the power circuit are

classified as voltage source inverters (VSIs) and current source inverters (CSIs)

Inverter converts DC power to AC power usually at a controlled frequency and

voltage and this power used for supplying power to an AC motor

These work by controlling a switching device like an IGBT MOSFET or Bipolar

transistor with an oscillator so that the control device is switched on and off between

conducting and not conducting

The three phase MOSFET inverter uses the DC voltage supplied from the three phase

bridge and the gate drive signals to produce a balanced three phase sinusoidal output

which drives the induction motor

The metal-oxide semiconductor field effect transistor (MOSFET) is a transistor used

for amplifying or switching electronic signals

Although the MOSFET is a four terminal device with source (S) gate (G) Drain (D)

and body (B) terminals the body (or subtrate) of the MOSFET often is connected to

the source terminal making it a three-terminal device like other field effect

transistors Because these two terminals are normally connected to each other (short

circuited) internally only three terminals appear in electrical

Whereas MOSFETs are used for low-current and high ndashfrequency switching

The MOSFET used here is IRFP250N the gate signal provide by driver circuit six

MOSFET are included in three phase inverter to drive AC motor

Fig 631 Hardware representation of 3-phase inverter FPGA kit with driver circuit

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 10: my report

efforts and improving the manufacturing yield since it can selectively exclude a subset of faults

not affecting a given design In addition to manufacturing ADT can be used online providing a

solution for fast runtime fault detection and diagnostics This paper identifies a number of issues

in existing ADT techniques which limit their applicability and proposes new approaches

improving the range of covered faults with special emphasis on feedback bridging faults as well

as new algorithms for generating ADT test configurations Furthermore the work introduces a

software environment addressing the current lack of tools either academic or commercial

supporting ADT techniques The architecture of the environment is highly modular and

extensively based on a plug-in approach To demonstrate the potential of the toolset we

developed a complete suite of plug-ins based on both state-of-the-art ADT techniques and the

novel approaches introduced here The experimental results presented at the end of the paper

confirm the impact of the proposed techniques

14 M Nasir Uddin Tawfik S Radwan and M Azizur Rahman

These authors presents a novel speed control scheme of an induction motor (IM) using fuzzy-

logic control The fuzzy-logic controller (FLC) is based on the indirect vector control The fuzzy-

logic speed controller is employed in the outer loop Thecomplete vector control scheme of the

IM drive incorporating the FLC is experimentally implemented using a digital signal processor

board DS-1102 for the laboratory 1-hp squirrel-cage IM The performances of the proposed

FLC-based IM drive are investigated and compared to those obtained from the conventional

proportional-integral (PI) controller-based drive both theoretically and experimentally at

different dynamic operating conditions such as sudden change in command speed step change in

load etc The comparative experimental results show that the FLC is more robust and hence

found to be a suitable replacement of the conventional PI controller for the high-performance

industrial drive applications

15 Bhim Singh BP Singh and Sanjeet Dwivedi

These authors presents a Digital Signal Processor (DSP) based implementation of a Hybrid of

Fuzzy Logic Controller (FLC) and Proportional-Integral (PI) speed controller for Vector

Controlled (VC) Permanent Magnet Synchronous Motor (PMSM) Drive The fuzzy membership

function is used for the hybrid combination of these two FLC and PI speed controllers in such a

way that during the time of dynamic conditions such as starting the degree of belonging for FLC

speed controller is higher than the PI controller and near the set point the degree of belonging of

PI controller is having higher weightage The simulation model of the PMSM drive system is

developed in MATLAB environment with simulink and PSB oolboxes to analyze the

performance of the proposed drive system The hybrid speed controller is found suitable for

Vector Controlled PMSM drive in giving the high level of performance while maintaining the

excellent response at the time of starting speed reversal load perturbation and steady-state

operation of the drive

16 WP Hew C P Ooi And N A Rahim

These authors proposes the circuit realization of Space Vector Modulation (SVM) algorithm

using a single Altera Flex 10k chip (EPFlOK70RC240-4) An SVM integrated circuit (IC) has

been designed and developed to improve the vector control of the three-phase voltage source

inverter (VSI) The design of SVM IC is downloaded to the Altera UP2 Board and tested with

the inverter circuit to drive a 3-phase induction motor The experimental results for 33Hz and

50Hz fundamental frequency and inverter switching frequency of 819 kHz are recorded

CHAPTER ndash3

DESCRIPTION ON FPGA CONTROLLER

In this project the speed of the induction motor is controlled by varying stator

frequency and voltage using FPGA programming FPGA is a new platform for motor control

application and it gives excellent performance at mathematical calculation The AC induction

motor is a relatively simple inexpensive and rugged device which requires little maintenance

However the induction motor is virtually a fixed speed device when operated from a constant

frequency source Since some applications require a fairly wide range of operating speeds DC

machines were often required With the advent of power electronics devices have become

available that allow induction machines to be operated over a range of speeds It is now

frequently possible to buy an induction machine with an electronic drive for about the same price

as a comparable DC machine Furthermore variable speed induction motors can also be used to

drive pumps or fans more economically than the mechanical means which are often used to

provide variable flow

Todayrsquos FPGA based PWM-output variable frequency drives provide the user with a

tremendous variety of features and functions which allow accurate control and monitoring in

nearly every 3-phase motor application A fundamental advantage of an ac drive is that it

provides virtually infinite speed control of the standard induction motors

FPGAThe Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K gate

Spartan-3AN on-board IO devicesand 1Mb Internal flash memory making it the perfect

platform to experiment with any new design

The Spartan3AN FPGA Stick Board kit includes a USB JTAG programming and

debugging chain Additionally there are two possible way for programming download and

debugging through USB as well as JTAG header a mini USB cable which is used to download

the program from PC into FPGA For this purpose the cable directly connected to USB port of

the PC and another way is the JTAG cable connects directly to the parallel port of a PC and to a

standard 6-pin JTAG programming header in the kit that can program a devices that have a

JTAG voltage of 18v or greater

31 Methodology

The speed control of the induction motor with FPGA implementation is developed

When the Induction motors connected to the main supply it runs at their rated speed

Therefore to vary the rotor speed of IM variable frequency drive is required In the

methodology it cannot be considered as a suitable design solution for cost sensitive or

industrial applications Field Programmable Gate Arrays (FPGA) is a Higher density

programmable device and is used to integrate large amounts of logic in a single IC

Implementation on FPGA is one of the method to handle the real time requirements and

disadvantages of conventional microcontroller By using FPGA made faster and efficient

solution to controller It involves the logic based PWM method to control the speed of three

phase induction motorThe matlab based speed control simulation is developed and checked

for the performance improvements As well as hardware part by using FPGA has done

CHAPTER ndash 4

DYNAMIC MODELING amp SIMULATION OF THE INDUCTION MOTOR DRIVE

Dynamic behaviour of induction motor can be expressed by voltage and torque which are

time varying The differential equation that belongs to dynamic analysis of induction motor

are so sophisticated Then with the change of variables the complexity of these equations can

be decreased through movement from poly phase winding to two phase winding(q-d) In

other words the stator and rotor variables like voltage current and flux linkages of an

induction machine are transferred to another reference model which remains stationary

The AC induction motor model is given by the space vector form of the voltage equations

The system model defined in the stationary αβ-coordinate system attached to the stator is

expressed by the following equations Ideally the motor model is symmetrical with a linear

magnetic circuit characteristic

The stator amp rotor voltage differential equations

usα=R si sα+ddt

ψsα (1)

usβ=Rs isβ+ddt

ψsβ (2)

urα=0=Rr irα+ddt

ψrα+ωrβ (3)

urβ=0=R rir β+ddt

ψrβminusωrβ (4)

Electromagnetic torque expressed by utilizing space vector quantities

T e=32

Pp(ψsα isβminusψsβ isα) (5)

where

αβ = Stator orthogonal coordinate system

u sαβ urαβ = Stator and Rotor voltages [V]

isαβ irαβ = Stator and Rotor currents [A]

Ψsαβ Ψrαβ = Stator and Rotor magnetic fluxes [Vs]

Rs Rr = Stator and Rotor phase resistance [Ohm]

ω ωs = Electrical rotor speed synchronous speed [rads]

pp = Number of pole pairs

Te = electromagnetic torque [Nm]

After transformation into d-q coordinates the motor model follows

usd=R sisd+ddt

ψsdminusωsψsd (6)

usq=Rs isq+ddt

ψ sqminusωsψ sq (7)

urd=0=Rr ird+ddt

ψrdminus(ωiquestiquest sminusω)ψrqiquest (8)

urq=0=Rr irq+ddt

ψrqminus(ωiquestiquest sminusω)ψrd iquest (9)

T e=32

Pp(ψsd isqminusψsq isd) (10)

41Equivalent circuit The Induction motor is normally modeled as Equivalent circuit The figure 41(a) amp 41(b) shows the typical equivalent circuits

Fig 41 (a) and 41(b) Equivalent circuit of IM

42 AC Motors

An AC motor is an electric motors that is driven by an alternating current It consists of

two basic parts an outside stationary stator having coils supplied with alternating current to

produce a rotating magnetic field and an inside rotor attached to the output shaft that is given

a torque by the rotating field

There are two recognized broad classes of AC electrical rotating machine synchronouns

motor(SM) which rotates exactly at the supply frequency or a submultiple of the supply

frequency The magnetic field on the rotor either generated by current delivered throgh slip

rings or by a permanent magnet

The second is the asynchronous or induction (IM) which runs slightly slower than the

supply frequency The magnetic field on the rotor of this motor is created by an induced

current

A third class is introduced here for clarity the Electronically Commutated Machine

(ECM) Such ECM machines have electronic commutation or switching as an inherent part of

the operation This is different to electronically producing a variable frequency sine wave

supply say by pulse width modulation (PWM) and using this instead of mains excitation

The AC induction motor is a rotating electric machine which is designed to operate

from a 3-phase source of alternating voltage The source is usually an inverter and is used for

variable speed drives that uses power switches to produce approximately sinusoidal voltages

and currents for magnitude and frequency control

Fig 42 3-phase AC Induction motor

A cross-section of a two-pole induction motor is shown in figure Slots in the inner

periphery of the stator accommodate 3-phase winding abc The turns in each winding are

distributed so that a current in a stator winding produces an approximately sinusoidally-

distributed flux density around the periphery of the air gap When three currents that are

sinusoidally varying in time but displaced in phase by 120deg from each other flow through

the three symmetrically-placed windings a radially-directed air gap flux density is produced

that is also sinusoidally distributed around the gap and rotates at an angular velocity equal to

the angular frequency ωs of the stator currents

The most common type of induction motor has a squirrel cage rotor in which

aluminum conductors or bars are cast into slots in the outer periphery of the rotor These

conductors or bars are shorted together at both ends of the rotor by cast aluminum end rings

which also can be shaped to act as fans In larger induction motors copper or copper-alloy

bars are used to fabricate the rotor cage winding

CHAPTER ndash 5

SPEED CONTROL OF INDUCTION MOTOR

51 Necessity of speed control

Every day engineers design products that employ induction motors Speed control of 3-

phase induction motors is desirable in most motor control applications since it not only

provides variable speed but also reduces energy consumption and audible noise Controlling

the speed has many advantages such as power efficiency reduced audible noise and better

control over the applications The speed control of induction motor is more important to

achieve maximum torque and efficiency

AC Induction motors are being applied today in a wider range of applications requiring

variable speed Generally variable speed drives for induction motor require both wide

operating range of speed and fast torque response regardless of load variations This leads to

more advanced control methods to meet the real demand

Difficulties using conventional methods of speed control

1 It depends on the accuracy of the mathematical model of the system

2 The expected performance is not met due to the load disturbance motor saturation and

thermal variations

3 Classical linear control shows good performance only at one operating speed

4 The coefficients must be chosen properly for acceptable results whereas choosing the

proper coefficient with varying parameters like set point is very difficult

To implement conventional control The model of the controlled system must be known The

usual method of computation of mathematical model of a system is difficult When there are

system parameter variations or environmental disturbance the behaviour of the system is not

satisfactory The classical controller designed for high performance increases the complexity

of the design and hence the cost

52 Speed Control Ttechniques

In the past DC motors were used extensively in areas where variable-speed operations

were required DC motors have certain disadvantages however which are due to the

existance of the commutator and the brushes which makes the motor more bulky costly and

heavy They are also robust and immune to heavy loading the speed of the induction motor

has to be controlled and so different types of controllers are used to obtain the desired speed

Various speed control techniques implemented by modern-age variable frequency drive are

mainly classified in the following three categories

1 Scalar Control (Vf Control)

2 Vector Control (Indirect Torque Control)

3 Direct Torque Control(DTC)

The aim is to control the Speed amp Torque of the induction motor using vector control

technique The dynamic modelling of induction motor is done in the SIMULINK using the

necessary equations The Vector control of the induction motor is also modelled in the

SIMULINK using the necessary equations FPGA is implemented in the system for the better

control of the induction motor

521 Scalar Control ( V f control)

Whenever for three phase induction motor three phase supply is given rotating magnetic

field is produced which rotates at synchronous speed given by

Ns = 120fP

In three phase induction motor emf is induced by induction similar to that of transformer

which is given by

E or V = 444∮KTf or ∮ = V444KTf

Where K is the winding constant T is the number of turns per phase and f is frequency Now

synchronous speed changes if we change frequency but with decrease in frequency flux will

increase and this change in value of flux causes saturation of rotor and stator cores which will

further cause increase in no load current of the motor Somaintaining of flux is important φ

constant and it is possible in the case of voltage change ie if we decrease frequency flux

increases but at the same time if we decrease voltage flux will also decease causing no

change in flux and hence it remains constant So here we are keeping the ratio of V f as

constant Hence its name is V f method For the speed control of three phase induction

motor by V f method supply variable voltage and frequency we need to supply by using

converter and inverter set which is obtained

53 Vector Control (Indirect Torque Control) of AC induction machine

In AC induction motors vector control technique is most popular method In reference

frames the electromagnetic torque of the smooth-air-gap machine expression is similar to the

torque of the separately excited DC machine Induction machines case the control is

performed in the reference frame (d-q) attached to the rotor flux space vector So the

implementation of vector control requires information on the modulus and the space angle of

the rotor flux space vector The stator currents of the induction machine are separated into

flux- and torque-producing components by transformation to the d-q coordinate system

whose direct axis (d) is aligned with the rotor flux space vector It means that the q-axis

component of the rotor flux space vector is always zero

Ѱrq = 0 and ddtѰrq = 0 ----- (1)

The rotor flux space vector calculation and transformation to the d-q coordinate system

require the highѰ computational power of a microcontroller a digital signal processor is

suitable for this task

54 PWM Control

In this method a fixed dc input voltage is given to the inverter and a controlled ac

output voltage is obtained by adjusting the on and off periods of the inverter components

Inverter employing PWM principle are called PWM Inverters PWM techniques are

characterized by constant amplitude pulses The width of these pulses is modulated to obtain

inverter output voltage control and to reduce its harmonic content The advantages possessed

by PWM technique are the output voltage control with this method lower order harmonics

can be eliminated or minimized along with its output voltage control As higher order

harmonics can be filtered easily the filtering requirements are minimized The main

disadvantage of this method is that the SCRs are expensive as they must possess low turn on

and turn off times This is the most popular method of controlling the output voltage of an

inverter in industrial applications

541 Types of PWM Techniques

There are several types of PWM techniques Sinusoidal PWM (SPWM) selected

harmonics eliminations (SHE) PWM Minimum ripple current PWM Space vector PWM

Hysteresis band current control PWM (HBPWM) Sinusoidal PWM with instantaneous

current control Sigma-delta modulation The hysteresis band current control PWM has been

used because of its simple implementation fast transient response direct limiting of device

peak current and practical insensitivity of dc link voltage ripple that permits a lower filter

capacitor

Fig 51 Pulse Width Modultion

CHAPTER ndash6

BLOCK DIAGRAM DESCRIPTION OF THE HARDWARE MODEL

Figure 6 represents the block diagram of hardware model it consists of various blocks

same of these blocks are as explained below

Generating the square wave pulses using the Spartan 3AN FPGA kit then connected to

the driver circuit and though this circuit input is given to the three phase inverter By using

single phase supply(auto transformer) given to the rectifiers as it coverts AC voltage to DC

voltage Hence the DC voltage is connected to the inverter circuit From the inverter circuit

connected to the 3 phase induction motor terminals to measure the various speed

Fig 6 Block diagram of Hardware Implementation

61 Power Supply

All electronic circuits works only in low DC voltage so a power supply unit is

required to provide the appropriate voltage supply for their proper functioning This

power supply unit consists of transformer rectifier filter and regulator AC voltage of

typically 230V RMS is connected to a transformer which step down the voltage to the

desired AC voltage

Fig 611 General Block of Power Supply Unit

Single phase AC supply is given to bridge rectifier It converts AC into DC The paper

introduces the operation of power supply circuits built using filters rectifiers and then

voltage regulators Starting with an AC voltage a steady DC voltage is obtained by rectifying

the AC voltage then filtering to a DC level and finally regulating to obtain a desired fixed

DC voltage

611 Transformer

A transformer is a static device in which electric power in one circuit is transferred

into electric power of same frequency in another circuit It can raise or lower the voltage in

the circuit but with a corresponding decrease or increase in current It works with the

principle of mutual induction In this project a step-down transformer is used to provide

necessary supply of 12 V for the electronic circuits

612 Rectifier

A rectifier is an electrical device composed of one or more diodes that converts

alternating current (AC) to direct current (DC) Here in this project rectifier is used to get dc

for inverter circuitConverter is a device which convert AC to DC since high voltage dc

supply is required at the input of the inverter

In the bridge rectifier the diodes may be of variable types like 1N4001 1N4003

1N4004 1N4005 IN4007 etc can be used But in this project 1N4007 is used because it can

withstand up to 1000V

613 Filters

In order to obtain a dc voltage of 0 Hz a low pass capacitive filter circuit is used where a

capacitor is connected at the rectifier output and a DC voltage without ripples is obtained across

it The filtered waveform is essentially a DC voltage with negligible ripples and it is ultimately

fed to the load

614 Regulators

The filtered output voltage from the capacitor is finally regulated The voltage regulator

is a device which maintains the output voltage constant irrespective of the change in supply

variations load variations and temperature changes Here a fixed voltage regulator namely

LM7805 is usedThe IC LM7805 is a +5V regulator which is used for microcontroller

62 Spartan 3AN FPGA

Some of the important features of spartan 3AN FPGA is as given below

8-Nos General purpose point LEDs

8-Nos of DIP switches (Digital inputs)

2-Nos of Push Button

USB port

PTB Connector

Communication protocols

Full Duplex UART (USB) and UART (RS 232)

Other Features

1Mb Internal flash

50 MHz crystal oscillator clock source

USBJTAG Interface Connector for parallel programming Spartan3AN FPGA

USBUART interface

RS 232 interface

The Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K

gate Spartan-3AN on-board IO devices and 1Mb Internal flash memory making it the

perfect platform to experiment with any new design

The FPGA has good processing speed than the other controllers Here we are using

SPARTAN 3AN stick board kit for the proposed model The FPGA will give the appropriate

pulses to control the power in the inverter output It is very easy to make the pulses

compared to other controllers

In the FPGA board operating voltage of 33V is possible with the pulses

Fig 621 Spartan 3AN stick Board Components placement

63 Three phase inverter

A power inverter is a device which converts DC current supply into AC supply in

the form of sinewaves The DC and AC converters more commonly known as inverteres

depending on the type of supply source and the related topology of the power circuit are

classified as voltage source inverters (VSIs) and current source inverters (CSIs)

Inverter converts DC power to AC power usually at a controlled frequency and

voltage and this power used for supplying power to an AC motor

These work by controlling a switching device like an IGBT MOSFET or Bipolar

transistor with an oscillator so that the control device is switched on and off between

conducting and not conducting

The three phase MOSFET inverter uses the DC voltage supplied from the three phase

bridge and the gate drive signals to produce a balanced three phase sinusoidal output

which drives the induction motor

The metal-oxide semiconductor field effect transistor (MOSFET) is a transistor used

for amplifying or switching electronic signals

Although the MOSFET is a four terminal device with source (S) gate (G) Drain (D)

and body (B) terminals the body (or subtrate) of the MOSFET often is connected to

the source terminal making it a three-terminal device like other field effect

transistors Because these two terminals are normally connected to each other (short

circuited) internally only three terminals appear in electrical

Whereas MOSFETs are used for low-current and high ndashfrequency switching

The MOSFET used here is IRFP250N the gate signal provide by driver circuit six

MOSFET are included in three phase inverter to drive AC motor

Fig 631 Hardware representation of 3-phase inverter FPGA kit with driver circuit

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 11: my report

15 Bhim Singh BP Singh and Sanjeet Dwivedi

These authors presents a Digital Signal Processor (DSP) based implementation of a Hybrid of

Fuzzy Logic Controller (FLC) and Proportional-Integral (PI) speed controller for Vector

Controlled (VC) Permanent Magnet Synchronous Motor (PMSM) Drive The fuzzy membership

function is used for the hybrid combination of these two FLC and PI speed controllers in such a

way that during the time of dynamic conditions such as starting the degree of belonging for FLC

speed controller is higher than the PI controller and near the set point the degree of belonging of

PI controller is having higher weightage The simulation model of the PMSM drive system is

developed in MATLAB environment with simulink and PSB oolboxes to analyze the

performance of the proposed drive system The hybrid speed controller is found suitable for

Vector Controlled PMSM drive in giving the high level of performance while maintaining the

excellent response at the time of starting speed reversal load perturbation and steady-state

operation of the drive

16 WP Hew C P Ooi And N A Rahim

These authors proposes the circuit realization of Space Vector Modulation (SVM) algorithm

using a single Altera Flex 10k chip (EPFlOK70RC240-4) An SVM integrated circuit (IC) has

been designed and developed to improve the vector control of the three-phase voltage source

inverter (VSI) The design of SVM IC is downloaded to the Altera UP2 Board and tested with

the inverter circuit to drive a 3-phase induction motor The experimental results for 33Hz and

50Hz fundamental frequency and inverter switching frequency of 819 kHz are recorded

CHAPTER ndash3

DESCRIPTION ON FPGA CONTROLLER

In this project the speed of the induction motor is controlled by varying stator

frequency and voltage using FPGA programming FPGA is a new platform for motor control

application and it gives excellent performance at mathematical calculation The AC induction

motor is a relatively simple inexpensive and rugged device which requires little maintenance

However the induction motor is virtually a fixed speed device when operated from a constant

frequency source Since some applications require a fairly wide range of operating speeds DC

machines were often required With the advent of power electronics devices have become

available that allow induction machines to be operated over a range of speeds It is now

frequently possible to buy an induction machine with an electronic drive for about the same price

as a comparable DC machine Furthermore variable speed induction motors can also be used to

drive pumps or fans more economically than the mechanical means which are often used to

provide variable flow

Todayrsquos FPGA based PWM-output variable frequency drives provide the user with a

tremendous variety of features and functions which allow accurate control and monitoring in

nearly every 3-phase motor application A fundamental advantage of an ac drive is that it

provides virtually infinite speed control of the standard induction motors

FPGAThe Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K gate

Spartan-3AN on-board IO devicesand 1Mb Internal flash memory making it the perfect

platform to experiment with any new design

The Spartan3AN FPGA Stick Board kit includes a USB JTAG programming and

debugging chain Additionally there are two possible way for programming download and

debugging through USB as well as JTAG header a mini USB cable which is used to download

the program from PC into FPGA For this purpose the cable directly connected to USB port of

the PC and another way is the JTAG cable connects directly to the parallel port of a PC and to a

standard 6-pin JTAG programming header in the kit that can program a devices that have a

JTAG voltage of 18v or greater

31 Methodology

The speed control of the induction motor with FPGA implementation is developed

When the Induction motors connected to the main supply it runs at their rated speed

Therefore to vary the rotor speed of IM variable frequency drive is required In the

methodology it cannot be considered as a suitable design solution for cost sensitive or

industrial applications Field Programmable Gate Arrays (FPGA) is a Higher density

programmable device and is used to integrate large amounts of logic in a single IC

Implementation on FPGA is one of the method to handle the real time requirements and

disadvantages of conventional microcontroller By using FPGA made faster and efficient

solution to controller It involves the logic based PWM method to control the speed of three

phase induction motorThe matlab based speed control simulation is developed and checked

for the performance improvements As well as hardware part by using FPGA has done

CHAPTER ndash 4

DYNAMIC MODELING amp SIMULATION OF THE INDUCTION MOTOR DRIVE

Dynamic behaviour of induction motor can be expressed by voltage and torque which are

time varying The differential equation that belongs to dynamic analysis of induction motor

are so sophisticated Then with the change of variables the complexity of these equations can

be decreased through movement from poly phase winding to two phase winding(q-d) In

other words the stator and rotor variables like voltage current and flux linkages of an

induction machine are transferred to another reference model which remains stationary

The AC induction motor model is given by the space vector form of the voltage equations

The system model defined in the stationary αβ-coordinate system attached to the stator is

expressed by the following equations Ideally the motor model is symmetrical with a linear

magnetic circuit characteristic

The stator amp rotor voltage differential equations

usα=R si sα+ddt

ψsα (1)

usβ=Rs isβ+ddt

ψsβ (2)

urα=0=Rr irα+ddt

ψrα+ωrβ (3)

urβ=0=R rir β+ddt

ψrβminusωrβ (4)

Electromagnetic torque expressed by utilizing space vector quantities

T e=32

Pp(ψsα isβminusψsβ isα) (5)

where

αβ = Stator orthogonal coordinate system

u sαβ urαβ = Stator and Rotor voltages [V]

isαβ irαβ = Stator and Rotor currents [A]

Ψsαβ Ψrαβ = Stator and Rotor magnetic fluxes [Vs]

Rs Rr = Stator and Rotor phase resistance [Ohm]

ω ωs = Electrical rotor speed synchronous speed [rads]

pp = Number of pole pairs

Te = electromagnetic torque [Nm]

After transformation into d-q coordinates the motor model follows

usd=R sisd+ddt

ψsdminusωsψsd (6)

usq=Rs isq+ddt

ψ sqminusωsψ sq (7)

urd=0=Rr ird+ddt

ψrdminus(ωiquestiquest sminusω)ψrqiquest (8)

urq=0=Rr irq+ddt

ψrqminus(ωiquestiquest sminusω)ψrd iquest (9)

T e=32

Pp(ψsd isqminusψsq isd) (10)

41Equivalent circuit The Induction motor is normally modeled as Equivalent circuit The figure 41(a) amp 41(b) shows the typical equivalent circuits

Fig 41 (a) and 41(b) Equivalent circuit of IM

42 AC Motors

An AC motor is an electric motors that is driven by an alternating current It consists of

two basic parts an outside stationary stator having coils supplied with alternating current to

produce a rotating magnetic field and an inside rotor attached to the output shaft that is given

a torque by the rotating field

There are two recognized broad classes of AC electrical rotating machine synchronouns

motor(SM) which rotates exactly at the supply frequency or a submultiple of the supply

frequency The magnetic field on the rotor either generated by current delivered throgh slip

rings or by a permanent magnet

The second is the asynchronous or induction (IM) which runs slightly slower than the

supply frequency The magnetic field on the rotor of this motor is created by an induced

current

A third class is introduced here for clarity the Electronically Commutated Machine

(ECM) Such ECM machines have electronic commutation or switching as an inherent part of

the operation This is different to electronically producing a variable frequency sine wave

supply say by pulse width modulation (PWM) and using this instead of mains excitation

The AC induction motor is a rotating electric machine which is designed to operate

from a 3-phase source of alternating voltage The source is usually an inverter and is used for

variable speed drives that uses power switches to produce approximately sinusoidal voltages

and currents for magnitude and frequency control

Fig 42 3-phase AC Induction motor

A cross-section of a two-pole induction motor is shown in figure Slots in the inner

periphery of the stator accommodate 3-phase winding abc The turns in each winding are

distributed so that a current in a stator winding produces an approximately sinusoidally-

distributed flux density around the periphery of the air gap When three currents that are

sinusoidally varying in time but displaced in phase by 120deg from each other flow through

the three symmetrically-placed windings a radially-directed air gap flux density is produced

that is also sinusoidally distributed around the gap and rotates at an angular velocity equal to

the angular frequency ωs of the stator currents

The most common type of induction motor has a squirrel cage rotor in which

aluminum conductors or bars are cast into slots in the outer periphery of the rotor These

conductors or bars are shorted together at both ends of the rotor by cast aluminum end rings

which also can be shaped to act as fans In larger induction motors copper or copper-alloy

bars are used to fabricate the rotor cage winding

CHAPTER ndash 5

SPEED CONTROL OF INDUCTION MOTOR

51 Necessity of speed control

Every day engineers design products that employ induction motors Speed control of 3-

phase induction motors is desirable in most motor control applications since it not only

provides variable speed but also reduces energy consumption and audible noise Controlling

the speed has many advantages such as power efficiency reduced audible noise and better

control over the applications The speed control of induction motor is more important to

achieve maximum torque and efficiency

AC Induction motors are being applied today in a wider range of applications requiring

variable speed Generally variable speed drives for induction motor require both wide

operating range of speed and fast torque response regardless of load variations This leads to

more advanced control methods to meet the real demand

Difficulties using conventional methods of speed control

1 It depends on the accuracy of the mathematical model of the system

2 The expected performance is not met due to the load disturbance motor saturation and

thermal variations

3 Classical linear control shows good performance only at one operating speed

4 The coefficients must be chosen properly for acceptable results whereas choosing the

proper coefficient with varying parameters like set point is very difficult

To implement conventional control The model of the controlled system must be known The

usual method of computation of mathematical model of a system is difficult When there are

system parameter variations or environmental disturbance the behaviour of the system is not

satisfactory The classical controller designed for high performance increases the complexity

of the design and hence the cost

52 Speed Control Ttechniques

In the past DC motors were used extensively in areas where variable-speed operations

were required DC motors have certain disadvantages however which are due to the

existance of the commutator and the brushes which makes the motor more bulky costly and

heavy They are also robust and immune to heavy loading the speed of the induction motor

has to be controlled and so different types of controllers are used to obtain the desired speed

Various speed control techniques implemented by modern-age variable frequency drive are

mainly classified in the following three categories

1 Scalar Control (Vf Control)

2 Vector Control (Indirect Torque Control)

3 Direct Torque Control(DTC)

The aim is to control the Speed amp Torque of the induction motor using vector control

technique The dynamic modelling of induction motor is done in the SIMULINK using the

necessary equations The Vector control of the induction motor is also modelled in the

SIMULINK using the necessary equations FPGA is implemented in the system for the better

control of the induction motor

521 Scalar Control ( V f control)

Whenever for three phase induction motor three phase supply is given rotating magnetic

field is produced which rotates at synchronous speed given by

Ns = 120fP

In three phase induction motor emf is induced by induction similar to that of transformer

which is given by

E or V = 444∮KTf or ∮ = V444KTf

Where K is the winding constant T is the number of turns per phase and f is frequency Now

synchronous speed changes if we change frequency but with decrease in frequency flux will

increase and this change in value of flux causes saturation of rotor and stator cores which will

further cause increase in no load current of the motor Somaintaining of flux is important φ

constant and it is possible in the case of voltage change ie if we decrease frequency flux

increases but at the same time if we decrease voltage flux will also decease causing no

change in flux and hence it remains constant So here we are keeping the ratio of V f as

constant Hence its name is V f method For the speed control of three phase induction

motor by V f method supply variable voltage and frequency we need to supply by using

converter and inverter set which is obtained

53 Vector Control (Indirect Torque Control) of AC induction machine

In AC induction motors vector control technique is most popular method In reference

frames the electromagnetic torque of the smooth-air-gap machine expression is similar to the

torque of the separately excited DC machine Induction machines case the control is

performed in the reference frame (d-q) attached to the rotor flux space vector So the

implementation of vector control requires information on the modulus and the space angle of

the rotor flux space vector The stator currents of the induction machine are separated into

flux- and torque-producing components by transformation to the d-q coordinate system

whose direct axis (d) is aligned with the rotor flux space vector It means that the q-axis

component of the rotor flux space vector is always zero

Ѱrq = 0 and ddtѰrq = 0 ----- (1)

The rotor flux space vector calculation and transformation to the d-q coordinate system

require the highѰ computational power of a microcontroller a digital signal processor is

suitable for this task

54 PWM Control

In this method a fixed dc input voltage is given to the inverter and a controlled ac

output voltage is obtained by adjusting the on and off periods of the inverter components

Inverter employing PWM principle are called PWM Inverters PWM techniques are

characterized by constant amplitude pulses The width of these pulses is modulated to obtain

inverter output voltage control and to reduce its harmonic content The advantages possessed

by PWM technique are the output voltage control with this method lower order harmonics

can be eliminated or minimized along with its output voltage control As higher order

harmonics can be filtered easily the filtering requirements are minimized The main

disadvantage of this method is that the SCRs are expensive as they must possess low turn on

and turn off times This is the most popular method of controlling the output voltage of an

inverter in industrial applications

541 Types of PWM Techniques

There are several types of PWM techniques Sinusoidal PWM (SPWM) selected

harmonics eliminations (SHE) PWM Minimum ripple current PWM Space vector PWM

Hysteresis band current control PWM (HBPWM) Sinusoidal PWM with instantaneous

current control Sigma-delta modulation The hysteresis band current control PWM has been

used because of its simple implementation fast transient response direct limiting of device

peak current and practical insensitivity of dc link voltage ripple that permits a lower filter

capacitor

Fig 51 Pulse Width Modultion

CHAPTER ndash6

BLOCK DIAGRAM DESCRIPTION OF THE HARDWARE MODEL

Figure 6 represents the block diagram of hardware model it consists of various blocks

same of these blocks are as explained below

Generating the square wave pulses using the Spartan 3AN FPGA kit then connected to

the driver circuit and though this circuit input is given to the three phase inverter By using

single phase supply(auto transformer) given to the rectifiers as it coverts AC voltage to DC

voltage Hence the DC voltage is connected to the inverter circuit From the inverter circuit

connected to the 3 phase induction motor terminals to measure the various speed

Fig 6 Block diagram of Hardware Implementation

61 Power Supply

All electronic circuits works only in low DC voltage so a power supply unit is

required to provide the appropriate voltage supply for their proper functioning This

power supply unit consists of transformer rectifier filter and regulator AC voltage of

typically 230V RMS is connected to a transformer which step down the voltage to the

desired AC voltage

Fig 611 General Block of Power Supply Unit

Single phase AC supply is given to bridge rectifier It converts AC into DC The paper

introduces the operation of power supply circuits built using filters rectifiers and then

voltage regulators Starting with an AC voltage a steady DC voltage is obtained by rectifying

the AC voltage then filtering to a DC level and finally regulating to obtain a desired fixed

DC voltage

611 Transformer

A transformer is a static device in which electric power in one circuit is transferred

into electric power of same frequency in another circuit It can raise or lower the voltage in

the circuit but with a corresponding decrease or increase in current It works with the

principle of mutual induction In this project a step-down transformer is used to provide

necessary supply of 12 V for the electronic circuits

612 Rectifier

A rectifier is an electrical device composed of one or more diodes that converts

alternating current (AC) to direct current (DC) Here in this project rectifier is used to get dc

for inverter circuitConverter is a device which convert AC to DC since high voltage dc

supply is required at the input of the inverter

In the bridge rectifier the diodes may be of variable types like 1N4001 1N4003

1N4004 1N4005 IN4007 etc can be used But in this project 1N4007 is used because it can

withstand up to 1000V

613 Filters

In order to obtain a dc voltage of 0 Hz a low pass capacitive filter circuit is used where a

capacitor is connected at the rectifier output and a DC voltage without ripples is obtained across

it The filtered waveform is essentially a DC voltage with negligible ripples and it is ultimately

fed to the load

614 Regulators

The filtered output voltage from the capacitor is finally regulated The voltage regulator

is a device which maintains the output voltage constant irrespective of the change in supply

variations load variations and temperature changes Here a fixed voltage regulator namely

LM7805 is usedThe IC LM7805 is a +5V regulator which is used for microcontroller

62 Spartan 3AN FPGA

Some of the important features of spartan 3AN FPGA is as given below

8-Nos General purpose point LEDs

8-Nos of DIP switches (Digital inputs)

2-Nos of Push Button

USB port

PTB Connector

Communication protocols

Full Duplex UART (USB) and UART (RS 232)

Other Features

1Mb Internal flash

50 MHz crystal oscillator clock source

USBJTAG Interface Connector for parallel programming Spartan3AN FPGA

USBUART interface

RS 232 interface

The Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K

gate Spartan-3AN on-board IO devices and 1Mb Internal flash memory making it the

perfect platform to experiment with any new design

The FPGA has good processing speed than the other controllers Here we are using

SPARTAN 3AN stick board kit for the proposed model The FPGA will give the appropriate

pulses to control the power in the inverter output It is very easy to make the pulses

compared to other controllers

In the FPGA board operating voltage of 33V is possible with the pulses

Fig 621 Spartan 3AN stick Board Components placement

63 Three phase inverter

A power inverter is a device which converts DC current supply into AC supply in

the form of sinewaves The DC and AC converters more commonly known as inverteres

depending on the type of supply source and the related topology of the power circuit are

classified as voltage source inverters (VSIs) and current source inverters (CSIs)

Inverter converts DC power to AC power usually at a controlled frequency and

voltage and this power used for supplying power to an AC motor

These work by controlling a switching device like an IGBT MOSFET or Bipolar

transistor with an oscillator so that the control device is switched on and off between

conducting and not conducting

The three phase MOSFET inverter uses the DC voltage supplied from the three phase

bridge and the gate drive signals to produce a balanced three phase sinusoidal output

which drives the induction motor

The metal-oxide semiconductor field effect transistor (MOSFET) is a transistor used

for amplifying or switching electronic signals

Although the MOSFET is a four terminal device with source (S) gate (G) Drain (D)

and body (B) terminals the body (or subtrate) of the MOSFET often is connected to

the source terminal making it a three-terminal device like other field effect

transistors Because these two terminals are normally connected to each other (short

circuited) internally only three terminals appear in electrical

Whereas MOSFETs are used for low-current and high ndashfrequency switching

The MOSFET used here is IRFP250N the gate signal provide by driver circuit six

MOSFET are included in three phase inverter to drive AC motor

Fig 631 Hardware representation of 3-phase inverter FPGA kit with driver circuit

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 12: my report

In this project the speed of the induction motor is controlled by varying stator

frequency and voltage using FPGA programming FPGA is a new platform for motor control

application and it gives excellent performance at mathematical calculation The AC induction

motor is a relatively simple inexpensive and rugged device which requires little maintenance

However the induction motor is virtually a fixed speed device when operated from a constant

frequency source Since some applications require a fairly wide range of operating speeds DC

machines were often required With the advent of power electronics devices have become

available that allow induction machines to be operated over a range of speeds It is now

frequently possible to buy an induction machine with an electronic drive for about the same price

as a comparable DC machine Furthermore variable speed induction motors can also be used to

drive pumps or fans more economically than the mechanical means which are often used to

provide variable flow

Todayrsquos FPGA based PWM-output variable frequency drives provide the user with a

tremendous variety of features and functions which allow accurate control and monitoring in

nearly every 3-phase motor application A fundamental advantage of an ac drive is that it

provides virtually infinite speed control of the standard induction motors

FPGAThe Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K gate

Spartan-3AN on-board IO devicesand 1Mb Internal flash memory making it the perfect

platform to experiment with any new design

The Spartan3AN FPGA Stick Board kit includes a USB JTAG programming and

debugging chain Additionally there are two possible way for programming download and

debugging through USB as well as JTAG header a mini USB cable which is used to download

the program from PC into FPGA For this purpose the cable directly connected to USB port of

the PC and another way is the JTAG cable connects directly to the parallel port of a PC and to a

standard 6-pin JTAG programming header in the kit that can program a devices that have a

JTAG voltage of 18v or greater

31 Methodology

The speed control of the induction motor with FPGA implementation is developed

When the Induction motors connected to the main supply it runs at their rated speed

Therefore to vary the rotor speed of IM variable frequency drive is required In the

methodology it cannot be considered as a suitable design solution for cost sensitive or

industrial applications Field Programmable Gate Arrays (FPGA) is a Higher density

programmable device and is used to integrate large amounts of logic in a single IC

Implementation on FPGA is one of the method to handle the real time requirements and

disadvantages of conventional microcontroller By using FPGA made faster and efficient

solution to controller It involves the logic based PWM method to control the speed of three

phase induction motorThe matlab based speed control simulation is developed and checked

for the performance improvements As well as hardware part by using FPGA has done

CHAPTER ndash 4

DYNAMIC MODELING amp SIMULATION OF THE INDUCTION MOTOR DRIVE

Dynamic behaviour of induction motor can be expressed by voltage and torque which are

time varying The differential equation that belongs to dynamic analysis of induction motor

are so sophisticated Then with the change of variables the complexity of these equations can

be decreased through movement from poly phase winding to two phase winding(q-d) In

other words the stator and rotor variables like voltage current and flux linkages of an

induction machine are transferred to another reference model which remains stationary

The AC induction motor model is given by the space vector form of the voltage equations

The system model defined in the stationary αβ-coordinate system attached to the stator is

expressed by the following equations Ideally the motor model is symmetrical with a linear

magnetic circuit characteristic

The stator amp rotor voltage differential equations

usα=R si sα+ddt

ψsα (1)

usβ=Rs isβ+ddt

ψsβ (2)

urα=0=Rr irα+ddt

ψrα+ωrβ (3)

urβ=0=R rir β+ddt

ψrβminusωrβ (4)

Electromagnetic torque expressed by utilizing space vector quantities

T e=32

Pp(ψsα isβminusψsβ isα) (5)

where

αβ = Stator orthogonal coordinate system

u sαβ urαβ = Stator and Rotor voltages [V]

isαβ irαβ = Stator and Rotor currents [A]

Ψsαβ Ψrαβ = Stator and Rotor magnetic fluxes [Vs]

Rs Rr = Stator and Rotor phase resistance [Ohm]

ω ωs = Electrical rotor speed synchronous speed [rads]

pp = Number of pole pairs

Te = electromagnetic torque [Nm]

After transformation into d-q coordinates the motor model follows

usd=R sisd+ddt

ψsdminusωsψsd (6)

usq=Rs isq+ddt

ψ sqminusωsψ sq (7)

urd=0=Rr ird+ddt

ψrdminus(ωiquestiquest sminusω)ψrqiquest (8)

urq=0=Rr irq+ddt

ψrqminus(ωiquestiquest sminusω)ψrd iquest (9)

T e=32

Pp(ψsd isqminusψsq isd) (10)

41Equivalent circuit The Induction motor is normally modeled as Equivalent circuit The figure 41(a) amp 41(b) shows the typical equivalent circuits

Fig 41 (a) and 41(b) Equivalent circuit of IM

42 AC Motors

An AC motor is an electric motors that is driven by an alternating current It consists of

two basic parts an outside stationary stator having coils supplied with alternating current to

produce a rotating magnetic field and an inside rotor attached to the output shaft that is given

a torque by the rotating field

There are two recognized broad classes of AC electrical rotating machine synchronouns

motor(SM) which rotates exactly at the supply frequency or a submultiple of the supply

frequency The magnetic field on the rotor either generated by current delivered throgh slip

rings or by a permanent magnet

The second is the asynchronous or induction (IM) which runs slightly slower than the

supply frequency The magnetic field on the rotor of this motor is created by an induced

current

A third class is introduced here for clarity the Electronically Commutated Machine

(ECM) Such ECM machines have electronic commutation or switching as an inherent part of

the operation This is different to electronically producing a variable frequency sine wave

supply say by pulse width modulation (PWM) and using this instead of mains excitation

The AC induction motor is a rotating electric machine which is designed to operate

from a 3-phase source of alternating voltage The source is usually an inverter and is used for

variable speed drives that uses power switches to produce approximately sinusoidal voltages

and currents for magnitude and frequency control

Fig 42 3-phase AC Induction motor

A cross-section of a two-pole induction motor is shown in figure Slots in the inner

periphery of the stator accommodate 3-phase winding abc The turns in each winding are

distributed so that a current in a stator winding produces an approximately sinusoidally-

distributed flux density around the periphery of the air gap When three currents that are

sinusoidally varying in time but displaced in phase by 120deg from each other flow through

the three symmetrically-placed windings a radially-directed air gap flux density is produced

that is also sinusoidally distributed around the gap and rotates at an angular velocity equal to

the angular frequency ωs of the stator currents

The most common type of induction motor has a squirrel cage rotor in which

aluminum conductors or bars are cast into slots in the outer periphery of the rotor These

conductors or bars are shorted together at both ends of the rotor by cast aluminum end rings

which also can be shaped to act as fans In larger induction motors copper or copper-alloy

bars are used to fabricate the rotor cage winding

CHAPTER ndash 5

SPEED CONTROL OF INDUCTION MOTOR

51 Necessity of speed control

Every day engineers design products that employ induction motors Speed control of 3-

phase induction motors is desirable in most motor control applications since it not only

provides variable speed but also reduces energy consumption and audible noise Controlling

the speed has many advantages such as power efficiency reduced audible noise and better

control over the applications The speed control of induction motor is more important to

achieve maximum torque and efficiency

AC Induction motors are being applied today in a wider range of applications requiring

variable speed Generally variable speed drives for induction motor require both wide

operating range of speed and fast torque response regardless of load variations This leads to

more advanced control methods to meet the real demand

Difficulties using conventional methods of speed control

1 It depends on the accuracy of the mathematical model of the system

2 The expected performance is not met due to the load disturbance motor saturation and

thermal variations

3 Classical linear control shows good performance only at one operating speed

4 The coefficients must be chosen properly for acceptable results whereas choosing the

proper coefficient with varying parameters like set point is very difficult

To implement conventional control The model of the controlled system must be known The

usual method of computation of mathematical model of a system is difficult When there are

system parameter variations or environmental disturbance the behaviour of the system is not

satisfactory The classical controller designed for high performance increases the complexity

of the design and hence the cost

52 Speed Control Ttechniques

In the past DC motors were used extensively in areas where variable-speed operations

were required DC motors have certain disadvantages however which are due to the

existance of the commutator and the brushes which makes the motor more bulky costly and

heavy They are also robust and immune to heavy loading the speed of the induction motor

has to be controlled and so different types of controllers are used to obtain the desired speed

Various speed control techniques implemented by modern-age variable frequency drive are

mainly classified in the following three categories

1 Scalar Control (Vf Control)

2 Vector Control (Indirect Torque Control)

3 Direct Torque Control(DTC)

The aim is to control the Speed amp Torque of the induction motor using vector control

technique The dynamic modelling of induction motor is done in the SIMULINK using the

necessary equations The Vector control of the induction motor is also modelled in the

SIMULINK using the necessary equations FPGA is implemented in the system for the better

control of the induction motor

521 Scalar Control ( V f control)

Whenever for three phase induction motor three phase supply is given rotating magnetic

field is produced which rotates at synchronous speed given by

Ns = 120fP

In three phase induction motor emf is induced by induction similar to that of transformer

which is given by

E or V = 444∮KTf or ∮ = V444KTf

Where K is the winding constant T is the number of turns per phase and f is frequency Now

synchronous speed changes if we change frequency but with decrease in frequency flux will

increase and this change in value of flux causes saturation of rotor and stator cores which will

further cause increase in no load current of the motor Somaintaining of flux is important φ

constant and it is possible in the case of voltage change ie if we decrease frequency flux

increases but at the same time if we decrease voltage flux will also decease causing no

change in flux and hence it remains constant So here we are keeping the ratio of V f as

constant Hence its name is V f method For the speed control of three phase induction

motor by V f method supply variable voltage and frequency we need to supply by using

converter and inverter set which is obtained

53 Vector Control (Indirect Torque Control) of AC induction machine

In AC induction motors vector control technique is most popular method In reference

frames the electromagnetic torque of the smooth-air-gap machine expression is similar to the

torque of the separately excited DC machine Induction machines case the control is

performed in the reference frame (d-q) attached to the rotor flux space vector So the

implementation of vector control requires information on the modulus and the space angle of

the rotor flux space vector The stator currents of the induction machine are separated into

flux- and torque-producing components by transformation to the d-q coordinate system

whose direct axis (d) is aligned with the rotor flux space vector It means that the q-axis

component of the rotor flux space vector is always zero

Ѱrq = 0 and ddtѰrq = 0 ----- (1)

The rotor flux space vector calculation and transformation to the d-q coordinate system

require the highѰ computational power of a microcontroller a digital signal processor is

suitable for this task

54 PWM Control

In this method a fixed dc input voltage is given to the inverter and a controlled ac

output voltage is obtained by adjusting the on and off periods of the inverter components

Inverter employing PWM principle are called PWM Inverters PWM techniques are

characterized by constant amplitude pulses The width of these pulses is modulated to obtain

inverter output voltage control and to reduce its harmonic content The advantages possessed

by PWM technique are the output voltage control with this method lower order harmonics

can be eliminated or minimized along with its output voltage control As higher order

harmonics can be filtered easily the filtering requirements are minimized The main

disadvantage of this method is that the SCRs are expensive as they must possess low turn on

and turn off times This is the most popular method of controlling the output voltage of an

inverter in industrial applications

541 Types of PWM Techniques

There are several types of PWM techniques Sinusoidal PWM (SPWM) selected

harmonics eliminations (SHE) PWM Minimum ripple current PWM Space vector PWM

Hysteresis band current control PWM (HBPWM) Sinusoidal PWM with instantaneous

current control Sigma-delta modulation The hysteresis band current control PWM has been

used because of its simple implementation fast transient response direct limiting of device

peak current and practical insensitivity of dc link voltage ripple that permits a lower filter

capacitor

Fig 51 Pulse Width Modultion

CHAPTER ndash6

BLOCK DIAGRAM DESCRIPTION OF THE HARDWARE MODEL

Figure 6 represents the block diagram of hardware model it consists of various blocks

same of these blocks are as explained below

Generating the square wave pulses using the Spartan 3AN FPGA kit then connected to

the driver circuit and though this circuit input is given to the three phase inverter By using

single phase supply(auto transformer) given to the rectifiers as it coverts AC voltage to DC

voltage Hence the DC voltage is connected to the inverter circuit From the inverter circuit

connected to the 3 phase induction motor terminals to measure the various speed

Fig 6 Block diagram of Hardware Implementation

61 Power Supply

All electronic circuits works only in low DC voltage so a power supply unit is

required to provide the appropriate voltage supply for their proper functioning This

power supply unit consists of transformer rectifier filter and regulator AC voltage of

typically 230V RMS is connected to a transformer which step down the voltage to the

desired AC voltage

Fig 611 General Block of Power Supply Unit

Single phase AC supply is given to bridge rectifier It converts AC into DC The paper

introduces the operation of power supply circuits built using filters rectifiers and then

voltage regulators Starting with an AC voltage a steady DC voltage is obtained by rectifying

the AC voltage then filtering to a DC level and finally regulating to obtain a desired fixed

DC voltage

611 Transformer

A transformer is a static device in which electric power in one circuit is transferred

into electric power of same frequency in another circuit It can raise or lower the voltage in

the circuit but with a corresponding decrease or increase in current It works with the

principle of mutual induction In this project a step-down transformer is used to provide

necessary supply of 12 V for the electronic circuits

612 Rectifier

A rectifier is an electrical device composed of one or more diodes that converts

alternating current (AC) to direct current (DC) Here in this project rectifier is used to get dc

for inverter circuitConverter is a device which convert AC to DC since high voltage dc

supply is required at the input of the inverter

In the bridge rectifier the diodes may be of variable types like 1N4001 1N4003

1N4004 1N4005 IN4007 etc can be used But in this project 1N4007 is used because it can

withstand up to 1000V

613 Filters

In order to obtain a dc voltage of 0 Hz a low pass capacitive filter circuit is used where a

capacitor is connected at the rectifier output and a DC voltage without ripples is obtained across

it The filtered waveform is essentially a DC voltage with negligible ripples and it is ultimately

fed to the load

614 Regulators

The filtered output voltage from the capacitor is finally regulated The voltage regulator

is a device which maintains the output voltage constant irrespective of the change in supply

variations load variations and temperature changes Here a fixed voltage regulator namely

LM7805 is usedThe IC LM7805 is a +5V regulator which is used for microcontroller

62 Spartan 3AN FPGA

Some of the important features of spartan 3AN FPGA is as given below

8-Nos General purpose point LEDs

8-Nos of DIP switches (Digital inputs)

2-Nos of Push Button

USB port

PTB Connector

Communication protocols

Full Duplex UART (USB) and UART (RS 232)

Other Features

1Mb Internal flash

50 MHz crystal oscillator clock source

USBJTAG Interface Connector for parallel programming Spartan3AN FPGA

USBUART interface

RS 232 interface

The Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K

gate Spartan-3AN on-board IO devices and 1Mb Internal flash memory making it the

perfect platform to experiment with any new design

The FPGA has good processing speed than the other controllers Here we are using

SPARTAN 3AN stick board kit for the proposed model The FPGA will give the appropriate

pulses to control the power in the inverter output It is very easy to make the pulses

compared to other controllers

In the FPGA board operating voltage of 33V is possible with the pulses

Fig 621 Spartan 3AN stick Board Components placement

63 Three phase inverter

A power inverter is a device which converts DC current supply into AC supply in

the form of sinewaves The DC and AC converters more commonly known as inverteres

depending on the type of supply source and the related topology of the power circuit are

classified as voltage source inverters (VSIs) and current source inverters (CSIs)

Inverter converts DC power to AC power usually at a controlled frequency and

voltage and this power used for supplying power to an AC motor

These work by controlling a switching device like an IGBT MOSFET or Bipolar

transistor with an oscillator so that the control device is switched on and off between

conducting and not conducting

The three phase MOSFET inverter uses the DC voltage supplied from the three phase

bridge and the gate drive signals to produce a balanced three phase sinusoidal output

which drives the induction motor

The metal-oxide semiconductor field effect transistor (MOSFET) is a transistor used

for amplifying or switching electronic signals

Although the MOSFET is a four terminal device with source (S) gate (G) Drain (D)

and body (B) terminals the body (or subtrate) of the MOSFET often is connected to

the source terminal making it a three-terminal device like other field effect

transistors Because these two terminals are normally connected to each other (short

circuited) internally only three terminals appear in electrical

Whereas MOSFETs are used for low-current and high ndashfrequency switching

The MOSFET used here is IRFP250N the gate signal provide by driver circuit six

MOSFET are included in three phase inverter to drive AC motor

Fig 631 Hardware representation of 3-phase inverter FPGA kit with driver circuit

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 13: my report

31 Methodology

The speed control of the induction motor with FPGA implementation is developed

When the Induction motors connected to the main supply it runs at their rated speed

Therefore to vary the rotor speed of IM variable frequency drive is required In the

methodology it cannot be considered as a suitable design solution for cost sensitive or

industrial applications Field Programmable Gate Arrays (FPGA) is a Higher density

programmable device and is used to integrate large amounts of logic in a single IC

Implementation on FPGA is one of the method to handle the real time requirements and

disadvantages of conventional microcontroller By using FPGA made faster and efficient

solution to controller It involves the logic based PWM method to control the speed of three

phase induction motorThe matlab based speed control simulation is developed and checked

for the performance improvements As well as hardware part by using FPGA has done

CHAPTER ndash 4

DYNAMIC MODELING amp SIMULATION OF THE INDUCTION MOTOR DRIVE

Dynamic behaviour of induction motor can be expressed by voltage and torque which are

time varying The differential equation that belongs to dynamic analysis of induction motor

are so sophisticated Then with the change of variables the complexity of these equations can

be decreased through movement from poly phase winding to two phase winding(q-d) In

other words the stator and rotor variables like voltage current and flux linkages of an

induction machine are transferred to another reference model which remains stationary

The AC induction motor model is given by the space vector form of the voltage equations

The system model defined in the stationary αβ-coordinate system attached to the stator is

expressed by the following equations Ideally the motor model is symmetrical with a linear

magnetic circuit characteristic

The stator amp rotor voltage differential equations

usα=R si sα+ddt

ψsα (1)

usβ=Rs isβ+ddt

ψsβ (2)

urα=0=Rr irα+ddt

ψrα+ωrβ (3)

urβ=0=R rir β+ddt

ψrβminusωrβ (4)

Electromagnetic torque expressed by utilizing space vector quantities

T e=32

Pp(ψsα isβminusψsβ isα) (5)

where

αβ = Stator orthogonal coordinate system

u sαβ urαβ = Stator and Rotor voltages [V]

isαβ irαβ = Stator and Rotor currents [A]

Ψsαβ Ψrαβ = Stator and Rotor magnetic fluxes [Vs]

Rs Rr = Stator and Rotor phase resistance [Ohm]

ω ωs = Electrical rotor speed synchronous speed [rads]

pp = Number of pole pairs

Te = electromagnetic torque [Nm]

After transformation into d-q coordinates the motor model follows

usd=R sisd+ddt

ψsdminusωsψsd (6)

usq=Rs isq+ddt

ψ sqminusωsψ sq (7)

urd=0=Rr ird+ddt

ψrdminus(ωiquestiquest sminusω)ψrqiquest (8)

urq=0=Rr irq+ddt

ψrqminus(ωiquestiquest sminusω)ψrd iquest (9)

T e=32

Pp(ψsd isqminusψsq isd) (10)

41Equivalent circuit The Induction motor is normally modeled as Equivalent circuit The figure 41(a) amp 41(b) shows the typical equivalent circuits

Fig 41 (a) and 41(b) Equivalent circuit of IM

42 AC Motors

An AC motor is an electric motors that is driven by an alternating current It consists of

two basic parts an outside stationary stator having coils supplied with alternating current to

produce a rotating magnetic field and an inside rotor attached to the output shaft that is given

a torque by the rotating field

There are two recognized broad classes of AC electrical rotating machine synchronouns

motor(SM) which rotates exactly at the supply frequency or a submultiple of the supply

frequency The magnetic field on the rotor either generated by current delivered throgh slip

rings or by a permanent magnet

The second is the asynchronous or induction (IM) which runs slightly slower than the

supply frequency The magnetic field on the rotor of this motor is created by an induced

current

A third class is introduced here for clarity the Electronically Commutated Machine

(ECM) Such ECM machines have electronic commutation or switching as an inherent part of

the operation This is different to electronically producing a variable frequency sine wave

supply say by pulse width modulation (PWM) and using this instead of mains excitation

The AC induction motor is a rotating electric machine which is designed to operate

from a 3-phase source of alternating voltage The source is usually an inverter and is used for

variable speed drives that uses power switches to produce approximately sinusoidal voltages

and currents for magnitude and frequency control

Fig 42 3-phase AC Induction motor

A cross-section of a two-pole induction motor is shown in figure Slots in the inner

periphery of the stator accommodate 3-phase winding abc The turns in each winding are

distributed so that a current in a stator winding produces an approximately sinusoidally-

distributed flux density around the periphery of the air gap When three currents that are

sinusoidally varying in time but displaced in phase by 120deg from each other flow through

the three symmetrically-placed windings a radially-directed air gap flux density is produced

that is also sinusoidally distributed around the gap and rotates at an angular velocity equal to

the angular frequency ωs of the stator currents

The most common type of induction motor has a squirrel cage rotor in which

aluminum conductors or bars are cast into slots in the outer periphery of the rotor These

conductors or bars are shorted together at both ends of the rotor by cast aluminum end rings

which also can be shaped to act as fans In larger induction motors copper or copper-alloy

bars are used to fabricate the rotor cage winding

CHAPTER ndash 5

SPEED CONTROL OF INDUCTION MOTOR

51 Necessity of speed control

Every day engineers design products that employ induction motors Speed control of 3-

phase induction motors is desirable in most motor control applications since it not only

provides variable speed but also reduces energy consumption and audible noise Controlling

the speed has many advantages such as power efficiency reduced audible noise and better

control over the applications The speed control of induction motor is more important to

achieve maximum torque and efficiency

AC Induction motors are being applied today in a wider range of applications requiring

variable speed Generally variable speed drives for induction motor require both wide

operating range of speed and fast torque response regardless of load variations This leads to

more advanced control methods to meet the real demand

Difficulties using conventional methods of speed control

1 It depends on the accuracy of the mathematical model of the system

2 The expected performance is not met due to the load disturbance motor saturation and

thermal variations

3 Classical linear control shows good performance only at one operating speed

4 The coefficients must be chosen properly for acceptable results whereas choosing the

proper coefficient with varying parameters like set point is very difficult

To implement conventional control The model of the controlled system must be known The

usual method of computation of mathematical model of a system is difficult When there are

system parameter variations or environmental disturbance the behaviour of the system is not

satisfactory The classical controller designed for high performance increases the complexity

of the design and hence the cost

52 Speed Control Ttechniques

In the past DC motors were used extensively in areas where variable-speed operations

were required DC motors have certain disadvantages however which are due to the

existance of the commutator and the brushes which makes the motor more bulky costly and

heavy They are also robust and immune to heavy loading the speed of the induction motor

has to be controlled and so different types of controllers are used to obtain the desired speed

Various speed control techniques implemented by modern-age variable frequency drive are

mainly classified in the following three categories

1 Scalar Control (Vf Control)

2 Vector Control (Indirect Torque Control)

3 Direct Torque Control(DTC)

The aim is to control the Speed amp Torque of the induction motor using vector control

technique The dynamic modelling of induction motor is done in the SIMULINK using the

necessary equations The Vector control of the induction motor is also modelled in the

SIMULINK using the necessary equations FPGA is implemented in the system for the better

control of the induction motor

521 Scalar Control ( V f control)

Whenever for three phase induction motor three phase supply is given rotating magnetic

field is produced which rotates at synchronous speed given by

Ns = 120fP

In three phase induction motor emf is induced by induction similar to that of transformer

which is given by

E or V = 444∮KTf or ∮ = V444KTf

Where K is the winding constant T is the number of turns per phase and f is frequency Now

synchronous speed changes if we change frequency but with decrease in frequency flux will

increase and this change in value of flux causes saturation of rotor and stator cores which will

further cause increase in no load current of the motor Somaintaining of flux is important φ

constant and it is possible in the case of voltage change ie if we decrease frequency flux

increases but at the same time if we decrease voltage flux will also decease causing no

change in flux and hence it remains constant So here we are keeping the ratio of V f as

constant Hence its name is V f method For the speed control of three phase induction

motor by V f method supply variable voltage and frequency we need to supply by using

converter and inverter set which is obtained

53 Vector Control (Indirect Torque Control) of AC induction machine

In AC induction motors vector control technique is most popular method In reference

frames the electromagnetic torque of the smooth-air-gap machine expression is similar to the

torque of the separately excited DC machine Induction machines case the control is

performed in the reference frame (d-q) attached to the rotor flux space vector So the

implementation of vector control requires information on the modulus and the space angle of

the rotor flux space vector The stator currents of the induction machine are separated into

flux- and torque-producing components by transformation to the d-q coordinate system

whose direct axis (d) is aligned with the rotor flux space vector It means that the q-axis

component of the rotor flux space vector is always zero

Ѱrq = 0 and ddtѰrq = 0 ----- (1)

The rotor flux space vector calculation and transformation to the d-q coordinate system

require the highѰ computational power of a microcontroller a digital signal processor is

suitable for this task

54 PWM Control

In this method a fixed dc input voltage is given to the inverter and a controlled ac

output voltage is obtained by adjusting the on and off periods of the inverter components

Inverter employing PWM principle are called PWM Inverters PWM techniques are

characterized by constant amplitude pulses The width of these pulses is modulated to obtain

inverter output voltage control and to reduce its harmonic content The advantages possessed

by PWM technique are the output voltage control with this method lower order harmonics

can be eliminated or minimized along with its output voltage control As higher order

harmonics can be filtered easily the filtering requirements are minimized The main

disadvantage of this method is that the SCRs are expensive as they must possess low turn on

and turn off times This is the most popular method of controlling the output voltage of an

inverter in industrial applications

541 Types of PWM Techniques

There are several types of PWM techniques Sinusoidal PWM (SPWM) selected

harmonics eliminations (SHE) PWM Minimum ripple current PWM Space vector PWM

Hysteresis band current control PWM (HBPWM) Sinusoidal PWM with instantaneous

current control Sigma-delta modulation The hysteresis band current control PWM has been

used because of its simple implementation fast transient response direct limiting of device

peak current and practical insensitivity of dc link voltage ripple that permits a lower filter

capacitor

Fig 51 Pulse Width Modultion

CHAPTER ndash6

BLOCK DIAGRAM DESCRIPTION OF THE HARDWARE MODEL

Figure 6 represents the block diagram of hardware model it consists of various blocks

same of these blocks are as explained below

Generating the square wave pulses using the Spartan 3AN FPGA kit then connected to

the driver circuit and though this circuit input is given to the three phase inverter By using

single phase supply(auto transformer) given to the rectifiers as it coverts AC voltage to DC

voltage Hence the DC voltage is connected to the inverter circuit From the inverter circuit

connected to the 3 phase induction motor terminals to measure the various speed

Fig 6 Block diagram of Hardware Implementation

61 Power Supply

All electronic circuits works only in low DC voltage so a power supply unit is

required to provide the appropriate voltage supply for their proper functioning This

power supply unit consists of transformer rectifier filter and regulator AC voltage of

typically 230V RMS is connected to a transformer which step down the voltage to the

desired AC voltage

Fig 611 General Block of Power Supply Unit

Single phase AC supply is given to bridge rectifier It converts AC into DC The paper

introduces the operation of power supply circuits built using filters rectifiers and then

voltage regulators Starting with an AC voltage a steady DC voltage is obtained by rectifying

the AC voltage then filtering to a DC level and finally regulating to obtain a desired fixed

DC voltage

611 Transformer

A transformer is a static device in which electric power in one circuit is transferred

into electric power of same frequency in another circuit It can raise or lower the voltage in

the circuit but with a corresponding decrease or increase in current It works with the

principle of mutual induction In this project a step-down transformer is used to provide

necessary supply of 12 V for the electronic circuits

612 Rectifier

A rectifier is an electrical device composed of one or more diodes that converts

alternating current (AC) to direct current (DC) Here in this project rectifier is used to get dc

for inverter circuitConverter is a device which convert AC to DC since high voltage dc

supply is required at the input of the inverter

In the bridge rectifier the diodes may be of variable types like 1N4001 1N4003

1N4004 1N4005 IN4007 etc can be used But in this project 1N4007 is used because it can

withstand up to 1000V

613 Filters

In order to obtain a dc voltage of 0 Hz a low pass capacitive filter circuit is used where a

capacitor is connected at the rectifier output and a DC voltage without ripples is obtained across

it The filtered waveform is essentially a DC voltage with negligible ripples and it is ultimately

fed to the load

614 Regulators

The filtered output voltage from the capacitor is finally regulated The voltage regulator

is a device which maintains the output voltage constant irrespective of the change in supply

variations load variations and temperature changes Here a fixed voltage regulator namely

LM7805 is usedThe IC LM7805 is a +5V regulator which is used for microcontroller

62 Spartan 3AN FPGA

Some of the important features of spartan 3AN FPGA is as given below

8-Nos General purpose point LEDs

8-Nos of DIP switches (Digital inputs)

2-Nos of Push Button

USB port

PTB Connector

Communication protocols

Full Duplex UART (USB) and UART (RS 232)

Other Features

1Mb Internal flash

50 MHz crystal oscillator clock source

USBJTAG Interface Connector for parallel programming Spartan3AN FPGA

USBUART interface

RS 232 interface

The Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K

gate Spartan-3AN on-board IO devices and 1Mb Internal flash memory making it the

perfect platform to experiment with any new design

The FPGA has good processing speed than the other controllers Here we are using

SPARTAN 3AN stick board kit for the proposed model The FPGA will give the appropriate

pulses to control the power in the inverter output It is very easy to make the pulses

compared to other controllers

In the FPGA board operating voltage of 33V is possible with the pulses

Fig 621 Spartan 3AN stick Board Components placement

63 Three phase inverter

A power inverter is a device which converts DC current supply into AC supply in

the form of sinewaves The DC and AC converters more commonly known as inverteres

depending on the type of supply source and the related topology of the power circuit are

classified as voltage source inverters (VSIs) and current source inverters (CSIs)

Inverter converts DC power to AC power usually at a controlled frequency and

voltage and this power used for supplying power to an AC motor

These work by controlling a switching device like an IGBT MOSFET or Bipolar

transistor with an oscillator so that the control device is switched on and off between

conducting and not conducting

The three phase MOSFET inverter uses the DC voltage supplied from the three phase

bridge and the gate drive signals to produce a balanced three phase sinusoidal output

which drives the induction motor

The metal-oxide semiconductor field effect transistor (MOSFET) is a transistor used

for amplifying or switching electronic signals

Although the MOSFET is a four terminal device with source (S) gate (G) Drain (D)

and body (B) terminals the body (or subtrate) of the MOSFET often is connected to

the source terminal making it a three-terminal device like other field effect

transistors Because these two terminals are normally connected to each other (short

circuited) internally only three terminals appear in electrical

Whereas MOSFETs are used for low-current and high ndashfrequency switching

The MOSFET used here is IRFP250N the gate signal provide by driver circuit six

MOSFET are included in three phase inverter to drive AC motor

Fig 631 Hardware representation of 3-phase inverter FPGA kit with driver circuit

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 14: my report

urα=0=Rr irα+ddt

ψrα+ωrβ (3)

urβ=0=R rir β+ddt

ψrβminusωrβ (4)

Electromagnetic torque expressed by utilizing space vector quantities

T e=32

Pp(ψsα isβminusψsβ isα) (5)

where

αβ = Stator orthogonal coordinate system

u sαβ urαβ = Stator and Rotor voltages [V]

isαβ irαβ = Stator and Rotor currents [A]

Ψsαβ Ψrαβ = Stator and Rotor magnetic fluxes [Vs]

Rs Rr = Stator and Rotor phase resistance [Ohm]

ω ωs = Electrical rotor speed synchronous speed [rads]

pp = Number of pole pairs

Te = electromagnetic torque [Nm]

After transformation into d-q coordinates the motor model follows

usd=R sisd+ddt

ψsdminusωsψsd (6)

usq=Rs isq+ddt

ψ sqminusωsψ sq (7)

urd=0=Rr ird+ddt

ψrdminus(ωiquestiquest sminusω)ψrqiquest (8)

urq=0=Rr irq+ddt

ψrqminus(ωiquestiquest sminusω)ψrd iquest (9)

T e=32

Pp(ψsd isqminusψsq isd) (10)

41Equivalent circuit The Induction motor is normally modeled as Equivalent circuit The figure 41(a) amp 41(b) shows the typical equivalent circuits

Fig 41 (a) and 41(b) Equivalent circuit of IM

42 AC Motors

An AC motor is an electric motors that is driven by an alternating current It consists of

two basic parts an outside stationary stator having coils supplied with alternating current to

produce a rotating magnetic field and an inside rotor attached to the output shaft that is given

a torque by the rotating field

There are two recognized broad classes of AC electrical rotating machine synchronouns

motor(SM) which rotates exactly at the supply frequency or a submultiple of the supply

frequency The magnetic field on the rotor either generated by current delivered throgh slip

rings or by a permanent magnet

The second is the asynchronous or induction (IM) which runs slightly slower than the

supply frequency The magnetic field on the rotor of this motor is created by an induced

current

A third class is introduced here for clarity the Electronically Commutated Machine

(ECM) Such ECM machines have electronic commutation or switching as an inherent part of

the operation This is different to electronically producing a variable frequency sine wave

supply say by pulse width modulation (PWM) and using this instead of mains excitation

The AC induction motor is a rotating electric machine which is designed to operate

from a 3-phase source of alternating voltage The source is usually an inverter and is used for

variable speed drives that uses power switches to produce approximately sinusoidal voltages

and currents for magnitude and frequency control

Fig 42 3-phase AC Induction motor

A cross-section of a two-pole induction motor is shown in figure Slots in the inner

periphery of the stator accommodate 3-phase winding abc The turns in each winding are

distributed so that a current in a stator winding produces an approximately sinusoidally-

distributed flux density around the periphery of the air gap When three currents that are

sinusoidally varying in time but displaced in phase by 120deg from each other flow through

the three symmetrically-placed windings a radially-directed air gap flux density is produced

that is also sinusoidally distributed around the gap and rotates at an angular velocity equal to

the angular frequency ωs of the stator currents

The most common type of induction motor has a squirrel cage rotor in which

aluminum conductors or bars are cast into slots in the outer periphery of the rotor These

conductors or bars are shorted together at both ends of the rotor by cast aluminum end rings

which also can be shaped to act as fans In larger induction motors copper or copper-alloy

bars are used to fabricate the rotor cage winding

CHAPTER ndash 5

SPEED CONTROL OF INDUCTION MOTOR

51 Necessity of speed control

Every day engineers design products that employ induction motors Speed control of 3-

phase induction motors is desirable in most motor control applications since it not only

provides variable speed but also reduces energy consumption and audible noise Controlling

the speed has many advantages such as power efficiency reduced audible noise and better

control over the applications The speed control of induction motor is more important to

achieve maximum torque and efficiency

AC Induction motors are being applied today in a wider range of applications requiring

variable speed Generally variable speed drives for induction motor require both wide

operating range of speed and fast torque response regardless of load variations This leads to

more advanced control methods to meet the real demand

Difficulties using conventional methods of speed control

1 It depends on the accuracy of the mathematical model of the system

2 The expected performance is not met due to the load disturbance motor saturation and

thermal variations

3 Classical linear control shows good performance only at one operating speed

4 The coefficients must be chosen properly for acceptable results whereas choosing the

proper coefficient with varying parameters like set point is very difficult

To implement conventional control The model of the controlled system must be known The

usual method of computation of mathematical model of a system is difficult When there are

system parameter variations or environmental disturbance the behaviour of the system is not

satisfactory The classical controller designed for high performance increases the complexity

of the design and hence the cost

52 Speed Control Ttechniques

In the past DC motors were used extensively in areas where variable-speed operations

were required DC motors have certain disadvantages however which are due to the

existance of the commutator and the brushes which makes the motor more bulky costly and

heavy They are also robust and immune to heavy loading the speed of the induction motor

has to be controlled and so different types of controllers are used to obtain the desired speed

Various speed control techniques implemented by modern-age variable frequency drive are

mainly classified in the following three categories

1 Scalar Control (Vf Control)

2 Vector Control (Indirect Torque Control)

3 Direct Torque Control(DTC)

The aim is to control the Speed amp Torque of the induction motor using vector control

technique The dynamic modelling of induction motor is done in the SIMULINK using the

necessary equations The Vector control of the induction motor is also modelled in the

SIMULINK using the necessary equations FPGA is implemented in the system for the better

control of the induction motor

521 Scalar Control ( V f control)

Whenever for three phase induction motor three phase supply is given rotating magnetic

field is produced which rotates at synchronous speed given by

Ns = 120fP

In three phase induction motor emf is induced by induction similar to that of transformer

which is given by

E or V = 444∮KTf or ∮ = V444KTf

Where K is the winding constant T is the number of turns per phase and f is frequency Now

synchronous speed changes if we change frequency but with decrease in frequency flux will

increase and this change in value of flux causes saturation of rotor and stator cores which will

further cause increase in no load current of the motor Somaintaining of flux is important φ

constant and it is possible in the case of voltage change ie if we decrease frequency flux

increases but at the same time if we decrease voltage flux will also decease causing no

change in flux and hence it remains constant So here we are keeping the ratio of V f as

constant Hence its name is V f method For the speed control of three phase induction

motor by V f method supply variable voltage and frequency we need to supply by using

converter and inverter set which is obtained

53 Vector Control (Indirect Torque Control) of AC induction machine

In AC induction motors vector control technique is most popular method In reference

frames the electromagnetic torque of the smooth-air-gap machine expression is similar to the

torque of the separately excited DC machine Induction machines case the control is

performed in the reference frame (d-q) attached to the rotor flux space vector So the

implementation of vector control requires information on the modulus and the space angle of

the rotor flux space vector The stator currents of the induction machine are separated into

flux- and torque-producing components by transformation to the d-q coordinate system

whose direct axis (d) is aligned with the rotor flux space vector It means that the q-axis

component of the rotor flux space vector is always zero

Ѱrq = 0 and ddtѰrq = 0 ----- (1)

The rotor flux space vector calculation and transformation to the d-q coordinate system

require the highѰ computational power of a microcontroller a digital signal processor is

suitable for this task

54 PWM Control

In this method a fixed dc input voltage is given to the inverter and a controlled ac

output voltage is obtained by adjusting the on and off periods of the inverter components

Inverter employing PWM principle are called PWM Inverters PWM techniques are

characterized by constant amplitude pulses The width of these pulses is modulated to obtain

inverter output voltage control and to reduce its harmonic content The advantages possessed

by PWM technique are the output voltage control with this method lower order harmonics

can be eliminated or minimized along with its output voltage control As higher order

harmonics can be filtered easily the filtering requirements are minimized The main

disadvantage of this method is that the SCRs are expensive as they must possess low turn on

and turn off times This is the most popular method of controlling the output voltage of an

inverter in industrial applications

541 Types of PWM Techniques

There are several types of PWM techniques Sinusoidal PWM (SPWM) selected

harmonics eliminations (SHE) PWM Minimum ripple current PWM Space vector PWM

Hysteresis band current control PWM (HBPWM) Sinusoidal PWM with instantaneous

current control Sigma-delta modulation The hysteresis band current control PWM has been

used because of its simple implementation fast transient response direct limiting of device

peak current and practical insensitivity of dc link voltage ripple that permits a lower filter

capacitor

Fig 51 Pulse Width Modultion

CHAPTER ndash6

BLOCK DIAGRAM DESCRIPTION OF THE HARDWARE MODEL

Figure 6 represents the block diagram of hardware model it consists of various blocks

same of these blocks are as explained below

Generating the square wave pulses using the Spartan 3AN FPGA kit then connected to

the driver circuit and though this circuit input is given to the three phase inverter By using

single phase supply(auto transformer) given to the rectifiers as it coverts AC voltage to DC

voltage Hence the DC voltage is connected to the inverter circuit From the inverter circuit

connected to the 3 phase induction motor terminals to measure the various speed

Fig 6 Block diagram of Hardware Implementation

61 Power Supply

All electronic circuits works only in low DC voltage so a power supply unit is

required to provide the appropriate voltage supply for their proper functioning This

power supply unit consists of transformer rectifier filter and regulator AC voltage of

typically 230V RMS is connected to a transformer which step down the voltage to the

desired AC voltage

Fig 611 General Block of Power Supply Unit

Single phase AC supply is given to bridge rectifier It converts AC into DC The paper

introduces the operation of power supply circuits built using filters rectifiers and then

voltage regulators Starting with an AC voltage a steady DC voltage is obtained by rectifying

the AC voltage then filtering to a DC level and finally regulating to obtain a desired fixed

DC voltage

611 Transformer

A transformer is a static device in which electric power in one circuit is transferred

into electric power of same frequency in another circuit It can raise or lower the voltage in

the circuit but with a corresponding decrease or increase in current It works with the

principle of mutual induction In this project a step-down transformer is used to provide

necessary supply of 12 V for the electronic circuits

612 Rectifier

A rectifier is an electrical device composed of one or more diodes that converts

alternating current (AC) to direct current (DC) Here in this project rectifier is used to get dc

for inverter circuitConverter is a device which convert AC to DC since high voltage dc

supply is required at the input of the inverter

In the bridge rectifier the diodes may be of variable types like 1N4001 1N4003

1N4004 1N4005 IN4007 etc can be used But in this project 1N4007 is used because it can

withstand up to 1000V

613 Filters

In order to obtain a dc voltage of 0 Hz a low pass capacitive filter circuit is used where a

capacitor is connected at the rectifier output and a DC voltage without ripples is obtained across

it The filtered waveform is essentially a DC voltage with negligible ripples and it is ultimately

fed to the load

614 Regulators

The filtered output voltage from the capacitor is finally regulated The voltage regulator

is a device which maintains the output voltage constant irrespective of the change in supply

variations load variations and temperature changes Here a fixed voltage regulator namely

LM7805 is usedThe IC LM7805 is a +5V regulator which is used for microcontroller

62 Spartan 3AN FPGA

Some of the important features of spartan 3AN FPGA is as given below

8-Nos General purpose point LEDs

8-Nos of DIP switches (Digital inputs)

2-Nos of Push Button

USB port

PTB Connector

Communication protocols

Full Duplex UART (USB) and UART (RS 232)

Other Features

1Mb Internal flash

50 MHz crystal oscillator clock source

USBJTAG Interface Connector for parallel programming Spartan3AN FPGA

USBUART interface

RS 232 interface

The Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K

gate Spartan-3AN on-board IO devices and 1Mb Internal flash memory making it the

perfect platform to experiment with any new design

The FPGA has good processing speed than the other controllers Here we are using

SPARTAN 3AN stick board kit for the proposed model The FPGA will give the appropriate

pulses to control the power in the inverter output It is very easy to make the pulses

compared to other controllers

In the FPGA board operating voltage of 33V is possible with the pulses

Fig 621 Spartan 3AN stick Board Components placement

63 Three phase inverter

A power inverter is a device which converts DC current supply into AC supply in

the form of sinewaves The DC and AC converters more commonly known as inverteres

depending on the type of supply source and the related topology of the power circuit are

classified as voltage source inverters (VSIs) and current source inverters (CSIs)

Inverter converts DC power to AC power usually at a controlled frequency and

voltage and this power used for supplying power to an AC motor

These work by controlling a switching device like an IGBT MOSFET or Bipolar

transistor with an oscillator so that the control device is switched on and off between

conducting and not conducting

The three phase MOSFET inverter uses the DC voltage supplied from the three phase

bridge and the gate drive signals to produce a balanced three phase sinusoidal output

which drives the induction motor

The metal-oxide semiconductor field effect transistor (MOSFET) is a transistor used

for amplifying or switching electronic signals

Although the MOSFET is a four terminal device with source (S) gate (G) Drain (D)

and body (B) terminals the body (or subtrate) of the MOSFET often is connected to

the source terminal making it a three-terminal device like other field effect

transistors Because these two terminals are normally connected to each other (short

circuited) internally only three terminals appear in electrical

Whereas MOSFETs are used for low-current and high ndashfrequency switching

The MOSFET used here is IRFP250N the gate signal provide by driver circuit six

MOSFET are included in three phase inverter to drive AC motor

Fig 631 Hardware representation of 3-phase inverter FPGA kit with driver circuit

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 15: my report

Fig 41 (a) and 41(b) Equivalent circuit of IM

42 AC Motors

An AC motor is an electric motors that is driven by an alternating current It consists of

two basic parts an outside stationary stator having coils supplied with alternating current to

produce a rotating magnetic field and an inside rotor attached to the output shaft that is given

a torque by the rotating field

There are two recognized broad classes of AC electrical rotating machine synchronouns

motor(SM) which rotates exactly at the supply frequency or a submultiple of the supply

frequency The magnetic field on the rotor either generated by current delivered throgh slip

rings or by a permanent magnet

The second is the asynchronous or induction (IM) which runs slightly slower than the

supply frequency The magnetic field on the rotor of this motor is created by an induced

current

A third class is introduced here for clarity the Electronically Commutated Machine

(ECM) Such ECM machines have electronic commutation or switching as an inherent part of

the operation This is different to electronically producing a variable frequency sine wave

supply say by pulse width modulation (PWM) and using this instead of mains excitation

The AC induction motor is a rotating electric machine which is designed to operate

from a 3-phase source of alternating voltage The source is usually an inverter and is used for

variable speed drives that uses power switches to produce approximately sinusoidal voltages

and currents for magnitude and frequency control

Fig 42 3-phase AC Induction motor

A cross-section of a two-pole induction motor is shown in figure Slots in the inner

periphery of the stator accommodate 3-phase winding abc The turns in each winding are

distributed so that a current in a stator winding produces an approximately sinusoidally-

distributed flux density around the periphery of the air gap When three currents that are

sinusoidally varying in time but displaced in phase by 120deg from each other flow through

the three symmetrically-placed windings a radially-directed air gap flux density is produced

that is also sinusoidally distributed around the gap and rotates at an angular velocity equal to

the angular frequency ωs of the stator currents

The most common type of induction motor has a squirrel cage rotor in which

aluminum conductors or bars are cast into slots in the outer periphery of the rotor These

conductors or bars are shorted together at both ends of the rotor by cast aluminum end rings

which also can be shaped to act as fans In larger induction motors copper or copper-alloy

bars are used to fabricate the rotor cage winding

CHAPTER ndash 5

SPEED CONTROL OF INDUCTION MOTOR

51 Necessity of speed control

Every day engineers design products that employ induction motors Speed control of 3-

phase induction motors is desirable in most motor control applications since it not only

provides variable speed but also reduces energy consumption and audible noise Controlling

the speed has many advantages such as power efficiency reduced audible noise and better

control over the applications The speed control of induction motor is more important to

achieve maximum torque and efficiency

AC Induction motors are being applied today in a wider range of applications requiring

variable speed Generally variable speed drives for induction motor require both wide

operating range of speed and fast torque response regardless of load variations This leads to

more advanced control methods to meet the real demand

Difficulties using conventional methods of speed control

1 It depends on the accuracy of the mathematical model of the system

2 The expected performance is not met due to the load disturbance motor saturation and

thermal variations

3 Classical linear control shows good performance only at one operating speed

4 The coefficients must be chosen properly for acceptable results whereas choosing the

proper coefficient with varying parameters like set point is very difficult

To implement conventional control The model of the controlled system must be known The

usual method of computation of mathematical model of a system is difficult When there are

system parameter variations or environmental disturbance the behaviour of the system is not

satisfactory The classical controller designed for high performance increases the complexity

of the design and hence the cost

52 Speed Control Ttechniques

In the past DC motors were used extensively in areas where variable-speed operations

were required DC motors have certain disadvantages however which are due to the

existance of the commutator and the brushes which makes the motor more bulky costly and

heavy They are also robust and immune to heavy loading the speed of the induction motor

has to be controlled and so different types of controllers are used to obtain the desired speed

Various speed control techniques implemented by modern-age variable frequency drive are

mainly classified in the following three categories

1 Scalar Control (Vf Control)

2 Vector Control (Indirect Torque Control)

3 Direct Torque Control(DTC)

The aim is to control the Speed amp Torque of the induction motor using vector control

technique The dynamic modelling of induction motor is done in the SIMULINK using the

necessary equations The Vector control of the induction motor is also modelled in the

SIMULINK using the necessary equations FPGA is implemented in the system for the better

control of the induction motor

521 Scalar Control ( V f control)

Whenever for three phase induction motor three phase supply is given rotating magnetic

field is produced which rotates at synchronous speed given by

Ns = 120fP

In three phase induction motor emf is induced by induction similar to that of transformer

which is given by

E or V = 444∮KTf or ∮ = V444KTf

Where K is the winding constant T is the number of turns per phase and f is frequency Now

synchronous speed changes if we change frequency but with decrease in frequency flux will

increase and this change in value of flux causes saturation of rotor and stator cores which will

further cause increase in no load current of the motor Somaintaining of flux is important φ

constant and it is possible in the case of voltage change ie if we decrease frequency flux

increases but at the same time if we decrease voltage flux will also decease causing no

change in flux and hence it remains constant So here we are keeping the ratio of V f as

constant Hence its name is V f method For the speed control of three phase induction

motor by V f method supply variable voltage and frequency we need to supply by using

converter and inverter set which is obtained

53 Vector Control (Indirect Torque Control) of AC induction machine

In AC induction motors vector control technique is most popular method In reference

frames the electromagnetic torque of the smooth-air-gap machine expression is similar to the

torque of the separately excited DC machine Induction machines case the control is

performed in the reference frame (d-q) attached to the rotor flux space vector So the

implementation of vector control requires information on the modulus and the space angle of

the rotor flux space vector The stator currents of the induction machine are separated into

flux- and torque-producing components by transformation to the d-q coordinate system

whose direct axis (d) is aligned with the rotor flux space vector It means that the q-axis

component of the rotor flux space vector is always zero

Ѱrq = 0 and ddtѰrq = 0 ----- (1)

The rotor flux space vector calculation and transformation to the d-q coordinate system

require the highѰ computational power of a microcontroller a digital signal processor is

suitable for this task

54 PWM Control

In this method a fixed dc input voltage is given to the inverter and a controlled ac

output voltage is obtained by adjusting the on and off periods of the inverter components

Inverter employing PWM principle are called PWM Inverters PWM techniques are

characterized by constant amplitude pulses The width of these pulses is modulated to obtain

inverter output voltage control and to reduce its harmonic content The advantages possessed

by PWM technique are the output voltage control with this method lower order harmonics

can be eliminated or minimized along with its output voltage control As higher order

harmonics can be filtered easily the filtering requirements are minimized The main

disadvantage of this method is that the SCRs are expensive as they must possess low turn on

and turn off times This is the most popular method of controlling the output voltage of an

inverter in industrial applications

541 Types of PWM Techniques

There are several types of PWM techniques Sinusoidal PWM (SPWM) selected

harmonics eliminations (SHE) PWM Minimum ripple current PWM Space vector PWM

Hysteresis band current control PWM (HBPWM) Sinusoidal PWM with instantaneous

current control Sigma-delta modulation The hysteresis band current control PWM has been

used because of its simple implementation fast transient response direct limiting of device

peak current and practical insensitivity of dc link voltage ripple that permits a lower filter

capacitor

Fig 51 Pulse Width Modultion

CHAPTER ndash6

BLOCK DIAGRAM DESCRIPTION OF THE HARDWARE MODEL

Figure 6 represents the block diagram of hardware model it consists of various blocks

same of these blocks are as explained below

Generating the square wave pulses using the Spartan 3AN FPGA kit then connected to

the driver circuit and though this circuit input is given to the three phase inverter By using

single phase supply(auto transformer) given to the rectifiers as it coverts AC voltage to DC

voltage Hence the DC voltage is connected to the inverter circuit From the inverter circuit

connected to the 3 phase induction motor terminals to measure the various speed

Fig 6 Block diagram of Hardware Implementation

61 Power Supply

All electronic circuits works only in low DC voltage so a power supply unit is

required to provide the appropriate voltage supply for their proper functioning This

power supply unit consists of transformer rectifier filter and regulator AC voltage of

typically 230V RMS is connected to a transformer which step down the voltage to the

desired AC voltage

Fig 611 General Block of Power Supply Unit

Single phase AC supply is given to bridge rectifier It converts AC into DC The paper

introduces the operation of power supply circuits built using filters rectifiers and then

voltage regulators Starting with an AC voltage a steady DC voltage is obtained by rectifying

the AC voltage then filtering to a DC level and finally regulating to obtain a desired fixed

DC voltage

611 Transformer

A transformer is a static device in which electric power in one circuit is transferred

into electric power of same frequency in another circuit It can raise or lower the voltage in

the circuit but with a corresponding decrease or increase in current It works with the

principle of mutual induction In this project a step-down transformer is used to provide

necessary supply of 12 V for the electronic circuits

612 Rectifier

A rectifier is an electrical device composed of one or more diodes that converts

alternating current (AC) to direct current (DC) Here in this project rectifier is used to get dc

for inverter circuitConverter is a device which convert AC to DC since high voltage dc

supply is required at the input of the inverter

In the bridge rectifier the diodes may be of variable types like 1N4001 1N4003

1N4004 1N4005 IN4007 etc can be used But in this project 1N4007 is used because it can

withstand up to 1000V

613 Filters

In order to obtain a dc voltage of 0 Hz a low pass capacitive filter circuit is used where a

capacitor is connected at the rectifier output and a DC voltage without ripples is obtained across

it The filtered waveform is essentially a DC voltage with negligible ripples and it is ultimately

fed to the load

614 Regulators

The filtered output voltage from the capacitor is finally regulated The voltage regulator

is a device which maintains the output voltage constant irrespective of the change in supply

variations load variations and temperature changes Here a fixed voltage regulator namely

LM7805 is usedThe IC LM7805 is a +5V regulator which is used for microcontroller

62 Spartan 3AN FPGA

Some of the important features of spartan 3AN FPGA is as given below

8-Nos General purpose point LEDs

8-Nos of DIP switches (Digital inputs)

2-Nos of Push Button

USB port

PTB Connector

Communication protocols

Full Duplex UART (USB) and UART (RS 232)

Other Features

1Mb Internal flash

50 MHz crystal oscillator clock source

USBJTAG Interface Connector for parallel programming Spartan3AN FPGA

USBUART interface

RS 232 interface

The Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K

gate Spartan-3AN on-board IO devices and 1Mb Internal flash memory making it the

perfect platform to experiment with any new design

The FPGA has good processing speed than the other controllers Here we are using

SPARTAN 3AN stick board kit for the proposed model The FPGA will give the appropriate

pulses to control the power in the inverter output It is very easy to make the pulses

compared to other controllers

In the FPGA board operating voltage of 33V is possible with the pulses

Fig 621 Spartan 3AN stick Board Components placement

63 Three phase inverter

A power inverter is a device which converts DC current supply into AC supply in

the form of sinewaves The DC and AC converters more commonly known as inverteres

depending on the type of supply source and the related topology of the power circuit are

classified as voltage source inverters (VSIs) and current source inverters (CSIs)

Inverter converts DC power to AC power usually at a controlled frequency and

voltage and this power used for supplying power to an AC motor

These work by controlling a switching device like an IGBT MOSFET or Bipolar

transistor with an oscillator so that the control device is switched on and off between

conducting and not conducting

The three phase MOSFET inverter uses the DC voltage supplied from the three phase

bridge and the gate drive signals to produce a balanced three phase sinusoidal output

which drives the induction motor

The metal-oxide semiconductor field effect transistor (MOSFET) is a transistor used

for amplifying or switching electronic signals

Although the MOSFET is a four terminal device with source (S) gate (G) Drain (D)

and body (B) terminals the body (or subtrate) of the MOSFET often is connected to

the source terminal making it a three-terminal device like other field effect

transistors Because these two terminals are normally connected to each other (short

circuited) internally only three terminals appear in electrical

Whereas MOSFETs are used for low-current and high ndashfrequency switching

The MOSFET used here is IRFP250N the gate signal provide by driver circuit six

MOSFET are included in three phase inverter to drive AC motor

Fig 631 Hardware representation of 3-phase inverter FPGA kit with driver circuit

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 16: my report

the operation This is different to electronically producing a variable frequency sine wave

supply say by pulse width modulation (PWM) and using this instead of mains excitation

The AC induction motor is a rotating electric machine which is designed to operate

from a 3-phase source of alternating voltage The source is usually an inverter and is used for

variable speed drives that uses power switches to produce approximately sinusoidal voltages

and currents for magnitude and frequency control

Fig 42 3-phase AC Induction motor

A cross-section of a two-pole induction motor is shown in figure Slots in the inner

periphery of the stator accommodate 3-phase winding abc The turns in each winding are

distributed so that a current in a stator winding produces an approximately sinusoidally-

distributed flux density around the periphery of the air gap When three currents that are

sinusoidally varying in time but displaced in phase by 120deg from each other flow through

the three symmetrically-placed windings a radially-directed air gap flux density is produced

that is also sinusoidally distributed around the gap and rotates at an angular velocity equal to

the angular frequency ωs of the stator currents

The most common type of induction motor has a squirrel cage rotor in which

aluminum conductors or bars are cast into slots in the outer periphery of the rotor These

conductors or bars are shorted together at both ends of the rotor by cast aluminum end rings

which also can be shaped to act as fans In larger induction motors copper or copper-alloy

bars are used to fabricate the rotor cage winding

CHAPTER ndash 5

SPEED CONTROL OF INDUCTION MOTOR

51 Necessity of speed control

Every day engineers design products that employ induction motors Speed control of 3-

phase induction motors is desirable in most motor control applications since it not only

provides variable speed but also reduces energy consumption and audible noise Controlling

the speed has many advantages such as power efficiency reduced audible noise and better

control over the applications The speed control of induction motor is more important to

achieve maximum torque and efficiency

AC Induction motors are being applied today in a wider range of applications requiring

variable speed Generally variable speed drives for induction motor require both wide

operating range of speed and fast torque response regardless of load variations This leads to

more advanced control methods to meet the real demand

Difficulties using conventional methods of speed control

1 It depends on the accuracy of the mathematical model of the system

2 The expected performance is not met due to the load disturbance motor saturation and

thermal variations

3 Classical linear control shows good performance only at one operating speed

4 The coefficients must be chosen properly for acceptable results whereas choosing the

proper coefficient with varying parameters like set point is very difficult

To implement conventional control The model of the controlled system must be known The

usual method of computation of mathematical model of a system is difficult When there are

system parameter variations or environmental disturbance the behaviour of the system is not

satisfactory The classical controller designed for high performance increases the complexity

of the design and hence the cost

52 Speed Control Ttechniques

In the past DC motors were used extensively in areas where variable-speed operations

were required DC motors have certain disadvantages however which are due to the

existance of the commutator and the brushes which makes the motor more bulky costly and

heavy They are also robust and immune to heavy loading the speed of the induction motor

has to be controlled and so different types of controllers are used to obtain the desired speed

Various speed control techniques implemented by modern-age variable frequency drive are

mainly classified in the following three categories

1 Scalar Control (Vf Control)

2 Vector Control (Indirect Torque Control)

3 Direct Torque Control(DTC)

The aim is to control the Speed amp Torque of the induction motor using vector control

technique The dynamic modelling of induction motor is done in the SIMULINK using the

necessary equations The Vector control of the induction motor is also modelled in the

SIMULINK using the necessary equations FPGA is implemented in the system for the better

control of the induction motor

521 Scalar Control ( V f control)

Whenever for three phase induction motor three phase supply is given rotating magnetic

field is produced which rotates at synchronous speed given by

Ns = 120fP

In three phase induction motor emf is induced by induction similar to that of transformer

which is given by

E or V = 444∮KTf or ∮ = V444KTf

Where K is the winding constant T is the number of turns per phase and f is frequency Now

synchronous speed changes if we change frequency but with decrease in frequency flux will

increase and this change in value of flux causes saturation of rotor and stator cores which will

further cause increase in no load current of the motor Somaintaining of flux is important φ

constant and it is possible in the case of voltage change ie if we decrease frequency flux

increases but at the same time if we decrease voltage flux will also decease causing no

change in flux and hence it remains constant So here we are keeping the ratio of V f as

constant Hence its name is V f method For the speed control of three phase induction

motor by V f method supply variable voltage and frequency we need to supply by using

converter and inverter set which is obtained

53 Vector Control (Indirect Torque Control) of AC induction machine

In AC induction motors vector control technique is most popular method In reference

frames the electromagnetic torque of the smooth-air-gap machine expression is similar to the

torque of the separately excited DC machine Induction machines case the control is

performed in the reference frame (d-q) attached to the rotor flux space vector So the

implementation of vector control requires information on the modulus and the space angle of

the rotor flux space vector The stator currents of the induction machine are separated into

flux- and torque-producing components by transformation to the d-q coordinate system

whose direct axis (d) is aligned with the rotor flux space vector It means that the q-axis

component of the rotor flux space vector is always zero

Ѱrq = 0 and ddtѰrq = 0 ----- (1)

The rotor flux space vector calculation and transformation to the d-q coordinate system

require the highѰ computational power of a microcontroller a digital signal processor is

suitable for this task

54 PWM Control

In this method a fixed dc input voltage is given to the inverter and a controlled ac

output voltage is obtained by adjusting the on and off periods of the inverter components

Inverter employing PWM principle are called PWM Inverters PWM techniques are

characterized by constant amplitude pulses The width of these pulses is modulated to obtain

inverter output voltage control and to reduce its harmonic content The advantages possessed

by PWM technique are the output voltage control with this method lower order harmonics

can be eliminated or minimized along with its output voltage control As higher order

harmonics can be filtered easily the filtering requirements are minimized The main

disadvantage of this method is that the SCRs are expensive as they must possess low turn on

and turn off times This is the most popular method of controlling the output voltage of an

inverter in industrial applications

541 Types of PWM Techniques

There are several types of PWM techniques Sinusoidal PWM (SPWM) selected

harmonics eliminations (SHE) PWM Minimum ripple current PWM Space vector PWM

Hysteresis band current control PWM (HBPWM) Sinusoidal PWM with instantaneous

current control Sigma-delta modulation The hysteresis band current control PWM has been

used because of its simple implementation fast transient response direct limiting of device

peak current and practical insensitivity of dc link voltage ripple that permits a lower filter

capacitor

Fig 51 Pulse Width Modultion

CHAPTER ndash6

BLOCK DIAGRAM DESCRIPTION OF THE HARDWARE MODEL

Figure 6 represents the block diagram of hardware model it consists of various blocks

same of these blocks are as explained below

Generating the square wave pulses using the Spartan 3AN FPGA kit then connected to

the driver circuit and though this circuit input is given to the three phase inverter By using

single phase supply(auto transformer) given to the rectifiers as it coverts AC voltage to DC

voltage Hence the DC voltage is connected to the inverter circuit From the inverter circuit

connected to the 3 phase induction motor terminals to measure the various speed

Fig 6 Block diagram of Hardware Implementation

61 Power Supply

All electronic circuits works only in low DC voltage so a power supply unit is

required to provide the appropriate voltage supply for their proper functioning This

power supply unit consists of transformer rectifier filter and regulator AC voltage of

typically 230V RMS is connected to a transformer which step down the voltage to the

desired AC voltage

Fig 611 General Block of Power Supply Unit

Single phase AC supply is given to bridge rectifier It converts AC into DC The paper

introduces the operation of power supply circuits built using filters rectifiers and then

voltage regulators Starting with an AC voltage a steady DC voltage is obtained by rectifying

the AC voltage then filtering to a DC level and finally regulating to obtain a desired fixed

DC voltage

611 Transformer

A transformer is a static device in which electric power in one circuit is transferred

into electric power of same frequency in another circuit It can raise or lower the voltage in

the circuit but with a corresponding decrease or increase in current It works with the

principle of mutual induction In this project a step-down transformer is used to provide

necessary supply of 12 V for the electronic circuits

612 Rectifier

A rectifier is an electrical device composed of one or more diodes that converts

alternating current (AC) to direct current (DC) Here in this project rectifier is used to get dc

for inverter circuitConverter is a device which convert AC to DC since high voltage dc

supply is required at the input of the inverter

In the bridge rectifier the diodes may be of variable types like 1N4001 1N4003

1N4004 1N4005 IN4007 etc can be used But in this project 1N4007 is used because it can

withstand up to 1000V

613 Filters

In order to obtain a dc voltage of 0 Hz a low pass capacitive filter circuit is used where a

capacitor is connected at the rectifier output and a DC voltage without ripples is obtained across

it The filtered waveform is essentially a DC voltage with negligible ripples and it is ultimately

fed to the load

614 Regulators

The filtered output voltage from the capacitor is finally regulated The voltage regulator

is a device which maintains the output voltage constant irrespective of the change in supply

variations load variations and temperature changes Here a fixed voltage regulator namely

LM7805 is usedThe IC LM7805 is a +5V regulator which is used for microcontroller

62 Spartan 3AN FPGA

Some of the important features of spartan 3AN FPGA is as given below

8-Nos General purpose point LEDs

8-Nos of DIP switches (Digital inputs)

2-Nos of Push Button

USB port

PTB Connector

Communication protocols

Full Duplex UART (USB) and UART (RS 232)

Other Features

1Mb Internal flash

50 MHz crystal oscillator clock source

USBJTAG Interface Connector for parallel programming Spartan3AN FPGA

USBUART interface

RS 232 interface

The Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K

gate Spartan-3AN on-board IO devices and 1Mb Internal flash memory making it the

perfect platform to experiment with any new design

The FPGA has good processing speed than the other controllers Here we are using

SPARTAN 3AN stick board kit for the proposed model The FPGA will give the appropriate

pulses to control the power in the inverter output It is very easy to make the pulses

compared to other controllers

In the FPGA board operating voltage of 33V is possible with the pulses

Fig 621 Spartan 3AN stick Board Components placement

63 Three phase inverter

A power inverter is a device which converts DC current supply into AC supply in

the form of sinewaves The DC and AC converters more commonly known as inverteres

depending on the type of supply source and the related topology of the power circuit are

classified as voltage source inverters (VSIs) and current source inverters (CSIs)

Inverter converts DC power to AC power usually at a controlled frequency and

voltage and this power used for supplying power to an AC motor

These work by controlling a switching device like an IGBT MOSFET or Bipolar

transistor with an oscillator so that the control device is switched on and off between

conducting and not conducting

The three phase MOSFET inverter uses the DC voltage supplied from the three phase

bridge and the gate drive signals to produce a balanced three phase sinusoidal output

which drives the induction motor

The metal-oxide semiconductor field effect transistor (MOSFET) is a transistor used

for amplifying or switching electronic signals

Although the MOSFET is a four terminal device with source (S) gate (G) Drain (D)

and body (B) terminals the body (or subtrate) of the MOSFET often is connected to

the source terminal making it a three-terminal device like other field effect

transistors Because these two terminals are normally connected to each other (short

circuited) internally only three terminals appear in electrical

Whereas MOSFETs are used for low-current and high ndashfrequency switching

The MOSFET used here is IRFP250N the gate signal provide by driver circuit six

MOSFET are included in three phase inverter to drive AC motor

Fig 631 Hardware representation of 3-phase inverter FPGA kit with driver circuit

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 17: my report

CHAPTER ndash 5

SPEED CONTROL OF INDUCTION MOTOR

51 Necessity of speed control

Every day engineers design products that employ induction motors Speed control of 3-

phase induction motors is desirable in most motor control applications since it not only

provides variable speed but also reduces energy consumption and audible noise Controlling

the speed has many advantages such as power efficiency reduced audible noise and better

control over the applications The speed control of induction motor is more important to

achieve maximum torque and efficiency

AC Induction motors are being applied today in a wider range of applications requiring

variable speed Generally variable speed drives for induction motor require both wide

operating range of speed and fast torque response regardless of load variations This leads to

more advanced control methods to meet the real demand

Difficulties using conventional methods of speed control

1 It depends on the accuracy of the mathematical model of the system

2 The expected performance is not met due to the load disturbance motor saturation and

thermal variations

3 Classical linear control shows good performance only at one operating speed

4 The coefficients must be chosen properly for acceptable results whereas choosing the

proper coefficient with varying parameters like set point is very difficult

To implement conventional control The model of the controlled system must be known The

usual method of computation of mathematical model of a system is difficult When there are

system parameter variations or environmental disturbance the behaviour of the system is not

satisfactory The classical controller designed for high performance increases the complexity

of the design and hence the cost

52 Speed Control Ttechniques

In the past DC motors were used extensively in areas where variable-speed operations

were required DC motors have certain disadvantages however which are due to the

existance of the commutator and the brushes which makes the motor more bulky costly and

heavy They are also robust and immune to heavy loading the speed of the induction motor

has to be controlled and so different types of controllers are used to obtain the desired speed

Various speed control techniques implemented by modern-age variable frequency drive are

mainly classified in the following three categories

1 Scalar Control (Vf Control)

2 Vector Control (Indirect Torque Control)

3 Direct Torque Control(DTC)

The aim is to control the Speed amp Torque of the induction motor using vector control

technique The dynamic modelling of induction motor is done in the SIMULINK using the

necessary equations The Vector control of the induction motor is also modelled in the

SIMULINK using the necessary equations FPGA is implemented in the system for the better

control of the induction motor

521 Scalar Control ( V f control)

Whenever for three phase induction motor three phase supply is given rotating magnetic

field is produced which rotates at synchronous speed given by

Ns = 120fP

In three phase induction motor emf is induced by induction similar to that of transformer

which is given by

E or V = 444∮KTf or ∮ = V444KTf

Where K is the winding constant T is the number of turns per phase and f is frequency Now

synchronous speed changes if we change frequency but with decrease in frequency flux will

increase and this change in value of flux causes saturation of rotor and stator cores which will

further cause increase in no load current of the motor Somaintaining of flux is important φ

constant and it is possible in the case of voltage change ie if we decrease frequency flux

increases but at the same time if we decrease voltage flux will also decease causing no

change in flux and hence it remains constant So here we are keeping the ratio of V f as

constant Hence its name is V f method For the speed control of three phase induction

motor by V f method supply variable voltage and frequency we need to supply by using

converter and inverter set which is obtained

53 Vector Control (Indirect Torque Control) of AC induction machine

In AC induction motors vector control technique is most popular method In reference

frames the electromagnetic torque of the smooth-air-gap machine expression is similar to the

torque of the separately excited DC machine Induction machines case the control is

performed in the reference frame (d-q) attached to the rotor flux space vector So the

implementation of vector control requires information on the modulus and the space angle of

the rotor flux space vector The stator currents of the induction machine are separated into

flux- and torque-producing components by transformation to the d-q coordinate system

whose direct axis (d) is aligned with the rotor flux space vector It means that the q-axis

component of the rotor flux space vector is always zero

Ѱrq = 0 and ddtѰrq = 0 ----- (1)

The rotor flux space vector calculation and transformation to the d-q coordinate system

require the highѰ computational power of a microcontroller a digital signal processor is

suitable for this task

54 PWM Control

In this method a fixed dc input voltage is given to the inverter and a controlled ac

output voltage is obtained by adjusting the on and off periods of the inverter components

Inverter employing PWM principle are called PWM Inverters PWM techniques are

characterized by constant amplitude pulses The width of these pulses is modulated to obtain

inverter output voltage control and to reduce its harmonic content The advantages possessed

by PWM technique are the output voltage control with this method lower order harmonics

can be eliminated or minimized along with its output voltage control As higher order

harmonics can be filtered easily the filtering requirements are minimized The main

disadvantage of this method is that the SCRs are expensive as they must possess low turn on

and turn off times This is the most popular method of controlling the output voltage of an

inverter in industrial applications

541 Types of PWM Techniques

There are several types of PWM techniques Sinusoidal PWM (SPWM) selected

harmonics eliminations (SHE) PWM Minimum ripple current PWM Space vector PWM

Hysteresis band current control PWM (HBPWM) Sinusoidal PWM with instantaneous

current control Sigma-delta modulation The hysteresis band current control PWM has been

used because of its simple implementation fast transient response direct limiting of device

peak current and practical insensitivity of dc link voltage ripple that permits a lower filter

capacitor

Fig 51 Pulse Width Modultion

CHAPTER ndash6

BLOCK DIAGRAM DESCRIPTION OF THE HARDWARE MODEL

Figure 6 represents the block diagram of hardware model it consists of various blocks

same of these blocks are as explained below

Generating the square wave pulses using the Spartan 3AN FPGA kit then connected to

the driver circuit and though this circuit input is given to the three phase inverter By using

single phase supply(auto transformer) given to the rectifiers as it coverts AC voltage to DC

voltage Hence the DC voltage is connected to the inverter circuit From the inverter circuit

connected to the 3 phase induction motor terminals to measure the various speed

Fig 6 Block diagram of Hardware Implementation

61 Power Supply

All electronic circuits works only in low DC voltage so a power supply unit is

required to provide the appropriate voltage supply for their proper functioning This

power supply unit consists of transformer rectifier filter and regulator AC voltage of

typically 230V RMS is connected to a transformer which step down the voltage to the

desired AC voltage

Fig 611 General Block of Power Supply Unit

Single phase AC supply is given to bridge rectifier It converts AC into DC The paper

introduces the operation of power supply circuits built using filters rectifiers and then

voltage regulators Starting with an AC voltage a steady DC voltage is obtained by rectifying

the AC voltage then filtering to a DC level and finally regulating to obtain a desired fixed

DC voltage

611 Transformer

A transformer is a static device in which electric power in one circuit is transferred

into electric power of same frequency in another circuit It can raise or lower the voltage in

the circuit but with a corresponding decrease or increase in current It works with the

principle of mutual induction In this project a step-down transformer is used to provide

necessary supply of 12 V for the electronic circuits

612 Rectifier

A rectifier is an electrical device composed of one or more diodes that converts

alternating current (AC) to direct current (DC) Here in this project rectifier is used to get dc

for inverter circuitConverter is a device which convert AC to DC since high voltage dc

supply is required at the input of the inverter

In the bridge rectifier the diodes may be of variable types like 1N4001 1N4003

1N4004 1N4005 IN4007 etc can be used But in this project 1N4007 is used because it can

withstand up to 1000V

613 Filters

In order to obtain a dc voltage of 0 Hz a low pass capacitive filter circuit is used where a

capacitor is connected at the rectifier output and a DC voltage without ripples is obtained across

it The filtered waveform is essentially a DC voltage with negligible ripples and it is ultimately

fed to the load

614 Regulators

The filtered output voltage from the capacitor is finally regulated The voltage regulator

is a device which maintains the output voltage constant irrespective of the change in supply

variations load variations and temperature changes Here a fixed voltage regulator namely

LM7805 is usedThe IC LM7805 is a +5V regulator which is used for microcontroller

62 Spartan 3AN FPGA

Some of the important features of spartan 3AN FPGA is as given below

8-Nos General purpose point LEDs

8-Nos of DIP switches (Digital inputs)

2-Nos of Push Button

USB port

PTB Connector

Communication protocols

Full Duplex UART (USB) and UART (RS 232)

Other Features

1Mb Internal flash

50 MHz crystal oscillator clock source

USBJTAG Interface Connector for parallel programming Spartan3AN FPGA

USBUART interface

RS 232 interface

The Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K

gate Spartan-3AN on-board IO devices and 1Mb Internal flash memory making it the

perfect platform to experiment with any new design

The FPGA has good processing speed than the other controllers Here we are using

SPARTAN 3AN stick board kit for the proposed model The FPGA will give the appropriate

pulses to control the power in the inverter output It is very easy to make the pulses

compared to other controllers

In the FPGA board operating voltage of 33V is possible with the pulses

Fig 621 Spartan 3AN stick Board Components placement

63 Three phase inverter

A power inverter is a device which converts DC current supply into AC supply in

the form of sinewaves The DC and AC converters more commonly known as inverteres

depending on the type of supply source and the related topology of the power circuit are

classified as voltage source inverters (VSIs) and current source inverters (CSIs)

Inverter converts DC power to AC power usually at a controlled frequency and

voltage and this power used for supplying power to an AC motor

These work by controlling a switching device like an IGBT MOSFET or Bipolar

transistor with an oscillator so that the control device is switched on and off between

conducting and not conducting

The three phase MOSFET inverter uses the DC voltage supplied from the three phase

bridge and the gate drive signals to produce a balanced three phase sinusoidal output

which drives the induction motor

The metal-oxide semiconductor field effect transistor (MOSFET) is a transistor used

for amplifying or switching electronic signals

Although the MOSFET is a four terminal device with source (S) gate (G) Drain (D)

and body (B) terminals the body (or subtrate) of the MOSFET often is connected to

the source terminal making it a three-terminal device like other field effect

transistors Because these two terminals are normally connected to each other (short

circuited) internally only three terminals appear in electrical

Whereas MOSFETs are used for low-current and high ndashfrequency switching

The MOSFET used here is IRFP250N the gate signal provide by driver circuit six

MOSFET are included in three phase inverter to drive AC motor

Fig 631 Hardware representation of 3-phase inverter FPGA kit with driver circuit

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 18: my report

52 Speed Control Ttechniques

In the past DC motors were used extensively in areas where variable-speed operations

were required DC motors have certain disadvantages however which are due to the

existance of the commutator and the brushes which makes the motor more bulky costly and

heavy They are also robust and immune to heavy loading the speed of the induction motor

has to be controlled and so different types of controllers are used to obtain the desired speed

Various speed control techniques implemented by modern-age variable frequency drive are

mainly classified in the following three categories

1 Scalar Control (Vf Control)

2 Vector Control (Indirect Torque Control)

3 Direct Torque Control(DTC)

The aim is to control the Speed amp Torque of the induction motor using vector control

technique The dynamic modelling of induction motor is done in the SIMULINK using the

necessary equations The Vector control of the induction motor is also modelled in the

SIMULINK using the necessary equations FPGA is implemented in the system for the better

control of the induction motor

521 Scalar Control ( V f control)

Whenever for three phase induction motor three phase supply is given rotating magnetic

field is produced which rotates at synchronous speed given by

Ns = 120fP

In three phase induction motor emf is induced by induction similar to that of transformer

which is given by

E or V = 444∮KTf or ∮ = V444KTf

Where K is the winding constant T is the number of turns per phase and f is frequency Now

synchronous speed changes if we change frequency but with decrease in frequency flux will

increase and this change in value of flux causes saturation of rotor and stator cores which will

further cause increase in no load current of the motor Somaintaining of flux is important φ

constant and it is possible in the case of voltage change ie if we decrease frequency flux

increases but at the same time if we decrease voltage flux will also decease causing no

change in flux and hence it remains constant So here we are keeping the ratio of V f as

constant Hence its name is V f method For the speed control of three phase induction

motor by V f method supply variable voltage and frequency we need to supply by using

converter and inverter set which is obtained

53 Vector Control (Indirect Torque Control) of AC induction machine

In AC induction motors vector control technique is most popular method In reference

frames the electromagnetic torque of the smooth-air-gap machine expression is similar to the

torque of the separately excited DC machine Induction machines case the control is

performed in the reference frame (d-q) attached to the rotor flux space vector So the

implementation of vector control requires information on the modulus and the space angle of

the rotor flux space vector The stator currents of the induction machine are separated into

flux- and torque-producing components by transformation to the d-q coordinate system

whose direct axis (d) is aligned with the rotor flux space vector It means that the q-axis

component of the rotor flux space vector is always zero

Ѱrq = 0 and ddtѰrq = 0 ----- (1)

The rotor flux space vector calculation and transformation to the d-q coordinate system

require the highѰ computational power of a microcontroller a digital signal processor is

suitable for this task

54 PWM Control

In this method a fixed dc input voltage is given to the inverter and a controlled ac

output voltage is obtained by adjusting the on and off periods of the inverter components

Inverter employing PWM principle are called PWM Inverters PWM techniques are

characterized by constant amplitude pulses The width of these pulses is modulated to obtain

inverter output voltage control and to reduce its harmonic content The advantages possessed

by PWM technique are the output voltage control with this method lower order harmonics

can be eliminated or minimized along with its output voltage control As higher order

harmonics can be filtered easily the filtering requirements are minimized The main

disadvantage of this method is that the SCRs are expensive as they must possess low turn on

and turn off times This is the most popular method of controlling the output voltage of an

inverter in industrial applications

541 Types of PWM Techniques

There are several types of PWM techniques Sinusoidal PWM (SPWM) selected

harmonics eliminations (SHE) PWM Minimum ripple current PWM Space vector PWM

Hysteresis band current control PWM (HBPWM) Sinusoidal PWM with instantaneous

current control Sigma-delta modulation The hysteresis band current control PWM has been

used because of its simple implementation fast transient response direct limiting of device

peak current and practical insensitivity of dc link voltage ripple that permits a lower filter

capacitor

Fig 51 Pulse Width Modultion

CHAPTER ndash6

BLOCK DIAGRAM DESCRIPTION OF THE HARDWARE MODEL

Figure 6 represents the block diagram of hardware model it consists of various blocks

same of these blocks are as explained below

Generating the square wave pulses using the Spartan 3AN FPGA kit then connected to

the driver circuit and though this circuit input is given to the three phase inverter By using

single phase supply(auto transformer) given to the rectifiers as it coverts AC voltage to DC

voltage Hence the DC voltage is connected to the inverter circuit From the inverter circuit

connected to the 3 phase induction motor terminals to measure the various speed

Fig 6 Block diagram of Hardware Implementation

61 Power Supply

All electronic circuits works only in low DC voltage so a power supply unit is

required to provide the appropriate voltage supply for their proper functioning This

power supply unit consists of transformer rectifier filter and regulator AC voltage of

typically 230V RMS is connected to a transformer which step down the voltage to the

desired AC voltage

Fig 611 General Block of Power Supply Unit

Single phase AC supply is given to bridge rectifier It converts AC into DC The paper

introduces the operation of power supply circuits built using filters rectifiers and then

voltage regulators Starting with an AC voltage a steady DC voltage is obtained by rectifying

the AC voltage then filtering to a DC level and finally regulating to obtain a desired fixed

DC voltage

611 Transformer

A transformer is a static device in which electric power in one circuit is transferred

into electric power of same frequency in another circuit It can raise or lower the voltage in

the circuit but with a corresponding decrease or increase in current It works with the

principle of mutual induction In this project a step-down transformer is used to provide

necessary supply of 12 V for the electronic circuits

612 Rectifier

A rectifier is an electrical device composed of one or more diodes that converts

alternating current (AC) to direct current (DC) Here in this project rectifier is used to get dc

for inverter circuitConverter is a device which convert AC to DC since high voltage dc

supply is required at the input of the inverter

In the bridge rectifier the diodes may be of variable types like 1N4001 1N4003

1N4004 1N4005 IN4007 etc can be used But in this project 1N4007 is used because it can

withstand up to 1000V

613 Filters

In order to obtain a dc voltage of 0 Hz a low pass capacitive filter circuit is used where a

capacitor is connected at the rectifier output and a DC voltage without ripples is obtained across

it The filtered waveform is essentially a DC voltage with negligible ripples and it is ultimately

fed to the load

614 Regulators

The filtered output voltage from the capacitor is finally regulated The voltage regulator

is a device which maintains the output voltage constant irrespective of the change in supply

variations load variations and temperature changes Here a fixed voltage regulator namely

LM7805 is usedThe IC LM7805 is a +5V regulator which is used for microcontroller

62 Spartan 3AN FPGA

Some of the important features of spartan 3AN FPGA is as given below

8-Nos General purpose point LEDs

8-Nos of DIP switches (Digital inputs)

2-Nos of Push Button

USB port

PTB Connector

Communication protocols

Full Duplex UART (USB) and UART (RS 232)

Other Features

1Mb Internal flash

50 MHz crystal oscillator clock source

USBJTAG Interface Connector for parallel programming Spartan3AN FPGA

USBUART interface

RS 232 interface

The Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K

gate Spartan-3AN on-board IO devices and 1Mb Internal flash memory making it the

perfect platform to experiment with any new design

The FPGA has good processing speed than the other controllers Here we are using

SPARTAN 3AN stick board kit for the proposed model The FPGA will give the appropriate

pulses to control the power in the inverter output It is very easy to make the pulses

compared to other controllers

In the FPGA board operating voltage of 33V is possible with the pulses

Fig 621 Spartan 3AN stick Board Components placement

63 Three phase inverter

A power inverter is a device which converts DC current supply into AC supply in

the form of sinewaves The DC and AC converters more commonly known as inverteres

depending on the type of supply source and the related topology of the power circuit are

classified as voltage source inverters (VSIs) and current source inverters (CSIs)

Inverter converts DC power to AC power usually at a controlled frequency and

voltage and this power used for supplying power to an AC motor

These work by controlling a switching device like an IGBT MOSFET or Bipolar

transistor with an oscillator so that the control device is switched on and off between

conducting and not conducting

The three phase MOSFET inverter uses the DC voltage supplied from the three phase

bridge and the gate drive signals to produce a balanced three phase sinusoidal output

which drives the induction motor

The metal-oxide semiconductor field effect transistor (MOSFET) is a transistor used

for amplifying or switching electronic signals

Although the MOSFET is a four terminal device with source (S) gate (G) Drain (D)

and body (B) terminals the body (or subtrate) of the MOSFET often is connected to

the source terminal making it a three-terminal device like other field effect

transistors Because these two terminals are normally connected to each other (short

circuited) internally only three terminals appear in electrical

Whereas MOSFETs are used for low-current and high ndashfrequency switching

The MOSFET used here is IRFP250N the gate signal provide by driver circuit six

MOSFET are included in three phase inverter to drive AC motor

Fig 631 Hardware representation of 3-phase inverter FPGA kit with driver circuit

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 19: my report

increases but at the same time if we decrease voltage flux will also decease causing no

change in flux and hence it remains constant So here we are keeping the ratio of V f as

constant Hence its name is V f method For the speed control of three phase induction

motor by V f method supply variable voltage and frequency we need to supply by using

converter and inverter set which is obtained

53 Vector Control (Indirect Torque Control) of AC induction machine

In AC induction motors vector control technique is most popular method In reference

frames the electromagnetic torque of the smooth-air-gap machine expression is similar to the

torque of the separately excited DC machine Induction machines case the control is

performed in the reference frame (d-q) attached to the rotor flux space vector So the

implementation of vector control requires information on the modulus and the space angle of

the rotor flux space vector The stator currents of the induction machine are separated into

flux- and torque-producing components by transformation to the d-q coordinate system

whose direct axis (d) is aligned with the rotor flux space vector It means that the q-axis

component of the rotor flux space vector is always zero

Ѱrq = 0 and ddtѰrq = 0 ----- (1)

The rotor flux space vector calculation and transformation to the d-q coordinate system

require the highѰ computational power of a microcontroller a digital signal processor is

suitable for this task

54 PWM Control

In this method a fixed dc input voltage is given to the inverter and a controlled ac

output voltage is obtained by adjusting the on and off periods of the inverter components

Inverter employing PWM principle are called PWM Inverters PWM techniques are

characterized by constant amplitude pulses The width of these pulses is modulated to obtain

inverter output voltage control and to reduce its harmonic content The advantages possessed

by PWM technique are the output voltage control with this method lower order harmonics

can be eliminated or minimized along with its output voltage control As higher order

harmonics can be filtered easily the filtering requirements are minimized The main

disadvantage of this method is that the SCRs are expensive as they must possess low turn on

and turn off times This is the most popular method of controlling the output voltage of an

inverter in industrial applications

541 Types of PWM Techniques

There are several types of PWM techniques Sinusoidal PWM (SPWM) selected

harmonics eliminations (SHE) PWM Minimum ripple current PWM Space vector PWM

Hysteresis band current control PWM (HBPWM) Sinusoidal PWM with instantaneous

current control Sigma-delta modulation The hysteresis band current control PWM has been

used because of its simple implementation fast transient response direct limiting of device

peak current and practical insensitivity of dc link voltage ripple that permits a lower filter

capacitor

Fig 51 Pulse Width Modultion

CHAPTER ndash6

BLOCK DIAGRAM DESCRIPTION OF THE HARDWARE MODEL

Figure 6 represents the block diagram of hardware model it consists of various blocks

same of these blocks are as explained below

Generating the square wave pulses using the Spartan 3AN FPGA kit then connected to

the driver circuit and though this circuit input is given to the three phase inverter By using

single phase supply(auto transformer) given to the rectifiers as it coverts AC voltage to DC

voltage Hence the DC voltage is connected to the inverter circuit From the inverter circuit

connected to the 3 phase induction motor terminals to measure the various speed

Fig 6 Block diagram of Hardware Implementation

61 Power Supply

All electronic circuits works only in low DC voltage so a power supply unit is

required to provide the appropriate voltage supply for their proper functioning This

power supply unit consists of transformer rectifier filter and regulator AC voltage of

typically 230V RMS is connected to a transformer which step down the voltage to the

desired AC voltage

Fig 611 General Block of Power Supply Unit

Single phase AC supply is given to bridge rectifier It converts AC into DC The paper

introduces the operation of power supply circuits built using filters rectifiers and then

voltage regulators Starting with an AC voltage a steady DC voltage is obtained by rectifying

the AC voltage then filtering to a DC level and finally regulating to obtain a desired fixed

DC voltage

611 Transformer

A transformer is a static device in which electric power in one circuit is transferred

into electric power of same frequency in another circuit It can raise or lower the voltage in

the circuit but with a corresponding decrease or increase in current It works with the

principle of mutual induction In this project a step-down transformer is used to provide

necessary supply of 12 V for the electronic circuits

612 Rectifier

A rectifier is an electrical device composed of one or more diodes that converts

alternating current (AC) to direct current (DC) Here in this project rectifier is used to get dc

for inverter circuitConverter is a device which convert AC to DC since high voltage dc

supply is required at the input of the inverter

In the bridge rectifier the diodes may be of variable types like 1N4001 1N4003

1N4004 1N4005 IN4007 etc can be used But in this project 1N4007 is used because it can

withstand up to 1000V

613 Filters

In order to obtain a dc voltage of 0 Hz a low pass capacitive filter circuit is used where a

capacitor is connected at the rectifier output and a DC voltage without ripples is obtained across

it The filtered waveform is essentially a DC voltage with negligible ripples and it is ultimately

fed to the load

614 Regulators

The filtered output voltage from the capacitor is finally regulated The voltage regulator

is a device which maintains the output voltage constant irrespective of the change in supply

variations load variations and temperature changes Here a fixed voltage regulator namely

LM7805 is usedThe IC LM7805 is a +5V regulator which is used for microcontroller

62 Spartan 3AN FPGA

Some of the important features of spartan 3AN FPGA is as given below

8-Nos General purpose point LEDs

8-Nos of DIP switches (Digital inputs)

2-Nos of Push Button

USB port

PTB Connector

Communication protocols

Full Duplex UART (USB) and UART (RS 232)

Other Features

1Mb Internal flash

50 MHz crystal oscillator clock source

USBJTAG Interface Connector for parallel programming Spartan3AN FPGA

USBUART interface

RS 232 interface

The Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K

gate Spartan-3AN on-board IO devices and 1Mb Internal flash memory making it the

perfect platform to experiment with any new design

The FPGA has good processing speed than the other controllers Here we are using

SPARTAN 3AN stick board kit for the proposed model The FPGA will give the appropriate

pulses to control the power in the inverter output It is very easy to make the pulses

compared to other controllers

In the FPGA board operating voltage of 33V is possible with the pulses

Fig 621 Spartan 3AN stick Board Components placement

63 Three phase inverter

A power inverter is a device which converts DC current supply into AC supply in

the form of sinewaves The DC and AC converters more commonly known as inverteres

depending on the type of supply source and the related topology of the power circuit are

classified as voltage source inverters (VSIs) and current source inverters (CSIs)

Inverter converts DC power to AC power usually at a controlled frequency and

voltage and this power used for supplying power to an AC motor

These work by controlling a switching device like an IGBT MOSFET or Bipolar

transistor with an oscillator so that the control device is switched on and off between

conducting and not conducting

The three phase MOSFET inverter uses the DC voltage supplied from the three phase

bridge and the gate drive signals to produce a balanced three phase sinusoidal output

which drives the induction motor

The metal-oxide semiconductor field effect transistor (MOSFET) is a transistor used

for amplifying or switching electronic signals

Although the MOSFET is a four terminal device with source (S) gate (G) Drain (D)

and body (B) terminals the body (or subtrate) of the MOSFET often is connected to

the source terminal making it a three-terminal device like other field effect

transistors Because these two terminals are normally connected to each other (short

circuited) internally only three terminals appear in electrical

Whereas MOSFETs are used for low-current and high ndashfrequency switching

The MOSFET used here is IRFP250N the gate signal provide by driver circuit six

MOSFET are included in three phase inverter to drive AC motor

Fig 631 Hardware representation of 3-phase inverter FPGA kit with driver circuit

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 20: my report

and turn off times This is the most popular method of controlling the output voltage of an

inverter in industrial applications

541 Types of PWM Techniques

There are several types of PWM techniques Sinusoidal PWM (SPWM) selected

harmonics eliminations (SHE) PWM Minimum ripple current PWM Space vector PWM

Hysteresis band current control PWM (HBPWM) Sinusoidal PWM with instantaneous

current control Sigma-delta modulation The hysteresis band current control PWM has been

used because of its simple implementation fast transient response direct limiting of device

peak current and practical insensitivity of dc link voltage ripple that permits a lower filter

capacitor

Fig 51 Pulse Width Modultion

CHAPTER ndash6

BLOCK DIAGRAM DESCRIPTION OF THE HARDWARE MODEL

Figure 6 represents the block diagram of hardware model it consists of various blocks

same of these blocks are as explained below

Generating the square wave pulses using the Spartan 3AN FPGA kit then connected to

the driver circuit and though this circuit input is given to the three phase inverter By using

single phase supply(auto transformer) given to the rectifiers as it coverts AC voltage to DC

voltage Hence the DC voltage is connected to the inverter circuit From the inverter circuit

connected to the 3 phase induction motor terminals to measure the various speed

Fig 6 Block diagram of Hardware Implementation

61 Power Supply

All electronic circuits works only in low DC voltage so a power supply unit is

required to provide the appropriate voltage supply for their proper functioning This

power supply unit consists of transformer rectifier filter and regulator AC voltage of

typically 230V RMS is connected to a transformer which step down the voltage to the

desired AC voltage

Fig 611 General Block of Power Supply Unit

Single phase AC supply is given to bridge rectifier It converts AC into DC The paper

introduces the operation of power supply circuits built using filters rectifiers and then

voltage regulators Starting with an AC voltage a steady DC voltage is obtained by rectifying

the AC voltage then filtering to a DC level and finally regulating to obtain a desired fixed

DC voltage

611 Transformer

A transformer is a static device in which electric power in one circuit is transferred

into electric power of same frequency in another circuit It can raise or lower the voltage in

the circuit but with a corresponding decrease or increase in current It works with the

principle of mutual induction In this project a step-down transformer is used to provide

necessary supply of 12 V for the electronic circuits

612 Rectifier

A rectifier is an electrical device composed of one or more diodes that converts

alternating current (AC) to direct current (DC) Here in this project rectifier is used to get dc

for inverter circuitConverter is a device which convert AC to DC since high voltage dc

supply is required at the input of the inverter

In the bridge rectifier the diodes may be of variable types like 1N4001 1N4003

1N4004 1N4005 IN4007 etc can be used But in this project 1N4007 is used because it can

withstand up to 1000V

613 Filters

In order to obtain a dc voltage of 0 Hz a low pass capacitive filter circuit is used where a

capacitor is connected at the rectifier output and a DC voltage without ripples is obtained across

it The filtered waveform is essentially a DC voltage with negligible ripples and it is ultimately

fed to the load

614 Regulators

The filtered output voltage from the capacitor is finally regulated The voltage regulator

is a device which maintains the output voltage constant irrespective of the change in supply

variations load variations and temperature changes Here a fixed voltage regulator namely

LM7805 is usedThe IC LM7805 is a +5V regulator which is used for microcontroller

62 Spartan 3AN FPGA

Some of the important features of spartan 3AN FPGA is as given below

8-Nos General purpose point LEDs

8-Nos of DIP switches (Digital inputs)

2-Nos of Push Button

USB port

PTB Connector

Communication protocols

Full Duplex UART (USB) and UART (RS 232)

Other Features

1Mb Internal flash

50 MHz crystal oscillator clock source

USBJTAG Interface Connector for parallel programming Spartan3AN FPGA

USBUART interface

RS 232 interface

The Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K

gate Spartan-3AN on-board IO devices and 1Mb Internal flash memory making it the

perfect platform to experiment with any new design

The FPGA has good processing speed than the other controllers Here we are using

SPARTAN 3AN stick board kit for the proposed model The FPGA will give the appropriate

pulses to control the power in the inverter output It is very easy to make the pulses

compared to other controllers

In the FPGA board operating voltage of 33V is possible with the pulses

Fig 621 Spartan 3AN stick Board Components placement

63 Three phase inverter

A power inverter is a device which converts DC current supply into AC supply in

the form of sinewaves The DC and AC converters more commonly known as inverteres

depending on the type of supply source and the related topology of the power circuit are

classified as voltage source inverters (VSIs) and current source inverters (CSIs)

Inverter converts DC power to AC power usually at a controlled frequency and

voltage and this power used for supplying power to an AC motor

These work by controlling a switching device like an IGBT MOSFET or Bipolar

transistor with an oscillator so that the control device is switched on and off between

conducting and not conducting

The three phase MOSFET inverter uses the DC voltage supplied from the three phase

bridge and the gate drive signals to produce a balanced three phase sinusoidal output

which drives the induction motor

The metal-oxide semiconductor field effect transistor (MOSFET) is a transistor used

for amplifying or switching electronic signals

Although the MOSFET is a four terminal device with source (S) gate (G) Drain (D)

and body (B) terminals the body (or subtrate) of the MOSFET often is connected to

the source terminal making it a three-terminal device like other field effect

transistors Because these two terminals are normally connected to each other (short

circuited) internally only three terminals appear in electrical

Whereas MOSFETs are used for low-current and high ndashfrequency switching

The MOSFET used here is IRFP250N the gate signal provide by driver circuit six

MOSFET are included in three phase inverter to drive AC motor

Fig 631 Hardware representation of 3-phase inverter FPGA kit with driver circuit

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 21: my report

CHAPTER ndash6

BLOCK DIAGRAM DESCRIPTION OF THE HARDWARE MODEL

Figure 6 represents the block diagram of hardware model it consists of various blocks

same of these blocks are as explained below

Generating the square wave pulses using the Spartan 3AN FPGA kit then connected to

the driver circuit and though this circuit input is given to the three phase inverter By using

single phase supply(auto transformer) given to the rectifiers as it coverts AC voltage to DC

voltage Hence the DC voltage is connected to the inverter circuit From the inverter circuit

connected to the 3 phase induction motor terminals to measure the various speed

Fig 6 Block diagram of Hardware Implementation

61 Power Supply

All electronic circuits works only in low DC voltage so a power supply unit is

required to provide the appropriate voltage supply for their proper functioning This

power supply unit consists of transformer rectifier filter and regulator AC voltage of

typically 230V RMS is connected to a transformer which step down the voltage to the

desired AC voltage

Fig 611 General Block of Power Supply Unit

Single phase AC supply is given to bridge rectifier It converts AC into DC The paper

introduces the operation of power supply circuits built using filters rectifiers and then

voltage regulators Starting with an AC voltage a steady DC voltage is obtained by rectifying

the AC voltage then filtering to a DC level and finally regulating to obtain a desired fixed

DC voltage

611 Transformer

A transformer is a static device in which electric power in one circuit is transferred

into electric power of same frequency in another circuit It can raise or lower the voltage in

the circuit but with a corresponding decrease or increase in current It works with the

principle of mutual induction In this project a step-down transformer is used to provide

necessary supply of 12 V for the electronic circuits

612 Rectifier

A rectifier is an electrical device composed of one or more diodes that converts

alternating current (AC) to direct current (DC) Here in this project rectifier is used to get dc

for inverter circuitConverter is a device which convert AC to DC since high voltage dc

supply is required at the input of the inverter

In the bridge rectifier the diodes may be of variable types like 1N4001 1N4003

1N4004 1N4005 IN4007 etc can be used But in this project 1N4007 is used because it can

withstand up to 1000V

613 Filters

In order to obtain a dc voltage of 0 Hz a low pass capacitive filter circuit is used where a

capacitor is connected at the rectifier output and a DC voltage without ripples is obtained across

it The filtered waveform is essentially a DC voltage with negligible ripples and it is ultimately

fed to the load

614 Regulators

The filtered output voltage from the capacitor is finally regulated The voltage regulator

is a device which maintains the output voltage constant irrespective of the change in supply

variations load variations and temperature changes Here a fixed voltage regulator namely

LM7805 is usedThe IC LM7805 is a +5V regulator which is used for microcontroller

62 Spartan 3AN FPGA

Some of the important features of spartan 3AN FPGA is as given below

8-Nos General purpose point LEDs

8-Nos of DIP switches (Digital inputs)

2-Nos of Push Button

USB port

PTB Connector

Communication protocols

Full Duplex UART (USB) and UART (RS 232)

Other Features

1Mb Internal flash

50 MHz crystal oscillator clock source

USBJTAG Interface Connector for parallel programming Spartan3AN FPGA

USBUART interface

RS 232 interface

The Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K

gate Spartan-3AN on-board IO devices and 1Mb Internal flash memory making it the

perfect platform to experiment with any new design

The FPGA has good processing speed than the other controllers Here we are using

SPARTAN 3AN stick board kit for the proposed model The FPGA will give the appropriate

pulses to control the power in the inverter output It is very easy to make the pulses

compared to other controllers

In the FPGA board operating voltage of 33V is possible with the pulses

Fig 621 Spartan 3AN stick Board Components placement

63 Three phase inverter

A power inverter is a device which converts DC current supply into AC supply in

the form of sinewaves The DC and AC converters more commonly known as inverteres

depending on the type of supply source and the related topology of the power circuit are

classified as voltage source inverters (VSIs) and current source inverters (CSIs)

Inverter converts DC power to AC power usually at a controlled frequency and

voltage and this power used for supplying power to an AC motor

These work by controlling a switching device like an IGBT MOSFET or Bipolar

transistor with an oscillator so that the control device is switched on and off between

conducting and not conducting

The three phase MOSFET inverter uses the DC voltage supplied from the three phase

bridge and the gate drive signals to produce a balanced three phase sinusoidal output

which drives the induction motor

The metal-oxide semiconductor field effect transistor (MOSFET) is a transistor used

for amplifying or switching electronic signals

Although the MOSFET is a four terminal device with source (S) gate (G) Drain (D)

and body (B) terminals the body (or subtrate) of the MOSFET often is connected to

the source terminal making it a three-terminal device like other field effect

transistors Because these two terminals are normally connected to each other (short

circuited) internally only three terminals appear in electrical

Whereas MOSFETs are used for low-current and high ndashfrequency switching

The MOSFET used here is IRFP250N the gate signal provide by driver circuit six

MOSFET are included in three phase inverter to drive AC motor

Fig 631 Hardware representation of 3-phase inverter FPGA kit with driver circuit

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 22: my report

Fig 611 General Block of Power Supply Unit

Single phase AC supply is given to bridge rectifier It converts AC into DC The paper

introduces the operation of power supply circuits built using filters rectifiers and then

voltage regulators Starting with an AC voltage a steady DC voltage is obtained by rectifying

the AC voltage then filtering to a DC level and finally regulating to obtain a desired fixed

DC voltage

611 Transformer

A transformer is a static device in which electric power in one circuit is transferred

into electric power of same frequency in another circuit It can raise or lower the voltage in

the circuit but with a corresponding decrease or increase in current It works with the

principle of mutual induction In this project a step-down transformer is used to provide

necessary supply of 12 V for the electronic circuits

612 Rectifier

A rectifier is an electrical device composed of one or more diodes that converts

alternating current (AC) to direct current (DC) Here in this project rectifier is used to get dc

for inverter circuitConverter is a device which convert AC to DC since high voltage dc

supply is required at the input of the inverter

In the bridge rectifier the diodes may be of variable types like 1N4001 1N4003

1N4004 1N4005 IN4007 etc can be used But in this project 1N4007 is used because it can

withstand up to 1000V

613 Filters

In order to obtain a dc voltage of 0 Hz a low pass capacitive filter circuit is used where a

capacitor is connected at the rectifier output and a DC voltage without ripples is obtained across

it The filtered waveform is essentially a DC voltage with negligible ripples and it is ultimately

fed to the load

614 Regulators

The filtered output voltage from the capacitor is finally regulated The voltage regulator

is a device which maintains the output voltage constant irrespective of the change in supply

variations load variations and temperature changes Here a fixed voltage regulator namely

LM7805 is usedThe IC LM7805 is a +5V regulator which is used for microcontroller

62 Spartan 3AN FPGA

Some of the important features of spartan 3AN FPGA is as given below

8-Nos General purpose point LEDs

8-Nos of DIP switches (Digital inputs)

2-Nos of Push Button

USB port

PTB Connector

Communication protocols

Full Duplex UART (USB) and UART (RS 232)

Other Features

1Mb Internal flash

50 MHz crystal oscillator clock source

USBJTAG Interface Connector for parallel programming Spartan3AN FPGA

USBUART interface

RS 232 interface

The Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K

gate Spartan-3AN on-board IO devices and 1Mb Internal flash memory making it the

perfect platform to experiment with any new design

The FPGA has good processing speed than the other controllers Here we are using

SPARTAN 3AN stick board kit for the proposed model The FPGA will give the appropriate

pulses to control the power in the inverter output It is very easy to make the pulses

compared to other controllers

In the FPGA board operating voltage of 33V is possible with the pulses

Fig 621 Spartan 3AN stick Board Components placement

63 Three phase inverter

A power inverter is a device which converts DC current supply into AC supply in

the form of sinewaves The DC and AC converters more commonly known as inverteres

depending on the type of supply source and the related topology of the power circuit are

classified as voltage source inverters (VSIs) and current source inverters (CSIs)

Inverter converts DC power to AC power usually at a controlled frequency and

voltage and this power used for supplying power to an AC motor

These work by controlling a switching device like an IGBT MOSFET or Bipolar

transistor with an oscillator so that the control device is switched on and off between

conducting and not conducting

The three phase MOSFET inverter uses the DC voltage supplied from the three phase

bridge and the gate drive signals to produce a balanced three phase sinusoidal output

which drives the induction motor

The metal-oxide semiconductor field effect transistor (MOSFET) is a transistor used

for amplifying or switching electronic signals

Although the MOSFET is a four terminal device with source (S) gate (G) Drain (D)

and body (B) terminals the body (or subtrate) of the MOSFET often is connected to

the source terminal making it a three-terminal device like other field effect

transistors Because these two terminals are normally connected to each other (short

circuited) internally only three terminals appear in electrical

Whereas MOSFETs are used for low-current and high ndashfrequency switching

The MOSFET used here is IRFP250N the gate signal provide by driver circuit six

MOSFET are included in three phase inverter to drive AC motor

Fig 631 Hardware representation of 3-phase inverter FPGA kit with driver circuit

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 23: my report

613 Filters

In order to obtain a dc voltage of 0 Hz a low pass capacitive filter circuit is used where a

capacitor is connected at the rectifier output and a DC voltage without ripples is obtained across

it The filtered waveform is essentially a DC voltage with negligible ripples and it is ultimately

fed to the load

614 Regulators

The filtered output voltage from the capacitor is finally regulated The voltage regulator

is a device which maintains the output voltage constant irrespective of the change in supply

variations load variations and temperature changes Here a fixed voltage regulator namely

LM7805 is usedThe IC LM7805 is a +5V regulator which is used for microcontroller

62 Spartan 3AN FPGA

Some of the important features of spartan 3AN FPGA is as given below

8-Nos General purpose point LEDs

8-Nos of DIP switches (Digital inputs)

2-Nos of Push Button

USB port

PTB Connector

Communication protocols

Full Duplex UART (USB) and UART (RS 232)

Other Features

1Mb Internal flash

50 MHz crystal oscillator clock source

USBJTAG Interface Connector for parallel programming Spartan3AN FPGA

USBUART interface

RS 232 interface

The Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K

gate Spartan-3AN on-board IO devices and 1Mb Internal flash memory making it the

perfect platform to experiment with any new design

The FPGA has good processing speed than the other controllers Here we are using

SPARTAN 3AN stick board kit for the proposed model The FPGA will give the appropriate

pulses to control the power in the inverter output It is very easy to make the pulses

compared to other controllers

In the FPGA board operating voltage of 33V is possible with the pulses

Fig 621 Spartan 3AN stick Board Components placement

63 Three phase inverter

A power inverter is a device which converts DC current supply into AC supply in

the form of sinewaves The DC and AC converters more commonly known as inverteres

depending on the type of supply source and the related topology of the power circuit are

classified as voltage source inverters (VSIs) and current source inverters (CSIs)

Inverter converts DC power to AC power usually at a controlled frequency and

voltage and this power used for supplying power to an AC motor

These work by controlling a switching device like an IGBT MOSFET or Bipolar

transistor with an oscillator so that the control device is switched on and off between

conducting and not conducting

The three phase MOSFET inverter uses the DC voltage supplied from the three phase

bridge and the gate drive signals to produce a balanced three phase sinusoidal output

which drives the induction motor

The metal-oxide semiconductor field effect transistor (MOSFET) is a transistor used

for amplifying or switching electronic signals

Although the MOSFET is a four terminal device with source (S) gate (G) Drain (D)

and body (B) terminals the body (or subtrate) of the MOSFET often is connected to

the source terminal making it a three-terminal device like other field effect

transistors Because these two terminals are normally connected to each other (short

circuited) internally only three terminals appear in electrical

Whereas MOSFETs are used for low-current and high ndashfrequency switching

The MOSFET used here is IRFP250N the gate signal provide by driver circuit six

MOSFET are included in three phase inverter to drive AC motor

Fig 631 Hardware representation of 3-phase inverter FPGA kit with driver circuit

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 24: my report

The Spartan-3AN Stick Board provides a powerful self-contained development

platform for designs targeting the new Spartan-3AN FPGA from Xilinx It features a 50K

gate Spartan-3AN on-board IO devices and 1Mb Internal flash memory making it the

perfect platform to experiment with any new design

The FPGA has good processing speed than the other controllers Here we are using

SPARTAN 3AN stick board kit for the proposed model The FPGA will give the appropriate

pulses to control the power in the inverter output It is very easy to make the pulses

compared to other controllers

In the FPGA board operating voltage of 33V is possible with the pulses

Fig 621 Spartan 3AN stick Board Components placement

63 Three phase inverter

A power inverter is a device which converts DC current supply into AC supply in

the form of sinewaves The DC and AC converters more commonly known as inverteres

depending on the type of supply source and the related topology of the power circuit are

classified as voltage source inverters (VSIs) and current source inverters (CSIs)

Inverter converts DC power to AC power usually at a controlled frequency and

voltage and this power used for supplying power to an AC motor

These work by controlling a switching device like an IGBT MOSFET or Bipolar

transistor with an oscillator so that the control device is switched on and off between

conducting and not conducting

The three phase MOSFET inverter uses the DC voltage supplied from the three phase

bridge and the gate drive signals to produce a balanced three phase sinusoidal output

which drives the induction motor

The metal-oxide semiconductor field effect transistor (MOSFET) is a transistor used

for amplifying or switching electronic signals

Although the MOSFET is a four terminal device with source (S) gate (G) Drain (D)

and body (B) terminals the body (or subtrate) of the MOSFET often is connected to

the source terminal making it a three-terminal device like other field effect

transistors Because these two terminals are normally connected to each other (short

circuited) internally only three terminals appear in electrical

Whereas MOSFETs are used for low-current and high ndashfrequency switching

The MOSFET used here is IRFP250N the gate signal provide by driver circuit six

MOSFET are included in three phase inverter to drive AC motor

Fig 631 Hardware representation of 3-phase inverter FPGA kit with driver circuit

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 25: my report

The three phase MOSFET inverter uses the DC voltage supplied from the three phase

bridge and the gate drive signals to produce a balanced three phase sinusoidal output

which drives the induction motor

The metal-oxide semiconductor field effect transistor (MOSFET) is a transistor used

for amplifying or switching electronic signals

Although the MOSFET is a four terminal device with source (S) gate (G) Drain (D)

and body (B) terminals the body (or subtrate) of the MOSFET often is connected to

the source terminal making it a three-terminal device like other field effect

transistors Because these two terminals are normally connected to each other (short

circuited) internally only three terminals appear in electrical

Whereas MOSFETs are used for low-current and high ndashfrequency switching

The MOSFET used here is IRFP250N the gate signal provide by driver circuit six

MOSFET are included in three phase inverter to drive AC motor

Fig 631 Hardware representation of 3-phase inverter FPGA kit with driver circuit

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 26: my report

631 Features of IRFP250N MOSFET

Advanced process technology

Dynamic dvdt Rating

175 C operating Temperature

Fast switching

Fully Avalanche Rated

Ease of paralleling

Simple Drive Requirements

Description

632 Advantages of MOSFET

It is used for voltage control

It provides fast switching

Ease of paralleling and temperature stability of the electrical parameters

64 Driver circuit (Ir2110Ir2113 High and Low side Driver)

The main purpose of driver circuit is to enhance the swithching voltage for the

MOSFET or any switching device And also we have to isolate the power circuit

The IR2110IR2113 are high voltage high speed power MOSFET and IGBT drivers

with independent high and low side referenced output channels Proprietary HVIC and latch

immune CMOS technologies enable ruggedized monolithic construction Logic inputs are

compatible with standard CMOS or LSTTL output down to 33V logic The output drivers

feature a high pulse current buffer stage designed for minimum driver cross conduction

Propagation delays are matched to simplify use in high frequency applications The floating

channel can be used to drive an N-channel power MOSFET or IGBT in the high side

configuration which operates upto 500 or 600 volts

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 27: my report

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

1 K22 K

100 OHM

100 OHM

100 OHM

1 K

1000 mF25 A

G

GROUND

330 OHM

MCT2E

22 K

100 OHM

1 K

100 OHM

1 K

100 OHM

100 OHM

G

GROUND

100 OHM

GROUND

1000 mF25 A

G

330 OHM

100 OHM

1000 mF25 A

1 K

22 K

MCT2E

1 K

Fig 641 Driver circuit

641 Features

Floating channel designed for bootstrip operation fully operational to 500V or 600V

Tolerant to negative transient voltage dvdt immune

Gate drive supply range from 10 to 20v under voltage lockout for botrh channels

33V logic compatible

Separate logic supply range from 33V to 200V logic and power ground 5V offset

Driver circuit components

Diode (IN4007) Capacitors (1000microF50V1000microF25V) Optocoupler (MCT2E) Transistors

(2n2222CK100) Resistors (1k100Ω) Transformers(230V12V)

642 Optocoupler (MCT2E)

Optocoupler or optoisolator is a combination of light source and light detector in the

same package as shown They are used to couple signal from one point to the other optically

by providing a complete electrical isolation between them This kind of isolation is provided

between a low control circuit and high power output circuit to protect the control circuit

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 28: my report

Compatible with standard TTL integrated circuits Gallium Arsenide Diode Infrared Source

Optically Coupled to a silicon npn Phototransistor high Direct current Transfer Ratio base

lead provided for Conventional Transistor Biasing High voltage Electrical Isolation 15-KV

or 355-KV rating Plastic Dual - In ndash Line Package High speed Switching tr = 5micros tf = 5 micros

Typical Designed to be Interchangeable with General Instruments MCT2 and MCT2E

MCT2E is the optocoupler which will be connected to the buffer CD4050 which

send pulse signals of 5 v from microcontroller to the driver circuitMCT2E is the device

which isolates the power circuit with the circuitAfter it gets the signal from there circuit it

will get enhanced using the 2N2222 transistor to higher level of voltage after this the voltage

get regulated by the use of darlington pairThe darlington is made of 2N2222(NPN) and

SK100 (PNP) transistor

Fig 642 Opto coupler schematic diagram

643 Applications

AC to DC converters used for DC motor speed control

High power choppers

High power inverters

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 29: my report

644Buffer IC (HCF4050BE)

MCT2E which is the optocoupler will be connected to the buffer HCF4050BE which

send pulse signals of 5v from FPGA to the driver circui

Description

The HCF4050BE is an high speed CMOS HEX BUFFER fabricted with silicon gate

C2MOS technology The internal circuit is composed of 3 stages which enables high noise

immunity and a stable output Input protection circuits are different from those of the high

speed CMOS ICrsquoS The VCC side diodes are designed to allow logic-level conversion from

high ndashlevel voltages (upto 13v) to low level voltages

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 30: my report

CHAPTER ndash7

EXPERIMENTAL SET UP OF HARDWARE MODEL

Fig 7 Circuit of hardware model

71 Components for Experimental Set up

Three phase Inverter ( MOSFET)

Induction motor

SPARTAN 3AN FPGA kit (xilinx software FPGA progarmmer)

Control unit ( AC power supply)

Driver circuit

Buffer circuit (BC)

Rectifier

capacitor

CRO (cathode ray oscilloscope) probes

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 31: my report

72 Details of Hardware Circuit

The circuit consists of the following components

Power supply Unit of step down transformers (23012 V 500mA) bridge

Rectifiers( IN4007) filter (Capacitor) and Regulator (7805) Driver circuit consist of LED

Transistor Optocoupler (MCT2E) NPN Transistor (2N222) Capacitor

(1000microF50V1000microF25V) Resistor(1k 100Ω) and one buffer IC (HCF450BE) 3 phase

inverter using 6 MOSFET Spartan 3AN FPGA stick board 3 different frequencies of 50 Hz

40 Hz and 60 Hz using through FPGA kit Induction mptor 05 HP

73 Working of Hardware Model

In this project the three phase inverter fed induction motor with FPGA controller is

presented The FPGA controller has more advantages than the other controllers The FPGA

has good processing speed than the other controllers Here using the SPARTAN 3AN stick

board kit for the proposed model The FPGA will give the appropriate pulses to control the

power in the inverter output It is very easy to make the pulses compared to other controllers

The ordinary one twenty degree mode of conduction is used to control the induction motor

Generating the pulses with only 33V as it is the operating voltage of the FPGA board

The MOSFET cannot able to switch in that low voltage The voltage amplitude should be

increased There is a need of another circuit to amplify the voltage amplitude And also if the

MOSFET is connected to the FPGA directly the circuit will give more current which will

damage the MOSFET Hence the circuit should be isolated

The driver circuit should be used to isolate and also for amplitude modulation Using

(transistor-transistor logic)TTL as driver The TTL is the configuration of the transistor pair

which will be useful for amplification and logical operations This circuit has

230V12V500mA transformer and a half bridge rectifier circuit for giving the collector

voltage

This will be transferred to the output of the TTL logic which will give 9-12V of the

output pulses and an opto coupler is also used for isolation purpose The system is fully

isolated and it is safe from the high current and opto coupler has only the light connection

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 32: my report

Main circuit consists of six MOSFETs and all the MOSFET can able to withstand high

current These MOSFETs are driven by the TTL circuit and controlled by FPGA board The

pulses for different frequencies are given as program and the DIP switches are enabled For

different DIP switches different frequencies we can get So if the frequency is changed the

speed will be changed correspondingly So the open loop control has done Using the FPGA

CHAPTER ndash8

SIMULATION MODEL

A speed control of Induction motor drive has been simulated using MatlabSimulink

From the figure 8 The required speed of the motor is set using Wref The measured

speed is taken out from the motor To calculate torque we need to compare both the speed

The proportional torque is given to the Iqs calculation here Iqs is direct axis current to

Calculate the reference value of theta The torque and flux are calculated using measured

current measured current is converted to Id Iq measurement [ABC-DQ] from that Id will

produced flux(phi r) so using IqPhi r and measured speed will produces This is used for the

calculation of IdIq to Iabc Iabc transformation theta is the angular Wref( frequency) is

given to the speed control where the motor current is measured and compared in the speed

controller there by Iabc and Iqs is compared pulses are genreated pulses are given to the

inverter which will drive the motor

The MATLABSIMULINK model for swicthing logic is developed The transient

performance of the developed model has been tested The model is run for typical contitions

of reference speed and applied torque value

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 33: my report

Fig 8 Simulation Model of vector control IM

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 34: my report

CHAPTER ndash9

RESULTS AND DISCUSSION

91 Simulation Results and Discussion

911 Simulation Results

A vector control algorithm of induction motor drive has been simulated using

MatlabSimulink Figure 8 depicts the complete Simulation model of speed control scheme

of Induction Motor with 50HP 460V 60Hz 1780 rpm 3-phase induction motor is used for

the simulation

Simulation study of the vector controlled induction motor drive is performed to obtain the

physical behaviour of the drive The optimisation algorithm is adopted for flux vector

generation and the speed control is achieved through the use of vector controller

The following waveforms represents the Fig 911 Inverter DC input Fig 912-Inverter gate

pulses Fig 913 voltage vab Fig 914- current Iabc Fig 915 speed characteristics and FIG

916 Torque characteristics by using simulation study

Figure 9 11- Inverter DC input

Fig 911 shows inverter DC input in y-axis and time in sec in X- axis

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 35: my report

Single-phase AC supply is giving from the auto transformer which is connected to the

rectifiers in which it will converts as DC The obtained DC supply is given to the inveter

inputs

Inverter Gate pulses

Fig 91 Switching pulses fed to the 3-phase inverter

Fig 912 Represents In Y-axis voltage in V and X-axis time in sec

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively shows the settling time on the rotor speed is less And distortion of torque is

less hence the performance is improved

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 36: my report

Voltage

913 voltage Vab

From the figure 913 the waveform represents In Y-axis voltage in Vand in X-axis time in

sec From the figure 914 The waveform represents in Y-axis current in A and X-axis time in

sec respectively

Stator current

Fig 914- current Iabc

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 37: my report

Speed characteristics

Fig 915 Speed characteristics curve

Inverter gives gate pulses to the motor through PWM to get theta value then the

output of the rotor to view the output parameters such as vabIabcspeed and torque In Y-axis

voltage in Vand in X-axis time in sec Y-axis current in A and X-axis time in sec Y-axis

speed in radsec and X-axis time in sec Y-axis torque in Nm and X-axis time in sec

respectively

From the figure 915 The above waveform speed has high intial value then decreases

and remains constant Settling time on the rotor speed is less and distortion of torque is less

hence the performance is improved

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 38: my report

Torque characteristics

Fig 916 Torque characteristics

From The fig 916 the system is improved as well as torque ripples are reduced

correspondingly This state that the transient performance is improved

92 Experimental Results and Discussion

To generating the pulses square wave program is to be executed by using xilinx

software Then dump this program to the spartan 3AN FPGA kit by using Fpga programmer

(swbit)There are 6 switches namely S1 S2 S3 S4 S5 S6 using in 3-phase inverter circuit

From FPGA kit we are getting 50Mhz T = 1f = 1 50 Mhz = 20 ns

If we want to generate 50 HZ means T = 1 f = 1 50 hz = 20 ms

After every square wave count value will be one Positive edge clock is given to the first

wave which increment the count value based on the count it will take ON Time and OFF

Time

Normally 3 different frequencies of 50hz 40 hz and for 60 hz we are measuring the speed

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 39: my report

Each frequency can be changed by using switches s1 s2 and s3 to get 50 hz 40 hz and 60 hz

respectively Measure the square waves of different frequencies in CRO For all the three

Frequencies FPGA output will be 3V

The three different Frequencies of 50hz 40 hz and 60 hz waveforms are as shown in below

Fig 921 For 50 hz square wave pulse

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 40: my report

Fig 922 For 40 hz sqaure wave pulse

Fig 923 For 60 hz Square wave pulse

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 41: my report

In our experimental hardware part we are generating square wave pulses and these

pulses can be given to the Inverter circuitthrough which it is connected to the oscilloscope

there by we can measure the waveforms of different frequencies From the driver circuit input

is connected to the DC regulator and it is connected to the motor terminals There we wil get

the output parameters of the motorBy changing the frequencies measure the speed for each

50 hz 40 hz and 60 hz

For 50 hz frequency we wil going to get the standard speed of motor

For 40 Hz if we decrease the frequency than the standard frequency motor speed will

increase

For 60 hz if we increase the frequency the motor speed will decrease

In Our hardware circuit By using FPGA we are controlling the speed by changing

frequenciesIn this hardware part we are using 05 HP Induction motor By using auto

tranformer upto 60volts we can apply the voltage

CHAPTER ndash10

CONCLUSION

The Matlab based simulation is developed for a 3 phase vector controlled IM drives

has been analyzed

The overall system performance studied through simulation results

Dynamic response of Steady state speed of the IM is achieved through controlling

the vector

In Hardware part FPGA based PWM-output variable frequency drives provide the

user with a tremendous variety of features and functions which allow accurate control

and monitoring in nearly every 3-phase motor application effective methods

Many schemes have been proposed for the control of induction motor drives

among which the field oriented control or vector control has been accepted as one of the

most effective methods

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 42: my report

Future Scope

FPGA is used in producing the required switching signal in efficient manner The FPGA

provides a digital control for the induction motor The digital control system provides a speed

control and soft starting technique for the induction motor

And also FPGA is an front design tool for making Ics We can do other efficient

modulation techniques also for controlling the induction motor because of fast response in it

And it can be made as a single IC to do the other operations

This can be made as a comertial product in the real world for controlling the motor in

some industries like leather shop mechanical machines and also for traction

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 43: my report

REFERENCES

[1] ldquoNeural network based dynamic simulation of induction motor driverdquo in Power

Energy and Control (ICPEC) 2013 International Conference on Feb 2013- by

P Menghal and A Laxmi

[2] ldquoA novel neural network controller and its efficient dsp implementation for vector-

controlled induction motor drivesrdquo Industry Applications IEEE Transactions on vol

39 no 6 pp 1622ndash1629 Nov 2003 - by M Mohamadian E Nowicki F Ashrafzadeh

A Chu R Sachdeva and E Evanik

[3] ldquoA survey on sliding mode control strategies for induction motorsrdquo Annual Reviews

in Control vol 37 no 2 pp 289 ndash 307 2013- by V Panchade R Chile and B Patre

[4] ldquoHybrid control of induction motors via sampled closed representationsrdquo Industrial

Electronics IEEE Transactions on vol 55 no 10 pp 3758ndash3771 Oct 2008 by B

Castillo-Toledo S Di Gennaro A G Loukianov and J Rivera

[5] ldquoDiscrete-time neural sliding-mode block control for a dc motor with controlled

fluxrdquo Industrial Electronics IEEE Transactions on vol 59 no 2 pp 1194ndash1207 Feb

2012-by C Castaneda A G Loukianov E N Sanchez and B Castillo-Toledo

[6] ldquoReal-time discrete neural block control using sliding modes for electric induction

motorsrdquo Control Systems Technology IEEE Transactions on vol 18 no 1 pp 11ndash21

Jan 2010-by A Y Alanis E N Sanchez A G Loukianov and M Perez-Cisneros

[7] ldquoDiscrete-time output trajectory tracking for induction motor using a neural

observerrdquo in Intelligent Control 2007 ISIC 2007 IEEE 22nd International Symposium

on Oct 2007 pp 584ndash589- by A Y Alanis E N Sanchez and A G Loukianov

[8] ldquoReview of current regulation techniques for three-phase PWM invertersrdquo in IEEE

IECON Conf Rec 1994 pp 567ndash575 By M P Kazmierkowski and M A

Dzieniakowski

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 44: my report

[9] FPGA Realization of Space Vector PWM Control IC for 3 phase PWM Inverters

IEEE Transactions on Power Electronics Vol 12 No 6 pp 953-9631997 By Ying-Yu

Tzou and Hau- Jean Hsu

[10] AC Adjustable-Speed drives at the Millennium IEEE Transactions on Power

Electronics Vol 16 No 1 pp 17- 25 2001 By G Thomas M Jahns and Edward L

Owen

[11] ldquoAn FPGA-Based Novel Digital PWM Control Scheme for BLDC Motor Drivesrdquo

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS VOL 56 NO 8

AUGUST 2009 By Anand Sathyan Nikola Milivojevic Young-Joo Lee Mahesh

Krishnamurthy and Ali Emadi

[12] ldquoAn Improved FPGA Implementation of Direct Torque Control for Induction

Machinesrdquo IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS VOL 9 NO

3 AUGUST 2013 By Tole Sutikno Nik Rumzi Nik Idris Auzani Jidin and Marcian N

Cirstea

[13] ldquo New Techniques and Tools for Application Dependent

Testing of FPGA-Based Componentsrdquo IEEE TRANSACTIONS ON

INDUSTRIAL INFORMATICS VOL 11 NO 1 FEBRUARY 2015

ByAlessandro Cilardo

[14] ldquo Performances of Fuzzy-Logic-Based Indirect Vector Control for Induction Motor

Driverdquo IEEE TRANSACTIONS ON INDUSTRY APPLICATIONS VOL 38 NO 5

SEPTEMBEROCTOBER 2002 1219 By M Nasir Uddin Tawfik S Radwan and M

Azizur Rahman

[15] ldquoDSP based Implementation of Hybrid Speed Controller for Vector Controlled

Permanent Magnet Synchronous Motor Driverdquo IEEE International symposium on

industrial electronics 2006 Vol 3 July 2006 By Bhim Singh BP Singh and Sanjeet

Dwivedi

[16] ldquoRealization of Space Vector Modulation Technique in a Single FPGA Chip for

Induction Motor Drive PWMrdquo IEEE International conference on Electron Devices and

solid state circuits Dec 2005 By WP Hew C P Ooi And N A Rahim

WP Hew C P Ooi and N A Rahim

Page 45: my report

WP Hew C P Ooi and N A Rahim