ip3738 th-l32c3c l32ch3c 02 110824 - go-gddq. · pdf file7+ / & & 7+ / &+ & 3...

16
7+/&&7+/&+& 3 ᵓ 㒓䏃 3 9 7 A 8 6 E C D B 1 F 4 5 2 TH-L32C3C TH-L32CH3C P- 1/2 TH-L32C3C TH-L32CH3C P- 1/2 A B C D E F G H I J K L ! P- TNPA5361AL (1/2) + + - + L.F L.F L.F R7327 22 0V C7209 0.1u 50V C7214 1u * * C7210 220p 1kV C7208 0.47u 50V C7308 470p C7306 100p 50V C7304 1u 25V C7303 1000p 50V C7218 0.22u 50V C7207 0.01u 50V C7206 0.01u 50V C7205 0.47u 50V C7204 0.1u 50V C7203 1000p 50V C7219 0.22u 50V C7201 2200p 50V C7102 470p 250V C7503 1u 25V C7105 0.22u * * C7104 0.22u * * C7202 470p 1kV C7301 220p 2kV C7309 0.01u 250V C7305 10p 50V C7103 470p 250V 0.01u 50V C7220 C7850 470p 250V C7307 33u 50V C7212 120u 450V ** ** D7313 B0EAKT000019 D7315 B0EAKT000019 D7209 D7204 D7301 D7203 D7312 B0ECKM000048 D7307 D7316 D7310 D7302 D7303 D7208 D7309 D7507 D7205 B0FAAR000014 D7102 1 * 2 * 3 * 4 * *CF7102 * * CF7101 * * D7304 DZ2J068M0L D7207 DZ2J068M0L D7306 B0BC01400017 D7201 DZ2J047M0L D7317 D7308 B0BC02500002 D7305 B0BC01400017 D7311 B0BC01400017 D7502 B0BC02500002 D7318 B0BC01400017 *D7504 DZ2J220M0L D7101 D7103 LF7103 1 * 2 * 3 * 4 * LF7101 G0B650H00002 1 * 2 * 3 * 4 * LF7102 1 * 2 * 3 * 4 * 1 ZCD 2 FB 3 IS 4 GND 5 OUT 6 VCC 7 NC 8 VH IC7201 1 FB 2 COMP 3 RT 4 RTZC 5 IS 6 GND 7 OUT 8 VCC P1 1 4 L7302 J0JHC0000075 *L7303 J0JKA0000024 L7203 J0JKB0000034 EXCELDR35V L7301 J0JKB0000034 T7201 G4DYA0000263 1 * 2 3 * 4 5 6 7 8 T7301A ETS28BH1L6AC 1 P2 * 2 PT 3 P1 * 5 V2 6 V1 8 S2B 9 S2A 10 S3B 11 S3A 12 S1 7 S2C K5D502BNA005 F7101 C C C C C C C C C C C C C H H H H H H ZA7003 K4AD01A00003 ZA7002 K4AD01A00003 ZA7001 K4AD01A00003 PC7301 PC7303 B3PAA0000363 PC7302 B3PAA0000363 TP7103 TP7105 TP7101 TP7102 TP7202 TP7204 TP7203 TP7201 TP7104 Q7305 * * * Q7201 * * * Q7502 B1ABBE000003 Q7501 B1ABBE000003 Q7303 B1ABBE000003 Q7203 B1ABBE000003 Q7301 B1ADCE000027 Q7202 B1ADCE000027 Q7304 B1ADCE000027 Q7302 B1ADCE000027 JS7850 JS7202 JS7301 R7504 10k R7317 8.2k R7316 47k R7321 15 *R7322 68k R7303 47 R7318 10k R7329 33k R7335 10M 1W R7503 47k R7512 1.5k R7505 470 R7202 0.22 2W R7201 0.22 2W R7233 10k R7330 18k R7511 2.2k R7207 47 R7203 75k R7338 33 2W R7301 22k R7104 2.2M 1/2W *R7328 39k R7515 15k R7516 15k R7507 2.2k R7206 75k 1/2W R7319 15k R7313 180k 1% R7209 100k R7320 470 R7341 0 R7213 2.2M 1% R7211 2.2M 1% R7326 220k R7217 2.2M 1% R7216 3.6k 1% R7215 51k 1% R7336 470k 1/2W R7310 2.2M 1% R7306 2.2M 1% R7308 2.2M 1% R7208 22 1/2W R7342 56k R7314 470k R7224 2.2M 1% R7325 33 R7226 2.2M 1% R7229 2.2M 1% R7230 2.2M 1% R7223 160k 1% R7234 10k R7210 0.22 2W R7219 2.2M 1% R7324 0.22 2W R7221 47k R7506 1k R7510 4.7k R7323 0.47 2W R7302 10k R7232 4.7k R7305 180k 1% R7231 22k R7315 47k R7333 4.7k C7310 0.01u 250V *JS7104 *JS7105 C L7308 J0JKB0000034 EXCELDR35V * * * $ * L N HOT COLD IS RTZC RT T5AH/250V D7314 B0BC01400017 IC7301 R7205 100

Upload: lythu

Post on 19-Mar-2018

212 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: IP3738 TH-L32C3C L32CH3C 02 110824 - go-gddq. · PDF file7+ / & & 7+ / &+ & 3 s 4 cÃ Ò 3 9 7 a 8 6 b c d e 1 f 45 2 th-l32c3c th-l32ch3c p-'Æ É 1/2 Ê?5 =] th-l32c3c th-l32ch3c

39

7

A

86

EC DB

1

F

45

2

TH

-L32

C3C

TH

-L32

CH

3CP

-1/

2

TH

-L32

C3C

TH

-L32

CH

3CP

-1/

2

A B C D E F G H I J K L

!P

- T

NP

A53

61A

L (1

/2)

+

+

-

+L.F

L.F

L.F

R7327

22

0V

C7209

0.1

u

50V

C7214

1u

**

C7210

220p

1kV

C7208

0.4

7u

50V

C7308

470p

C7306

100p

50V

C7304

1u

25V

C7303

1000p

50V

C7218

0.2

2u

50V

C7207

0.0

1u

50V

C7206

0.0

1u

50V

C7205

0.4

7u

50V

C7204

0.1

u

50V

C7203

1000p

50V

C7219

0.2

2u

50V

C7201

2200p

50V

C7102

470p

250V

C7503

1u

25V

C7105

0.2

2u

**

C7104

0.2

2u

**

C7202

470p

1kV

C7301

220p

2kV

C7309

0.0

1u

250V

C7305

10p

50V

C7103

470p

250V

0.0

1u

50V

C7220

C7850

470p

250V

C7307

33u

50V

C7212

120u

450V

** **

D7313

B0E

AK

T000019

D7315

B0E

AK

T000019

D7209

D7204

D7301

D7203

D7312

B0E

CK

M000048

D7307

D7316

D7310

D7302

D7303

D7208

D7309

D7507

D7205

B0F

AA

R000014

D7102

1*

2*3 *

4 *

*CF

7102

**

CF

7101

**

D7304

DZ

2J068M

0L

D7207

DZ

2J068M

0L

D7306

B0B

C01400017

D7201

DZ

2J047M

0L

D7317

D7308

B0B

C02500002

D7305

B0B

C01400017

D7311

B0B

C01400017

D7502

B0B

C02500002

D7318

B0B

C01400017

*D7504

DZ

2J220M

0L

D7101

D7103

LF

7103

1*

2*

3*

4*

LF

7101

G0B

650H

00002 1

*

2*

3*

4*

LF

7102

1*

2*

3*

4*

1Z

CD

2F

B

3IS

4G

ND

5

OU

T

6

VC

C

7

NC

8

VH

IC7201

1F

B

2C

OM

P

3R

T

4

RT

ZC

5

IS

6

GN

D

7

OU

T

8

VC

C

P1

1 4

L7302

J0JH

C0000075

*L7303

J0JK

A0000024

L7203

J0JK

B0000034

EX

CE

LD

R35V

L7301

J0JK

B0000034

T7

20

1G

4D

YA

0000263

1

*

2

3

*

4

5

6

7

8

T7301A

ET

S28B

H1L6A

C

1P

2

*

2P

T

3P

1*

5V

2

6V

1

8S

2B

9S

2A

10

S3B

11

S3A

12

S1

7S

2C

K5D

502B

NA

005

F7101

C

C

C C

C

C

C

C

CC

C

C

C

H

H

H

H

H

H

ZA

7003

K4A

D01A

00003

ZA

7002

K4A

D01A

00003

ZA

7001

K4A

D01A

00003

PC

7301

PC

7303

B3P

AA

0000363

PC

7302

B3P

AA

0000363

TP

7103

TP

7105

TP

7101

TP

7102

TP

7202

TP

7204

TP

7203

TP

7201

TP

7104

Q7

30

5

*

* *

Q7201

*

* *

Q7502

B1A

BB

E000003

Q7501

B1A

BB

E000003

Q7303

B1A

BB

E000003

Q7203

B1A

BB

E000003

Q7301

B1A

DC

E000027

Q7202

B1A

DC

E000027

Q7304

B1A

DC

E000027

Q7302

B1A

DC

E000027

JS

7850

JS

7202

JS

7301

R7504

10k

R7317

8.2

k

2.0 x 1.25

R7316

47k

2.0 x 1.25

R7321

15

*R7322

68k

R7303

47

2.0

x 1

.25

R7318

10k

2.0 x 1.25

R7329

33k

R7335

10M

1W

R7503

47k

2.0 x 1.25

R7512

1.5

k

R7505

470

R7202

0.2

22W

R7201

0.2

22W

R7233

10k

R7330

18k

R7511

2.2

k

R7207

47

R7203

75k

R7338

33

2W

R7301

22k

R7104

2.2

M1/2

W

*R7328

39k

R7515

15k

R7516

15k

R7507

2.2

k

R7206

75k

1/2

W

R7319

15k

R7313

180k

1%

2.0 x 1.25

R7209

100k

R7320

470

R7341

0

R7213

2.2

M

1%

R7211

2.2

M

1%

R7326

220k

R7217

2.2

M

1%

R7216

3.6

k

1%

R7215

51k

1%

R7336

470k

1/2

W

R7310

2.2

M

1%

3.2 x 1.6

R7306

2.2

M

1%

3.2 x 1.6

R7308

2.2

M

1%

3.2 x 1.6

R7208

22

1/2

W

R7342

56k

2.0 x 1.25R

7314

470k

2.0 x 1.25

R7224

2.2

M

1%

R7325

33

R7226

2.2

M

1% R7229

2.2

M

1%R7230

2.2

M

1% R7223

160k

1%

R7234

10k

R7210

0.2

22W

R7219

2.2

M

1%

R7324

0.2

2

2W

R7221

47k

R7506

1k R

7510

4.7

k

R7323

0.4

72W

R7302

10k

R7232

4.7

k

R7305

180k

1%

2.0 x 1.25

R7231

22k

R7315

47k

2.0 x 1.25

R7333

4.7

k

C7310

0.0

1u

250V

*JS

7104

*JS

7105

C

L7308

J0JK

B0000034

EX

CE

LD

R35V

**

*

$

*

L N

HO

TC

OL

D

ISR

TZ

C

RT

T5A

H/2

50V

D7314

B0B

C01400017

IC7301

R7205

100

Page 2: IP3738 TH-L32C3C L32CH3C 02 110824 - go-gddq. · PDF file7+ / & & 7+ / &+ & 3 s 4 cÃ Ò 3 9 7 a 8 6 b c d e 1 f 45 2 th-l32c3c th-l32ch3c p-'Æ É 1/2 Ê?5 =] th-l32c3c th-l32ch3c

1218

1617

1510

1314

11

A B C D E F G H I J K L

!P

- T

NP

A53

61A

L (2

/2)

+

+

+

EX

CE

LD

R35V

EXCELDR35VEXCELDR35V

C7802

0.4

7u

50V

C7836

15p

6.3

kV

C7831

0.0

1u

50V

C7842

1500p

50V

C7822

0.4

7u

50V

C7821

0.0

1u

50V

C7820

0.4

7u

50V

C7819

0.1

u

50V

C7834

4700p

50V

C7835

4700p

50V

C7817

0.0

1u

50V

C7816

1u

25V

C7814

100p

50V

C7815

100p

50V

C7826

0.0

1u

50V

C7812

100p

50V

C7813

100p

50V

C7810

100p

50V

C7811

100p

50V

C7809

100p

50V

C7833

100p

50V

C7808

1500p

50V

C7807

15p

6.3

kV

C7806

1500p

50V

C7805

15p

6.3

kV

*C7804

1u

F0C

ZZ

105A

097

*C7803

1u

F0C

ZZ

105A

097

C7839

15p

6.3

kV

C7845

1500p

50V

C7847

1500p

50V

C7841

15p

6.3

kV

C7846

1500p

50V

C7840

15p

6.3

kV

C7844

1500p

50V

C7838

15p

6.3

kV

C7837

15p

6.3

kV

C7843

1500p

50V

C7828

470p

1kV

C7832

2200p

1kV

C7827

470p

1kV

C7818

0.0

1u

50V

C7502

1u

25V

C7501

0.1

u50V

C7512

0.1

u50V

C7508

470p

1kV

C7509

470p

1kV

C7520

0.1

u

50V

C7521

0.1

u

50V

C7513

680u

25V

C7514

10V

1000u

C7511

680u

25V

D7812

B0JC

KG

000002

D7506

B0JA

PK

000011

D7811

B0JC

KG

000002

*D7503

B0H

ES

M000001

D7801

B0ECKM000048

D7802

B0ECKM000048

D7805

D7808

OK

D7810

D7809

D7815

D7806

D7804

D7803

D7807

D7814

DZ

2J150M

0L

*D7819

DZ

2J150M

0L

IC7501

4

3

1

2

IC7801

1V

CC

2S

TB

3G

ND

4R

T

5F

B

6IS

7V

S

8P

WM

CM

P

9C

P10

PW

M_IN

11

SD

ON

12

SS

13

FA

IL

14

CO

MP

SD

15

CO

MP

16

PG

ND

17

N2

18

N1

P6

*

1

*

2

P7

*

1

*

2P5

*

1

*

2

P8

*

1

*

2

P2

K1K

Y16A

A0806

12345678910

11

12

13

14

15

16

L7804

J0JK

B0000034

EX

CE

LD

R35V

L7808

J0JK

B0000034

L7803

J0JK

A0000024

L7809

J0JK

B0000034

T7802

G4F

YA

0000020

1

*

2

*

3

*

4

*

5

*

6

*

T7803

G4F

YA

0000020

CD

-T-7

541A

-995P

N

1

*

2

*

3

*

4

*

5

*

6

*

T7801

G4B

YA

0000027

1

5

10

3

6

9

4

2

7

T7804

4

51

*

2

3*

C

C

C

CC

CC

C

C

C

C

C

C

C

C

C

C

C

C

C

C

C

C

C

C

C

C

C

C

C

C C

C

C

C

C

C C

C

C

CC

C

CC

C

C

C

H

H

H

TP

7821

TP

7801

TP

7808

TP

7818

TP

7503

TP

7806

TP

7501

TP

7805

TP

7813

TP

7811

TP

7819

TP

7817

TP

7802

TP

7810

TP

7502

TP

7804

TP

7815 T

P7809

TP

7807

TP

7822

TP

7851

TP

7852

TP

7855

TP

7853

TP

7854

TP

7812

TP

7820

TP

7803

TP

7504

TP

7816

TP

7505

TP

7814

Q7804

Q7803

Q7503

Q7504

Q7505

B1A

BB

E000003

Q7802

B1A

DC

E000027

Q7801

B1A

DC

E000027

JS

7822

JS

7811

JS

7802

JS

7803

*R7508

5.6

k

1%

R7509

10k

R7814

10k1%

R7835

1k

R7832

1k

R7834

68

R7823

10k 1%

R7829

470

1%

R7842

20k

1%

R7824

100k

1%

R7820

1

R7825

100k

1%

R7819

100k

1%

R7502

0

R7836

1k

R7818

10k 1%

R7817

12k 1%

R7816

100k

1%

R7840

20k

1%

R7841

20k1%

*R7513

82k 1%

R7839

20k

1%

R7826

100k1%

R7828

51k 1%

R7815

10k1%

R7517

15k

*R7514

12k

1%

R7518

150k

R7519

10k

R7801

100

R7802

100

R7803

27k

1%

R7838

20k

1%

R7811

10k1%

R7812

10k1%

R7813

10k1%

R7822

47k

R7808

10k1%

R7809

10k1%

R7837

20k

1%

R7804

10k

1%

R7520

15k

R7805

51k

1%

R7833

1k

R7830

470

1%

R7831

68

R7806

20k

1%

R7810

10k1%

R7807

20k1%

R7821

1k

R7844

75

R7843

75

PA

7551

TU

NE

R6V

5V

S

INV

_O

N

INV

_P

WM

INV

_S

OS

GN

D

RE

LA

Y

TV

_S

UB

_O

N

GN

D

KE

Y1

GN

D

GN

D

16V

KE

Y3

16V

16V

OV

P1

OV

P2

FR

EQ

AR

C2

AR

C1

C7505

0.0

1u

50V

TH

-L32

C3C

TH

-L32

CH

3CP

-2/

2

TH

-L32

C3C

TH

-L32

CH

3CP

-2/

2

Page 3: IP3738 TH-L32C3C L32CH3C 02 110824 - go-gddq. · PDF file7+ / & & 7+ / &+ & 3 s 4 cÃ Ò 3 9 7 a 8 6 b c d e 1 f 45 2 th-l32c3c th-l32ch3c p-'Æ É 1/2 Ê?5 =] th-l32c3c th-l32ch3c

39

7

A

86

EC DB

1

F

45

2

TH

-L32

C3C

TH

-L32

CH

3CA

-1/

12

TH

-L32

C3C

TH

-L32

CH

3CA

-1/

12

!A

- T

NP

4G49

3AC

(1/

12 )

A-

TN

P4G

493A

D (

1/12

)

CC

C

ZA

5401

K4

ZZ

01

00

02

89

ZA

5413

K4Z

Z01000289

ZA

5417

K4Z

Z01000289

ZA

5414

K4Z

Z01000289

ZA

5403

K4

ZZ

01

00

02

89

ZA

5400

K4

ZZ

01

00

02

89

ZA

5402

K4

ZZ

01

00

02

89

ZA

5410

K4Z

Z01000289

ZA

5423

K4

ZZ

01

00

02

89

ZA

5412

K4Z

Z01000289

ZA

5422

K4Z

Z01000289

ZA

5409

K4

ZZ

01

00

02

89

ZA

5421

K4

ZZ

01

00

02

89

ZA

5408

K4

ZZ

01

00

02

89

ZA

5406

K4

ZZ

01

00

02

89

ZA

5415

K4Z

Z01000289

JS

10

11

(TH

-L3

2C

3C

)JS

1012(T

H-L

32C

H3C

)

*JS

10

00

Sh

ield

Clip

AB

CA

(UA

)

3.3

0V

0V

0V

0V

3.3

0V

0V

0V 0V0V

HD

MI_

CE

C

MC

U_

I2C

DA

TA

0V

YU

V_

DE

T

MC

U_

I2C

CL

K

0V

0V

0V

0V

C8

01

91

6V

0.1

u

C8

01

81

6V

0.1

u

C8

02

21

6V

0.0

1u

C8

02

4

25

V0

.01

u

C8

00

87

p5

0V

C8

01

2

16

V

0.1

u

C8

01

1

16

V

0.1

u

C8

02

6

25

V

0.0

1u

C8

00

1

6.3

V1

u

C8

02

1

16

V0

.1u

C8

02

5

16

V

5p

C8

02

71

6V

0.1

u

C8

02

81

6V

0.1

u

C8

01

41

6V

0.1

u

C8

01

5

16

V1

50

p

C8

01

71

6V

0.1

u

C8

00

76

p5

0V

C8

01

31

6V

0.1

u

C8

02

01

6V

0.1

u

C8

01

61

6V

0.1

u

C8

02

3

50

V

5p

C8

10

11

6V

0.1

u

C8

02

9

25

V0

.01

5u

C8

00

2

6.3

V

1u

IC8

00

1D

7F

BIN

B

IC8

00

1P

5P

11

_A

C_

DE

TE

CT

IC8

00

1A

F6

SD

DA

TA

1

IC8

00

1D

1R

XP

0_

B

IC8

00

1H

22

CL

KIN

42

IC8

00

1D

11

BIA

SD

A2

IC8

00

1D

18

P7

2_

PP

G

IC8

00

1A

12

VG

3IN

IC8

00

1F

25

I2C

DA

TA

1

IC8

00

1C

2R

XN

C_

B

IC8

00

1A

3R

XP

2_

A

IC8

00

1V

5P

25

_B

L_

ST

AT

US

IC8

00

1D

3H

DM

ITE

ST

5

IC8

00

1M

3C

OL

DR

ST

_N

IC8

00

1H

25

UA

TX

D0

IC8

00

1Y

23

GP

IO6

IC8

00

1A

F7

SD

DA

TA

0

IC8

00

1A

D5

SD

WP

IC8

00

1B

11

VS

B_

INN

IC8

00

1D

20

AR

IN5

IC8

00

1E

11

BIA

SD

A3

IC8

00

1H

6R

EX

T_

B

IC8

00

1H

2R

XN

0_

C

IC8

00

1A

C5

P1

2_

PW

_P

RO

TE

CT

IC8

00

1M

6S

CL

_D

DC

_A

IC8

00

1D

19

P2

2_

EX

T_

MU

TE

IC8

00

1V

23

GP

IO1

0

IC8

00

1C

14

SY

NC

IN1

IC8

00

1J2

3U

AT

XD

2

IC8

00

1T

4P

03

_P

OW

ER

KE

Y

IC8

00

1A

22

AL

IN2

IC8

00

1B

6R

XN

C_

A

IC8

00

1G

5A

CK

_D

DC

_A

IC8

00

1B

21

AR

IN3

IC8

00

1G

1R

XP

C_

C

IC8

00

1A

B6

P0

2_

PO

WE

R_

TV

IC8

00

1C

6H

DM

ITE

ST

1

IC8

00

1K

26

UA

RX

D1

IC8

00

1E

22

TS

I1S

YN

C

IC8

00

1A

6R

XP

C_

A

IC8

00

1B

12

VB

3IN

IC8

00

1Y

6S

LO

W_

AD

3

IC8

00

1D

22

TS

I1D

AT

A

IC8

00

1C

7F

BIN

A

IC8

00

1B

22

AR

IN2

IC8

00

1D

16

VR

EF

AIN

IC8

00

1E

8R

FA

GC

IC8

00

1C

20

AL

IN5

IC8

00

1B

5R

XN

0_

A

IC8

00

1J2

RX

N1

_C

IC8

00

1E

1R

XP

1_

B

IC8

00

1K

4S

DA

_D

DC

_B

IC8

00

1R

5M

CU

_S

CL

IC8

00

1A

F5

SD

CL

K

IC8

00

1D

23

TS

I1V

AL

ID

IC8

00

1B

20

AR

IN4

IC8

00

1A

C7

SL

OW

_A

D5

IC8

00

1C

3H

DM

ITE

ST

4

IC8

00

1W

22

GP

IO9

IC8

00

1G

23

VC

XP

WM

OU

T

IC8

00

1A

23

AL

IN1

IC8

00

1H

23

UA

CT

S0

_N

IC8

00

1P

22

GP

IO1

2

IC8

00

1A

13

VB

2IN

IC8

00

1C

24

GP

IO1

9

IC8

00

1E

23

TS

I1C

LK

I

IC8

00

1N

4P

B7

_C

EC

_O

UT

IC8

00

1K

5A

CK

_D

DC

_C

IC8

00

1C

15

VR

EF

BIN

IC8

00

1B

7G

PIO

1

IC8

00

1C

8A

VD

IN

IC8

00

1J6

RE

XT

_C

IC8

00

1K

22

GP

IO1

6IC

80

01

B4

RX

N1

_A

IC8

00

1C

22

GP

IO2

1

IC8

00

1V

6S

LO

W_

AD

1

IC8

00

1C

23

GP

IO2

0

IC8

00

1C

9D

CIN

0

IC8

00

1H

5A

CK

_D

DC

_B

IC8

00

1B

13

VR

3IN

IC8

00

1J5

P0

0_

DD

C5

V_

C

IC8

00

1A

C6

SD

CD

IC8

00

1J1

RX

P1

_C

IC8

00

1A

20

AL

IN4

IC8

00

1A

21

AL

IN3

IC8

00

1J3

HD

MIT

ES

T6

IC8

00

1F

6G

PIO

3

IC8

00

1B

23

AR

IN1

IC8

00

1J4

PB

6_

HP

LU

G_

C

IC8

00

1E

9A

NT

CO

N

IC8

00

1G

25

I2C

DA

TA

2

IC8

00

1G

4P

B5

_H

PL

UG

_B

IC8

00

1T

5M

CU

_S

DA

IC8

00

1C

17

VM

ON

IOU

T

IC8

00

1A

A2

1IR

Q3

IC8

00

1

TP

80

42 T

P8

04

3

E2

4IR

Q0

IC8

00

1A

E7

SL

OW

_A

D7

IC8

00

1M

5P

77

_A

VL

INK

0IN

IC8

00

1J2

4U

AR

XD

2

IC8

00

1A

11

VS

B_

INP

IC8

00

1F

4P

B4

_H

PL

UG

_A

IC8

00

1P

3M

OD

E_

IN

IC8

00

1B

17

VC

VS

4IN

IC8

00

1A

4R

XP

1_

A

IC8

00

1A

9P

3C

KIN

IC8

00

1N

3M

CU

CL

KO

UT

IC8

00

1M

4P

76

_C

EC

_IN

IC8

00

1A

A2

2G

PIO

5

IC8

00

1D

14

AA

DV

CM

L

IC8

00

1F

26

I2C

CL

K1

IC8

00

1A

24

AL

TU

NE

OU

T

IC8

00

1A

17

VC

VS

3IN

IC8

00

1A

A6

SL

OW

_A

D4

IC8

00

1F

23

PA

NE

LC

LK

O

IC8

00

1G

24

IRQ

2

IC8

00

1Y

22

GP

IO7

IC8

00

1D

21

HD

MIT

ES

T3

IC8

00

1M

1X

IN

IC8

00

1A

18

SIF

IN

IC8

00

1K

25

UA

TX

D1

IC8

00

1W

5P

80

_B

L_

ON

OF

F

IC8

00

1J2

6U

A0

EX

CL

K

IC8

00

1T

22

TE

ST

IC8

00

1R

4O

CD

_D

AT

A

IC8

00

1M

22

GP

IO1

4

IC8

00

1L

6S

DA

_D

DC

_A

IC8

00

1D

8A

HD

IN

IC8

00

1E

13

VR

EF

EIN

IC8

00

1N

1R

TC

_X

IN

IC8

00

1M

2X

OU

T

IC8

00

1A

E5

SD

CM

D

IC8

00

1D

9D

CIN

1

IC8

00

1K

3H

DM

ITE

ST

7

IC8

00

1H

1R

XP

0_

C

IC8

00

1E

10

P2

FIL

IC8

00

1D

12

P3

FIL

IC8

00

1A

14

VR

2IN

IC8

00

1B

25

AR

SP

OU

T

IC8

00

1E

6G

PIO

2

IC8

00

1K

2R

XN

2_

C

IC8

00

1R

3O

CD

_C

LO

CK

IC8

00

1U

5P

71

_S

TA

ND

BY

_L

ED

IC8

00

1L

22

GP

IO1

5

IC8

00

1E

7IF

AG

C

IC8

00

1K

6T

ES

TIN

IC8

00

1B

18

VC

VS

1IN

IC8

00

1G

6R

EX

T_

A

IC8

00

1F

24

IRQ

1

IC8

00

1A

5R

XP

0_

A

IC8

00

1G

2R

XN

C_

C

IC8

00

1E

2R

XN

1_

B

IC8

00

1U

22

RIE

XT

_A

IC8

00

1C

10

VR

EF

DIN

IC8

00

1A

16

VR

1IN

IC8

00

1E

5I2

CD

AT

A0

IC8

00

1E

12

VB

G

IC8

00

1C

1R

XP

C_

B

IC8

00

1A

D7

SL

OW

_A

D6

IC8

00

1C

13

SY

NC

IN2

IC8

00

1B

16

VY

1IN

IC8

00

1L

5S

CL

_D

DC

_B

IC8

00

1K

1R

XP

2_

C

IC8

00

1A

B5

P7

3_

PO

WE

R_

DB

IC8

00

1N

22

GP

IO1

3

IC8

00

1A

15

VG

1IN

IC8

00

1G

26

I2C

CL

K2

IC8

00

1N

5P

75

_A

VL

INK

1IN

IC8

00

1C

18

VC

VS

2IN

IC8

00

1A

8P

2C

KIN

IC8

00

1A

D6

SD

DA

TA

3

IC8

00

1D

2R

XN

0_

B

IC8

00

1A

A5

P7

4_

PO

WE

R_

SIG

IC8

00

1C

25

GP

IO1

8

IC8

00

1D

13

VB

GE

IC8

00

1E

4I2

CC

LK

0

IC8

00

1B

24

AR

TU

NE

OU

T

IC8

00

1B

8D

AO

UT

2

IC8

00

1W

6S

LO

W_

AD

2

IC8

00

1Y

5P

81

_P

OW

ER

_L

CD

IC8

00

1U

4P

70

_P

OW

ER

_L

ED

IC8

00

1B

9D

AO

UT

3

IC8

00

1F

1R

XP

2_

B

IC8

00

1L

3S

DA

_D

DC

_C

IC8

00

1H

26

UA

RX

D0

IC8

00

1B

3R

XN

2_

A

IC8

00

1A

25

AL

SP

OU

T

IC8

00

1F

2R

XN

2_

B

IC8

00

1A

B7

P1

3_

RE

MO

TE

IN

IC8

00

1C

11

VR

EF

CIN

IC8

00

1B

14

VG

2IN

IC8

00

1U

6S

LO

W_

AD

0

IC8

00

1B

15

VB

1IN

IC8

00

1F

22

PA

NE

LC

LK

I

IC8

00

1V

22

GP

IO1

1

IC8

00

1A

E6

SD

DA

TA

2

IC8

00

1D

6H

DM

ITE

ST

2

IC8

00

1A

7G

PIO

0

IC8

00

1J2

5U

A2

EX

CL

K

IC8

00

1W

23

GP

IO8

IC8

00

1J2

2G

PIO

17

IC8

00

1F

5P

10

_D

DC

5V

_A

IC8

00

1H

4P

01

_D

DC

5V

_B

IC8

00

1N

2R

TC

_X

OU

T

IC8

00

1C

16

VC

1IN

IC8

00

1L

4S

CL

_D

DC

_C

IC8

00

1A

A2

3G

PIO

4

IC8

00

1H

24

UA

RT

S0

_N

IC8

00

1C

12

SY

NC

IN3

IC8

00

1G

22

VC

XO

I

*IC

8004

C3E

BG

Y000023

C3

EB

GC

00

00

56

BR

24

S1

6F

J-W

E2

1A

0

2A

1

3A

2

4G

ND

5

SD

A

6

SC

L

7

WP

8

Vcc

L8

01

3

J0

JY

C0

00

03

22

L8

01

4

J0

JY

C0

00

03

22

C

C

C

C

CC

C

C

CC

C

C

C

C

C

C

C

C

C

C

C

C

C

C

C

ST

B3

.3V

SU

B3

.3V

_A

SUB3.3V_HDMI

ST

B3

.3V

ST

B3

.3V

SU

B3

.3V

SU

B3

.3V

_A

ST

B3

.3V

VJUMP1608-P

TP

80

27

TP

80

29

TP

80

38

TP

80

30

TP

80

24

TP

80

33

TP

80

36

TP

80

25

TP

80

31

TP

80

40

TP

80

28

TP

80

37

TP

80

32

TP

80

26

TP

80

35

TP

80

34

VY

1IN

DD

C5

V_

B

VC

VS

1IN

SC

L_

DD

C_

A

AR

IN3

AL

IN1

SC

L_

DD

C_

C

AL

IN4

VG

1IN

AR

IN5

RX

P1

_C

RX

N2

_C

RX

P1

_A

AR

IN2

AL

IN2

RX

PC

_C

VS

B_

INN

RX

P1

_B

RX

NC

_C

AR

IN1

SD

_B

OO

T

AH

DIN

RIE

XT

_A

VC

VS

2IN

AV

DIN

SD

CD

VG

3IN

AL

IN3

SY

NC

IN2

VC

VS

3IN

RX

N0

_B

RX

N0

_C

VR

3IN

RX

NC

_B

VB

1IN

RX

P0

_A

VC

VS

4IN

VB

2IN

RX

N1

_A

DD

C5

V_

C

RX

N1

_C

RX

P2

_B

VR

2IN

VB

3IN

MC

U_

XR

ST

PO

WE

R_

DE

T_

24

KE

C

RX

N1

_B

VC

1IN

AR

IN4

SC

L_

DD

C_

B

SY

NC

IN3

VR

1IN

RX

PC

_B

SD

WP

RX

N2

_A

RX

P0

_B

RX

NC

_A

SY

NC

IN1

AL

IN5

RX

N2

_B

SIF

IN

SO

UN

D_

SO

S

RX

P2

_A

MO

DE

_IN

VS

B_

INP

RX

P2

_C

DD

C5

V_

A

RX

N0

_A

RX

PC

_A

VG

2IN

RX

P0

_C

VM

ON

IOU

T

SD

CL

K

24

KE

C_

I2C

CL

K0

AR

TU

NE

OU

T

AC

K_

DD

C_

B

AR

SP

OU

T

AL

SP

OU

T

AL

TU

NE

OU

T

AC

K_

DD

C_

C

24

KE

C_

I2C

CL

K2

24

KE

C_

I2C

CL

K1

AC

K_

DD

C_

A

PA

NE

L_

ST

BY

_O

N/P

AN

EL

_V

CC

_O

N

PA

NE

L_

TE

ST

_O

N/V

DD

25

_E

N

SD

CM

D

PO

WE

R_

LE

D_

ON

PA

NE

L_

AG

W/A

VD

D_

EN

B

SO

S

UA

RT

S0

_N

PO

WE

R_

DE

T_

24

KE

C

TU

NE

R_

SW

1

UA

RX

D1

ET

HE

R_

IRQ

IFA

GC

PA

NE

L_

AL

AR

M/P

AN

EL

_L

ED

_O

N

MO

N_

MU

TE

/IP

OD

_P

WR

_O

NRE

V_

DA

C_

EN

B

TC

ON

_G

DA

TA

2

24

KE

C_

I2C

DA

TA

1

HP

LU

G_

A

TC

ON

_G

DA

TA

1

GR

AB

_M

OD

UL

E_

IIC

/PW

M_

PO

W_

ON

SD

DA

T3

CA

TS

_E

YE

TU

NE

R_

SW

2

UA

0E

XC

LK

HD

MI_

CE

C

SIF

_F

IL_

ON

HP

LU

G_

C

MC

U_

I2C

DA

TA

RF

_A

FT

SD

A_

DD

C_

A

UA

RX

D0

24

KE

C_

EE

P_

WP

EC

O_

ON

/IN

VE

RT

ER

_O

N

PA

NE

L_

RE

AD

Y/F

V_

SE

L

TV

_S

YN

C/T

UN

ER

BO

X_

SE

L

YU

V_

DE

T

RF

AG

C

KE

Y1

HP

LU

G_

B

FA

N_

OF

F

KE

Y3

/ST

BY

_L

ED

_O

N

PO

WE

R_

DE

T_

24

KE

C

DIS

PE

N

AU

DIO

_X

RS

T

UA

TX

D1

SD

A_

DD

C_

C

UA

CT

S0

_N

PO

WE

R_

OF

F_

DE

T

AR

C1

_O

FF

TV

_S

UB

_O

N

UA

TX

D0

HP

_M

UT

E_

TE

MP

SR

Q

24

KE

C_

I2C

DA

TA

2

24

KE

C_

I2C

DA

TA

0

SD

A_

DD

C_

B

INV

ER

TE

R_

SO

S

SD

DA

T0

SD

_L

ED

_O

FF

MC

U_

I2C

CL

K

SD

DA

T2

RM

_IN

HO

TE

L_

SE

RIA

L_

SW

OC

D_

CL

OC

K

TC

ON

_C

PVA

RC

2_

OF

F

TC

N_

LD

OC

D_

DA

TA

SD

DA

T1

Q8

00

5B

1C

BG

D0

00

00

1

Q8

00

2B

1A

BC

E0

00

01

5

Q8

00

3B

1A

BC

E0

00

01

5

R8

03

9

4.7

k

R8

04

41

k

1M

R8

02

3

R8

02

62

k1%

R8

05

11

00

*R8

09

00

R8

03

2

39

R8

02

52

k1%

R8

05

01

00

R8

06

24

.7k

R8

10

7

22

0

*R4213

10k

R8

02

41

.5k

R8

10

14

.7k

R8

05

5

2.2

k

R8

05

61

.5k

R8

09

64

.7k

*R8

10

0

22

k

R8

04

8

27

k

R8

05

4

1.2

k

R8

04

54

7k

R8

05

71

.5k

R8

09

84

.7k

R8

10

3

4.7

k

R8

03

4

39

R8

04

9

10

0

R8

03

71

0k

R8

04

34

7k

R8

10

24

.7k R4

57

0 1k

R8

05

8

22

0

R8

05

3

1k

R8

03

3 39

R8

02

72

k1%

X8

00

0

H0

J2

50

50

00

94

S

GP

IO/IR

Q_M

CU

int

P.U

.

8m

AC

ell

GP

IO1

0 S

P_

LE

D_

DIM

SL

OW

1 K

EY

18

mA

Ce

ll

P7

4 H

OT

_S

TA

RT

[DD

R_

Se

lf_

Re

fre

sh

]

GP

IO9

S

P_

LE

D_

OF

F

SL

OW

4 S

RQ

GP

IO1

1 IP

OD

_C

P_

RS

T

PB

6 H

PL

UG

_C

SD

Sheet0

03

GP

IO6

R

ED

_L

ED

(fo

r d

eb

ug

)

HD

MI S

heet0

10

P2

2 H

P_

MU

TE

1

int P

.D.

8m

AC

ell

int P

.D.

8m

AC

ell

int

P.D

.

CLO

CK

5V

to

lera

nt

int

P.D

.

GP

IO1

L

CD

_E

EP

_W

P

GP

IO0

IP

OD

_C

TR

L_

SW

int

P.U

.

TU

Sheet0

12

int

P.U

.

int

P.U

.

5V

to

lera

nt

5V

to

lera

nt

P8

1 P

AN

EL

_S

TB

Y_

ON

/PA

NE

L_

VC

C_

ON

IIC

_24K

Ec

int

P.U

.

GP

IO8

2

4K

Ec_

EE

P_

WP

5V

to

lera

nt

P0

1 D

DC

5V

_B

IRQ

3

PO

WE

R_

DE

T_

24

KE

C

5V

to

lera

nt

DC

IN0

IP

OD

_A

CC

_P

WR

_X

DE

T

5V

to

lera

nt

5V

to

lera

nt

DC

IN1

H

P_

DE

T

8m

AC

ell

5V

to

lera

nt

UA

RT

_24K

Ec

GP

IO1

8 P

AN

EL

_R

EA

DY

/FV

_S

EL

GP

IO7

G

RE

EN

_L

ED

(fo

r d

eb

ug

)

GP

IO1

9 P

AN

EL

_A

LA

RM

/PA

NE

L_

LE

D_

ON

P0

0 D

DC

5V

_C

GP

IO1

3 T

UN

ER

_S

W2

IRQ

2

ET

HE

R_

IRQ

GP

IO2

PA

NE

L_

TE

ST

_O

N/V

DD

25

_E

N

GP

IO3

PA

NE

L_

AG

W/A

VD

D_

EN

B

P7

1 K

EY

3/S

TB

Y_

LE

D_

ON

P7

6 C

EC

_IN

PIO

8

SD

_L

ED

_O

FF

P7

3 I

PO

D_

XD

ET

/ H

OT

EL

_S

ER

IAL

_S

W

int

P.D

.

int

P.U

.

int P

.D.

int

P.D

.

P0

2 E

CO

_O

N /

IN

VE

RT

ER

_O

N

P1

3 R

M_

IN

5V

to

lera

nt

P1

2 T

V_

SU

B_

ON

int

P.U

.

P1

0 D

DC

5V

_A

int

P.U

.

int

P.U

.

int

P.D

.

5V

to

lera

nt

5V

to

lera

nt

PIO

03

TC

ON

_G

DA

TA

1

8m

AC

ell

5V

to

lera

nt

PIO

04

TC

ON

_G

DA

TA

2

SL

OW

6 D

PM

S_

ST

S /

Po

we

rOff

De

t

D

5V

to

lera

nt

G

INV

ER

TE

R_

SO

S

GP

IO/IR

Q_24K

Ec

8m

AC

ell

int

P.U

.in

t P

.D.

8m

AC

ell

P1

1 P

OW

ER

_D

ET

/ L

DpX

int P

.D.

P7

7 S

UB

3.3

V_

SE

NS

E

AV

SW

Sheet0

07

PB

4 H

PL

UG

_A

GP

IO1

2 T

UN

ER

_S

W1

SL

OW

2 C

AT

S_

EY

E

8m

AC

ell

GP

IO5

F

AN

_O

FF

8m

AC

ell

PB

5 H

PL

UG

_B

P8

0 M

CU

_E

EP

_W

P

GP

IO4

F

AN

_M

AX

/LC

D_

EE

P_

WP

/ZW

EI_

VO

UT

_E

NB

P0

3 M

ON

_M

UT

E /

IP

OD

_P

WR

_O

N

SL

OW

5 S

OS

[Po

we

r, S

ub

9,

Su

b5

, F

AN

, S

ou

nd

]

8m

AC

ell

int

P.U

.

8m

AC

ell

PIO

02

TC

ON

_C

PV

P2

5 G

UA

RD

_D

OG

_E

XE

P7

0 P

OW

ER

_L

ED

_O

N[

RL

ED

_O

N]

5V

to

lera

nt

25

MH

z

5V

to

lera

nt

5V

to

lera

nt

SL

OW

7 F

AC

T

int

P.D

.

int

P.U

.

P7

2 G

RA

B_

MO

DU

LE

_II

C /

PW

M_

PO

W_

ON

GP

IO2

0 D

ISP

EN

SL

OW

3 R

F_

AF

T

int

P.D

.

int

P.U

.

GP

IO1

7 A

UD

IO_

XR

ST

int

P.U

.

GP

IO1

6 S

_X

DE

T

int

P.U

.

GP

IO1

5 Y

UV

_D

ET

int

P.U

.

GP

IO2

1 T

V_

SY

NC

/TU

NE

RB

OX

_S

EL

GP

IO1

4 S

OU

ND

SO

S(7

/7

P7

5 C

EC

_O

UT

PB

7 I

PO

D_

SO

S

PIO

7

SU

B3

.3V

_S

D_

XD

ET

Page 4: IP3738 TH-L32C3C L32CH3C 02 110824 - go-gddq. · PDF file7+ / & & 7+ / &+ & 3 s 4 cÃ Ò 3 9 7 a 8 6 b c d e 1 f 45 2 th-l32c3c th-l32ch3c p-'Æ É 1/2 Ê?5 =] th-l32c3c th-l32ch3c

TH

-L32

C3C

TH

-L32

CH

3CA

-2/

12

TH

-L32

C3C

TH

-L32

CH

3CA

-2/

12

1218

1617

1510

1314

11

!A

- T

NP

4G49

3AC

(2/

12)

A-

TN

P4G

493A

D (

2/12

)

CH

0A

10

SU

B1

.2V

0V

CH

0D

Q1

1

CH

0A

2

CH

0D

Q5

1.2

0V

CH

0D

Q1

2

CH

0X

CK

CH

0A

8

CH

0X

WE

CH

0D

Q6

CH

0A

1

0V

CH

0C

K

CH

0B

A1

CH

0D

Q1

4

CH

0D

Q1

0

CH

0A

11

CH

0D

Q3

CH

0B

A0

CH

0A

6

CH

0X

CS

0C

H0

XC

S0

CH

0D

Q1

CH

0D

Q2

CH

0A

9

0V

0V

CH

0X

CA

S

CH

0D

M1

CH

0A

3

CH

0D

QS

1

CH

0A

5

CH

0D

Q1

5

CH

0X

BA

2

CH

0C

KE

CH

0D

Q1

3

CH

0A

12

CH

0D

Q7

0V

GU

AR

D

CH

0A

4

CH

0D

QS

0

0V

CH

0D

M0

CH

0A

7

0V

CH

0D

Q8

0V

0V

CH

0A

0

CH

0D

Q0

0V

CH

0X

RA

S

CH

0D

QS

0_

N

CH

0D

Q9

CH

0D

QS

1_

N

CH

0O

DT

CH

0D

Q4

C8

06

9

16V

0.1

u

C8

10

450V

10

00

p

C8

06

4

6.3

V

1u

C8

12

016V

0.1

u

C8

03

3

10

u

10V

C8

14

650V

10

00

p

C8

11

250V

10

00

p

C8

12

716V

0.1

u

C8

08

1

6.3

V

1u

C8

00

5

16

V0

.1u

C8

07

1

16V

0.1

u

C8

04

410V

1u

C8

04

510V

1u

C8

04

64V

22

u

C8

03

616V

0.1

u

C8

13

616V

0.1

u

C8030

10

u10V C

80

39

10

u10V

C8

05

11

0u

10V

C8

08

2

10

u1

0V

C8

07

0

50V

10

00

p

C8

06

35

0V

10

00

p

C8

04

7

16V

0.1

u

C8

07

8

6.3

V

1u

C8

14

850V

10

00

p

C8

06

7

6.3

V

1u

C8

14

116V

0.1

u

C8

14

410V

1u

C8

13

0

16

V

0.1

u

C8

06

01

6V

0.1

u

C8

07

4

50V

10

00

p

C8

06

5

16V

0.1

u

C8

05

96

.3V

1u

C8

13

350V

10

00

p

C8

04

96.3

V

1u

C8

10

550V

10

00

p

C8

12

150V

10

00

p

C8

13

15

0V

10

00

p

C8

04

11

0u

10V

C8

13

26

.3V

1u

C8

08

0

6.3

V

1u

C8

14

310V

1u

C8

05

8

10

u

10

V

C8

03

51

0u

10V

C8

06

8

6.3

V

1u

C8

04

216V

0.1

u

C8

04

310V

1u

C8

03

716V

0.1

u

C8

14

716V

0.1

u

C8

14

516V

0.1

u

C8

07

9

6.3

V

1u

C8

11

11

0u

10

V

C8

04

85

0V

10

00

p

C8

04

016V

0.1

u

C8

03

816V

0.1

u

C8

00

6

16

V0

.1u

C8

00

3

16

V0

.1u

C8

14

050V

10

00

p

C8

06

2

16

V

0.1

u

C8

11

6

16

V

0.1

u

C8

05

416V

0.1

u

C8

06

15

0V

10

00

p

C8

05

316V

0.1

u

C8

09

71

0u

10

V

C8

11

016V

0.1

u

C8

05

616V

0.1

u

C8

11

36

.3V

1u

C8

05

016V

0.1

u

C8

10

2

50

V

5p

C8

03

416V

0.1

u

C8

07

3

16V

0.1

u

C8

00

9

4.7

u1

0V

C8

13

916V

0.1

u

C8

07

5

16V

0.1

u

C8

00

4

16

V0

.1u

IC8

00

1

C1

AB

00

00

33

71

A1

VS

S

IC8

00

2

C3

AB

SG

00

00

60

C3

AB

SY

00

00

68

A1

VD

D

IC8

00

1A

D1

7D

DR

BA

2

IC8

00

1P

2E

BC

E1

_N

IC8

00

2K

3W

E

IC8

00

2N

1V

SS

IC8

00

1A

D1

1D

DR

DQ

0

IC8

00

1A

C2

1V

DD

18

DD

R

IC8

00

1A

19

AV

SS

IC8

00

2L

1N

C

IC8

00

1T

24

VD

DC

IC8

00

1A

F1

4D

DR

DQ

8

IC8

00

2G

8D

Q0

IC8

00

1H

16

AV

DD

33

AA

DC

IC8

00

1U

8V

DD

12

MC

U

IC8

00

2C

8D

Q8

IC8

00

1K

14

AV

SS

IC8

00

1P

24

VS

S

IC8

00

1R

25

TC

LK

1_

N

IC8

00

1A

B2

3D

DR

AD

6

IC8

00

1R

26

TC

LK

1

IC8

00

1A

B1

0D

DR

D0

_V

RE

F

IC8

00

1A

A1

EB

AD

D3

IC8

00

1P

20

VD

D3

3

IC8

00

1P

4IC

ER

ST

_N

IC8

00

2P

2A

7

IC8

00

2J1

VD

DL

IC8

00

1A

F1

5D

DR

OD

T0

IC8

00

1A

C8

DD

RD

Q7

IC8

00

1A

B2

0D

DR

AD

7

IC8

00

1A

F2

0D

DR

BA

0

IC8

00

1L

20

VD

D1

2P

LL

IC8

00

2C

1V

DD

Q

IC8

00

1A

B1

7V

DD

12

DD

R

IC8

00

1A

B1

9D

DR

AD

2

IC8

00

1C

19

AV

DD

33

AA

DC

IC8

00

1Y

25

TB

2_

N

IC8

00

2N

2A

3

IC8

00

1P

12

VS

S

IC8

00

1A

D2

2D

DR

AD

8

IC8

00

1N

11

VS

S

IC8

00

2D

7D

Q1

0

IC8

00

1A

B1

4D

DR

WE

_N

IC8

00

1A

B8

VD

D1

2D

DR

IC8

00

1A

E2

EB

DA

T6

IC8

00

1C

21

AV

DD

33

AD

AC

IC8

00

1L

23

TC

N_

LD

IC8

00

1A

B2

6T

CL

K2

IC8

00

1B

10

AV

SS

IC8

00

1K

23

TC

N_

PO

LL

IC8

00

1A

D4

EB

DA

T2

IC8

00

1A

F1

9D

DR

AD

0

IC8

00

1H

13

AV

DD

33

V

IC8

00

1A

D2

3D

DR

AD

12

IC8

00

1W

3N

AB

SY

_N

IC8

00

1W

26

TA

2

IC8

00

1L

10

VS

S

IC8

00

1T

2E

BC

E5

_N

IC8

00

1H

12

AV

DD

33

V

IC8

00

1A

C2

0D

DR

VC

AL

IC8

00

1R

23

BL

0P

WM

IC8

00

1A

A2

5T

C2

_N

IC8

00

1T

11

VS

S

IC8

00

1W

4E

BB

E1

_N

IC8

00

1D

26

AL

RC

KO

X

IC8

00

1A

E1

7D

DR

CS

0_

N

IC8

00

1H

17

AV

DD

33

AD

AC

IC8

00

1A

E2

6T

F2

IC8

00

1A

E4

EB

DA

T1

IC8

00

2B

1D

Q1

4

IC8

00

1A

E2

0V

DD

18

DD

R

IC8

00

1N

26

TB

1

IC8

00

1W

25

TA

2_

N

IC8

00

1R

24

VS

S

IC8

00

2D

2V

SS

Q

IC8

00

1L

15

VS

S

IC8

00

2C

2D

Q9

IC8

00

1P

16

VS

S

IC8

00

1A

F8

VD

D1

8D

DR

IC8

00

1N

8V

DD

33

HD

MI

IC8

00

1N

14

VS

S

IC8

00

1D

25

AS

DO

X2

IC8

00

2F

7L

DQ

S

IC8

00

1A

F2

1D

DR

RE

FC

LK

A

IC8

00

2C

7V

DD

Q

IC8

00

1U

16

VD

D1

2D

DR

IC8

00

1A

F2

3D

DR

AD

9

IC8

00

1U

26

TE

1

IC8

00

1L

17

VS

S

IC8

00

1H

10

VD

D1

2P

LL

IC8

00

1L

11

VS

S

IC8

00

2F

9D

Q7

IC8

00

1L

16

VS

S

IC8

00

1U

17

VS

S

IC8

00

1N

24

VS

S

IC8

00

2G

3V

DD

Q

IC8

00

1A

E8

DD

RD

Q5

IC8

00

1T

14

VS

S

IC8

00

2R

7N

C

IC8

00

1A

B2

1V

DD

12

DD

R

IC8

00

2A

8U

DQ

S

IC8

00

1R

22

BL

1P

WM

IC8

00

1A

D2

0D

DR

AD

3

IC8

00

2B

7U

DQ

S

IC8

00

1R

8V

DD

C

IC8

00

2E

1V

DD

IC8

00

1W

1E

BA

DD

11

IC8

00

1R

13

VS

S

IC8

00

1A

F1

6D

DR

CK

E

IC8

00

1A

C2

3V

DD

18

DD

R

IC8

00

1A

F1

2D

DR

DQ

SN

1

IC8

00

1A

E1

EB

DA

T5

IC8

00

1M

8V

DD

33

HD

MI

IC8

00

1A

F2

6V

DD

33

IC8

00

2N

3A

5

IC8

00

1A

C1

9V

SS

IC8

00

1U

2E

BA

CK

_N

IC8

00

1R

14

VS

S

IC8

00

2B

3U

DM

IC8

00

1K

24

TC

N_

LP

L

IC8

00

1A

C1

EB

DA

T1

0

IC8

00

1A

D1

EB

DA

T7

IC8

00

2M

3A

1

IC8

00

1B

26

AV

SS

IC8

00

2E

2N

C

IC8

00

2D

9D

Q1

3

IC8

00

1A

C1

8D

DR

RD

RV

IC8

00

2F

8V

SS

Q

IC8

00

1N

10

VS

S

IC8

00

1L

19

VD

D1

2P

LL

IC8

00

1A

E2

4D

BG

TD

O

IC8

00

1V

3E

BA

LE

_N

IC8

00

2M

8A

0

IC8

00

2J9

VD

D

IC8

00

1P

15

VS

S

IC8

00

1A

D2

5T

E2

_N

IC8

00

1E

25

AB

CK

OX

IC8

00

1B

2V

SS

IC8

00

1U

20

VD

DC

IC8

00

2F

1D

Q6

IC8

00

1K

13

AV

SS

IC8

00

1H

15

AV

DD

12

SIF

IC8

00

1A

C2

2D

DR

AD

11

IC8

00

1P

25

TC

1_

N

IC8

00

1D

15

AV

SS

IC8

00

1R

1E

BC

E2

_N

IC8

00

1M

23

AG

WU

IC8

00

1W

2E

BA

DD

12

IC8

00

1A

D9

VS

S

IC8

00

1V

25

TF

1_

N

IC8

00

2P

8A

8

IC8

00

2K

9O

DT

IC8

00

2L

2B

A0

IC8

00

1A

F2

2D

DR

AD

5

IC8

00

1A

D8

DD

RD

Q6

IC8

00

1A

C1

1D

DR

DQ

2

IC8

00

1A

E1

0D

DR

DQ

3

IC8

00

2K

2C

KE

IC8

00

2H

3D

Q3

IC8

00

2P

3

IC8

00

1M

10

VS

S

IC8

00

1A

E1

4D

DR

DQ

9

IC8

00

2P

7A

11

A6

A9

IC8

00

1T

20

VD

DC

IC8

00

1A

C1

5D

DR

CA

S_

N

IC8

00

1A

D1

0D

DR

DM

0

IC8

00

2L

7C

AS

IC8

00

1A

E1

9V

SS

IC8

00

1M

24

VS

S

IC8

00

1A

A4

EB

AD

D6

IC8

00

1A

B2

2V

SS

IC8

00

2R

2A

12

IC8

00

1A

F2

5V

DD

33

IC8

00

1R

20

VD

D3

3L

VD

S

IC8

00

2N

8A

4

IC8

00

2G

2D

Q1

IC8

00

1L

8V

DD

12

HD

MI

IC8

00

1Y

3E

BA

DD

9

IC8

00

1M

26

TA

1

IC8

00

1A

C9

DD

RD

Q4

IC8

00

1Y

2E

BA

DD

8

IC8

00

1U

3D

CIN

3

IC8

00

1L

2V

SS

IC8

00

1A

C1

6V

DD

18

DD

R

IC8

00

1A

C3

EB

DA

T1

2

IC8

00

1N

23

AG

WK

IC8

00

2F

2V

SS

Q

IC8

00

1A

A2

6T

C2

IC8

00

1A

F1

1D

DR

DQ

14

IC8

00

1L

13

VS

S

IC8

00

1T

25

TD

1_

N

IC8

00

1N

13

VS

S

IC8

00

1U

10

VS

S

IC8

00

2G

9V

DD

Q

IC8

00

1P

10

VS

S

IC8

00

1M

13

VS

S

IC8

00

2E

7V

SS

Q

IC8

00

2H

8V

SS

Q

IC8

00

1R

10

VS

S

IC8

00

1A

D1

9R

QD

RV

EN

IC8

00

2C

3V

DD

Q

IC8

00

2H

1D

Q4

IC8

00

1M

25

TA

1_

N

IC8

00

2A

2N

C

IC8

00

1U

23

VD

DC

IC8

00

1R

17

VS

S

IC8

00

1U

1S

PI_

CL

K

IC8

00

2A

3V

SS

IC8

00

2H

9D

Q5

IC8

00

1N

17

VS

S

IC8

00

1A

F4

EB

DA

T0

IC8

00

1W

21

DB

GT

RS

T_

N

IC8

00

2R

8N

C

IC8

00

1Y

4E

BA

DD

10

IC8

00

1A

B1

2V

SS

IC8

00

1A

A3

EB

AD

D5

IC8

00

1R

16

VS

S

IC8

00

1H

14

AV

DD

12

V

IC8

00

1A

F9

DD

RD

QS

N0

IC8

00

1K

11

AV

SS

IC8

00

1H

11

AV

DD

12

V

IC8

00

1K

16

AV

SS

IC8

00

1P

19

VD

D3

3

IC8

00

1A

F1

0D

DR

DQ

1

IC8

00

1A

C1

3D

DR

D1

_V

RE

F

IC8

00

1L

26

VD

D1

2P

LL

IC8

00

1A

B2

5T

CL

K2

_N

IC8

00

1N

19

VD

DC

IC8

00

2D

1D

Q1

2

IC8

00

1A

D1

8V

SS

IC8

00

1A

B1

5V

DD

12

DD

RP

LL

IC8

00

1N

12

VS

S

IC8

00

1M

15

VS

S

IC8

00

1P

14

VS

S

IC8

00

1A

D2

1D

DR

AD

4

IC8

00

1B

1V

SS

IC8

00

2K

7R

AS

IC8

00

1A

D1

3D

DR

DM

1

IC8

00

1A

D1

2D

DR

DQ

11

IC8

00

1T

13

VS

S

IC8

00

1T

8V

DD

33

MC

U

IC8

00

2R

3N

C

IC8

00

1R

11

VS

S

IC8

00

1U

24

VD

DC

IC8

00

1Y

26

TB

2

IC8

00

2A

7V

SS

Q

IC8

00

1T

23

VD

DC

IC8

00

1B

19

AV

SS

IC8

00

2F

3L

DM

IC8

00

1U

13

VS

S

IC8

00

1A

F1

3D

DR

DQ

S1

IC8

00

2E

3V

SS

IC8

00

1K

15

AV

SS

IC8

00

1V

26

TF

1

IC8

00

2J2

VR

EF

IC8

00

1A

C2

5T

D2

_N

IC8

00

1A

B1

3V

DD

12

DD

R

IC8

00

1K

17

AV

SS

IC8

00

1K

8V

DD

12

HD

MI

IC8

00

2M

2A

10

IC8

00

1K

10

VS

S

IC8

00

1A

D1

6D

DR

BC

LK

IC8

00

1T

17

VS

S

IC8

00

1U

11

VS

S

IC8

00

1P

23

MT

ES

T

IC8

00

1T

16

VS

S

IC8

00

2N

7

IC8

00

1A

C1

4V

DD

18

DD

R

IC8

00

1L

12

VS

S

IC8

00

2D

3D

Q1

1

IC8

00

2J8

CK

IC8

00

2B

8V

SS

Q

IC8

00

1A

E3

EB

DA

T4

IC8

00

1A

B9

VS

S

IC8

00

2G

1V

DD

Q

IC8

00

1N

16

VS

S

IC8

00

1M

14

VS

S

IC8

00

1A

E1

2D

DR

DQ

13

IC8

00

2C

9V

DD

Q

IC8

00

1T

15

VS

S

IC8

00

1A

C2

6T

D2

IC8

00

1A

E1

3V

SS

IC8

00

1L

14

VS

S

IC8

00

2H

2V

SS

Q

IC8

00

1U

12

VD

D1

2D

DR

IC8

00

1A

2V

SS

IC8

00

1A

D2

6T

E2

IC8

00

1A

D1

5D

DR

CL

K

IC8

00

1U

25

TE

1_

N

IC8

00

2G

7V

DD

Q

IC8

00

1P

26

TC

1

IC8

00

1D

24

AS

DO

X1

IC8

00

1V

2E

BW

E_

N

IC8

00

1L

1V

SS

IC8

00

1P

17

VS

S

IC8

00

1A

E1

5V

SS

IC8

00

1A

26

AV

SS

IC8

00

1M

17

VS

S

IC8

00

2D

8V

SS

Q

IC8

00

2H

7D

Q2

IC8

00

1A

F2

4D

BG

TC

K

IC8

00

1V

4E

BB

E0

_N

IC8

00

2J7

VS

SD

L

IC8

00

1A

C2

EB

DA

T1

1

IC8

00

2M

9V

DD

IC8

00

1A

F1

8V

SS

IC8

00

1C

26

AT

XO

IC8

00

1A

B1

8D

DR

RO

DT

IC8

00

1A

D2

EB

DA

T8

IC8

00

1M

19

VD

DC

IC8

00

1V

1E

BO

E_

N

IC8

00

1R

19

VD

D3

3L

VD

S

IC8

00

1T

26

TD

1

IC8

00

1A

F2

VS

S

IC8

00

1P

11

VS

S

IC8

00

1P

1E

BC

E0

_N

IC8

00

1T

10

VS

S

IC8

00

1U

15

VS

S

IC8

00

1T

19

VD

DC

IC8

00

1N

20

VD

DC

IC8

00

2E

8L

DQ

S

IC8

00

1A

E2

5T

F2

_N

IC8

00

2K

8C

K

IC8

00

1M

12

VS

S

IC8

00

2B

2V

SS

Q

IC8

00

2M

7A

2

IC8

00

1T

3D

CIN

2

IC8

00

1R

15

VS

S

IC8

00

1A

C1

0V

DD

18

DD

R

IC8

00

1A

B1

6V

SS

IC8

00

1A

F3

EB

DA

T3

IC8

00

1E

26

AM

CL

KO

X

IC8

00

1R

12

VS

S

IC8

00

2A

9V

DD

Q

IC8

00

1A

E2

2V

SS

IC8

00

1P

13

VS

S

IC8

00

1A

A2

EB

AD

D4

IC8

00

2J3

VS

S

IC8

00

1K

12

AV

SS

IC8

00

1A

E1

6D

DR

RA

S_

N

IC8

00

1A

C4

EB

DA

T1

3

IC8

00

1R

2E

BC

E3

_N

IC8

00

1A

B3

EB

AD

D1

IC8

00

1A

C1

7D

DR

AD

1

IC8

00

1N

15

VS

S

IC8

00

1A

D2

4D

BG

TD

I

IC8

00

1U

19

VD

DC

IC8

00

1A

B2

EB

DA

T1

5

IC8

00

1A

B1

1D

DR

DQ

15

IC8

00

1Y

1E

BA

DD

7

IC8

00

1D

17

AV

SS

IC8

00

2L

8C

S

IC8

00

1L

24

TC

N_

PO

LR

IC8

00

1A

D1

4D

DR

DQ

10

IC8

00

1T

1E

BC

E4

_N

IC8

00

2E

9V

DD

Q

IC8

00

1A

E1

1V

DD

18

DD

R

IC8

00

1A

E1

8D

DR

BA

1

IC8

00

1A

E2

3D

DR

AD

10

IC8

00

1T

12

VS

S

IC8

00

1A

10

AV

SS

IC8

00

1D

10

AV

SS

IC8

00

1Y

21

DB

GT

MS

IC8

00

1A

E9

DD

RD

QS

0

IC8

00

1U

14

VD

D1

2D

DR

IC8

00

1A

C1

2D

DR

DQ

12

IC8

00

1A

D3

EB

DA

T9

IC8

00

2L

3B

A1

IC8

00

1A

B1

EB

DA

T1

4

IC8

00

1A

F1

VS

S

IC8

00

1M

16

VS

S

IC8

00

1M

20

VD

DC

IC8

00

1A

E2

1D

DR

RE

FC

LK

AN

IC8

00

1N

25

TB

1_

N

IC8

00

2P

9V

SS

IC8

00

1A

B4

EB

AD

D2

IC8

00

2B

9D

Q1

5

IC8

00

1L

25

VD

D1

2P

LL

IC8

00

1M

11

VS

S

IC8

00

1A

F1

7V

DD

18

DD

R

IC8

00

1P

8V

DD

C

IC8

00

2R

1V

DD

L8000J0JYC0000322

L8

00

3J0JY

C0000322

L8

00

5J0JY

C0000322

L8

00

4J0JY

C0000322

L8

01

0J0

JY

C0

00

03

22

L8

00

9J0

JY

C0

00

03

22

L8

01

2J0JY

C0000322

L8

01

1J0JY

C0000322

L8

00

2J0JY

C0000322

L8

00

8J0JY

C0000322

L8

01

7

J0

JY

C0

00

03

22

L8

00

6J0JY

C0000322

L8

01

6

J0

JY

C0

00

03

22

C

C

C

C

C

C

C

C

C

C

C

C

CC C

C

C

C

CC C

C

CC

C

C

C

C

C

C

C

C

C

C

C

C

C

C

C

C

C

C

SU

B3

.3V

_H

DM

I

DD

R_

VC

C_

PO

ST

SU

B1

.8V

LV

DS

3.3

V

ST

B1.2

V

SU

B3

.3V

SU

B1

.2V

DD

R_

VC

C_

PO

ST

SU

B3

.3V

_A

DD

R_

VC

C_

PO

ST

ST

B3.3

V

TP

80

07

TP

80

13

TP

80

23

TP

80

12

TP

80

11

TP

80

21

TP

80

20

TP

80

00

TP

80

16

TP

80

22

TP

80

05

TP

80

06

TP

80

39

TP

80

14

TP

80

03

TP

80

04

TP

80

19 T

P8

00

8

TP

80

15

TP

80

09

TP

80

10

TP

80

18

TP

80

02T

P8

00

1

TP

80

17

TD

80

45

TD

80

44

TD

80

40

TD

80

41

TD

80

43

TD

80

42

ICE

RS

T_

N

RC

_E

+

SP

DIF

RC

_O

-

RA

_E

-

SD

IN

RC

LK

_E

+

RF

_E

+

RB

_E

+

XE

WE

0

MC

LK

RE

_E

-

RE

_O

+

XE

RE

RB

_O

-

RB

_O

+

RE

_E

+

RA

_O

+

RC

LK

_O

+

RE

_O

-

RC

_E

-

XE

CS

0

RA

_O

-

RF

_E

-

RF

_O

+

RC

LK

_E

-

LR

CL

K

RD

_O

-

RF

_O

-

RC

LK

_O

-

BC

LK

RC

_O

+

RD

_O

+

RD

_E

+

LA

N_

CE

_N

RD

_E

-

RB

_E

-

RA

_E

+

TM

S

EB

DA

T1

5

BL

0P

WM

EB

DA

T8

EB

AD

D5

EB

AD

D2

TC

N_

LP

L

EB

AD

D2

2/N

AA

LE

EB

DA

T1

1

EB

DA

T1

3

EB

AD

D1

1

AG

WU

TR

ST

EB

AD

D1

7

EB

DA

T1

2

EB

AD

D2

3/N

AB

SY

_N

EB

DA

T1

0

EB

DA

T0

EB

AD

D1

3

EB

AD

D2

0

EB

DA

T9

EB

DA

T3

EB

AD

D3

EB

AD

D2

1/N

AC

LE

EB

AD

D6

EB

DA

T5

EB

AD

D8

EB

AD

D9T

DI

EB

AD

D1

5

EB

DA

T1

TC

K

EB

DA

T1

4

EB

AD

D1

EB

AD

D1

2

EB

AD

D1

9

EB

AD

D1

6

EB

DA

T6

BL

1P

WM

AG

WK

MT

ES

T

EB

AD

D1

4

EB

AD

D1

0

TC

N_

PO

LR

TC

N_

PO

LL

EB

AD

D1

8

EB

DA

T4

EB

DA

T7

TC

N_

LD

EB

DA

T2

EB

AD

D7

EB

AD

D4

EB

AD

D2

4

TD

O

R8

01

7E

XB

28

V1

01

JX

10

0

R8

01

5E

XB

28

V1

01

JX

10

0

R8

01

1E

XB

28

V1

01

JX

10

0

R8

01

4E

XB

28

V1

01

JX

10

0

R8

01

2E

XB

28

V1

01

JX

10

0

R8

01

9E

XB

28

V1

01

JX

10

0

R8

01

8E

XB

28

V1

01

JX

10

0

R8

01

3E

XB

28

V1

01

JX

10

0

R8

01

6E

XB

28

V1

01

JX

10

0

R8

01

0E

XB

28

V1

01

JX

10

0

1%R8

00

44

02

R8

00

01

k

R8

00

8 1k

1%R8

00

51

02

R8

06

8

15

0

R8

00

9 1k

R8

00

2 1D

0G

A1R

0JA

023

1%R8

00

3 30

1

1%

R8

09

18

0.6

1%R8

00

71

02

R8

00

11

k

1%R8

00

64

02

1%R8

07

97

3.2

int P

.U.

int P

.U.

JT

AG

Sh

ee

t00

3

int P

.U.

int P

.D.

int P

.D.

int P

.U.

int P

.U.

int P

.D.

int P

.D.

8m

AC

ell

int P

.D.

int P

.D.

int P

.D.

DV

SS

/AV

SS

int P

.D.

int P

.U.in

t P

.U.

8m

AC

ell

int P

.U.

int P

.D.

int P

.D.

int P

.D.

int P

.U.

int P

.D.

int P

.D.

int P

.U.

int P

.D.

int P

.D.

int P

.D.

int P

.D.

int P

.D.

int P

.U.

LV

DS

/LC

D S

he

et0

14

int P

.U.

int P

.D.

int P

.D.

int P

.U.

int P

.U.

VC

C S

he

et0

05

int P

.U.

int P

.D.

int P

.U.

int P

.U.

EB

US

Sh

ee

t00

3

int P

.D.

int P

.U.

int P

.D.

int P

.D.

for

256M

b N

OR

Dig

ita

l A

ud

io S

he

et0

07

8m

AC

ell

84

FB

GA

DD

R2

80

0M

z x

16

bit

int P

.D.

DD

R2

IF

int P

.D.

int P

.U.

int P

.D.

int P

.D.

int P

.U.

int P

.U.

8m

AC

ell

int P

.U.

int P

.U.

int P

.D.

int P

.D.

2009/0

9/0

2 C

hg. R

EF

NO

R8077 -

> R

8105

R8078 -

> R

8106

Page 5: IP3738 TH-L32C3C L32CH3C 02 110824 - go-gddq. · PDF file7+ / & & 7+ / &+ & 3 s 4 cÃ Ò 3 9 7 a 8 6 b c d e 1 f 45 2 th-l32c3c th-l32ch3c p-'Æ É 1/2 Ê?5 =] th-l32c3c th-l32ch3c

39

7

A

86

EC DB

1

F

45

2

TH

-L32

C3C

TH

-L32

CH

3CA

-3/

12

TH

-L32

C3C

TH

-L32

CH

3CA

-3/

12

D.SW

W.P.

AE

_S

DW

P

AA

_E

A1

2

AE

_S

DD

AT

0

AE_SDWP

AA

_E

D1

2

AA

_E

A1

9

AE

_S

DC

LK

GU

AR

D

SD

DA

T0

AA

_E

D1

1

AA

_E

A1

1

AE

_S

DD

AT

2

AA

_E

D8

AA

_X

EC

S0

AA

_E

A1

6

SD

DA

T1

AA

_E

A9

AA

_E

D1

3

AA

_E

D9

SD

DA

T3

AE_SDCD

AA

_E

A1

5

MC

U_

XR

ST

AA

_E

A1

7

AA

_E

A4

AE

_S

DD

AT

3

AA

_E

A1

3

AE

_S

DC

MD

SD

DA

T2

AA

_E

A1

8

AA

_E

D1

0

AE

_S

DC

D

AA

_E

A2

SD

WP

SD

CD

AA

_E

A1

4

AE

_S

DD

AT

1

AA

_X

ER

E

SD

CM

D

AA

_E

A2

2

SD

CL

K

AA

_E

A1

AA

_E

A8

AA

_E

D1

5

AA

_E

D1

4

AA

_E

A6

AA

_X

EW

E0

AA

_E

A7

AA

_E

A5

GU

AR

D_

CL

K

AA_EA24

AA

_E

A2

3

AA

_E

A3

AA

_E

A2

4

AA

_E

A1

0

24

KE

C_

I2C

CL

K2

_E

EP

24

KE

C_

I2C

DA

TA

2_

EE

P

AA

_E

A2

0

AA

_E

A2

1

AA

_E

D0

AA

_E

D1

AA

_E

D2

AA

_E

D3

AA

_E

D4

AA

_E

D5

AA

_E

D6

AA

_E

D7

AE_SDDAT3

AE_SDCMD

AE_SDDAT0

AE_SDDAT1

AE_SDDAT2

AE_SDCLK

AA_EA1

AA_XECS0

AA_XERE

AA_ED0

AA_ED8

AA_ED1

AA_ED9

AA_ED2

AA_ED10

AA_ED3

AA_ED11

AA_ED4

AA_ED12

AA_ED5

AA_ED13

AA_ED6

AA_ED14

AA_ED7

AA_ED15

AA_EA17

AA_EA3

AA_EA2

AA_EA4

AA_EA5

AA_EA6

AA_EA7

AA_EA8

AA_EA18

AA_EA19

AA_EA22

MCU_XRST

AA_XEWE0

AA_EA21

AA_EA20

AA_EA9

AA_EA10

AA_EA11

AA_EA12

AA_EA13

AA_EA14

AA_EA15

AA_EA16

AA_EA23

C8

50

4

16

V

0.1

u

C8

51

3

16

V

0.1

u

C8

50

5

16

V

0.1

u

C8

51

2

10

u

10

V

C8

50

6

16

V

0.1

u

C8

51

4

25

V

0.0

1u

C8

51

5

10

u

10

V

C8

50

3

16

V0

.1u

C8

51

7

10

u

10

V

C8

52

0

0.1

u

16

V

C8

50

1

16

V0

.1u

IC8

50

3

1E

0

2E

1

3E

2

4V

SS

5

SD

A

6

SC

L

7

WC

8

VC

C

IC8502

1N.C.

2A22

3A15

4A14

5A13

6A12

7A11

8A10

9A9

10A8

11A19

12A20

13WE

14RESET

15A21

16WP/ACC

17RY/BY

18A18

19A17

20A7

21A6

22A5

23A4

24A3

25A2

26A1

27N.C.

28N.C.29

Vio

30

N.C.

31

A0

32

CE

33

Vss

34

OE

35

DQ0

36

DQ8

37

DQ1

38

DQ9

39

DQ2

40

DQ10

41

DQ3

42

DQ11

43

Vcc

44

DQ4

45

DQ12

46

DQ5

47

DQ13

48

DQ6

49

DQ14

50

DQ7

51DQ15/A-1

52

Vss

53

BYTE

54

A16

55

N.C.

56

N.C.

JK8502

1

2

3

4

5

6

7

8

9

10

11

12

L8500

J0JYC0000322

L8501

J0JYC0000322

C

C

C

C

C

C

C

C

C

C

SU

B3

.3V

_S

D

ST

B3

.3V

ST

B3

.3V

SU

B3

.3V

TP

85

03

TP

85

06

TP

85

11

TP

85

05

TP

85

12

TP

85

08

TP

85

10

TP

85

04

TP

85

09

TP

85

02

TP

85

01

TP

85

07

XE

WE

0

MC

U_

XR

ST

XE

RE

24

KE

C_

I2C

CL

K2

_E

EP

SD

CL

K

SD

WP

AA

_E

D1

2

EB

DA

T3

SD

DA

T0

SD

CD

EB

AD

D4

EB

AD

D2

EB

DA

T2

AA

_E

A2

EB

AD

D1

4

EB

DA

T1

5

EB

AD

D1

8

EB

AD

D2

3/N

AB

SY

_N

24

KE

C_

I2C

DA

TA

2_

EE

P

AA

_E

D9

EB

AD

D2

1/N

AC

LE

EB

AD

D9

AA

_E

A2

1

EB

AD

D1

3

AA

_E

D1

5

EB

AD

D1

6

AA

_E

A1

AA

_E

A9

EB

DA

T0

EB

DA

T1

4

AA

_E

A4

EB

DA

T1

AA

_E

D1

AA

_E

D5

AA

_X

ER

E

EB

DA

T1

0

EB

AD

D1

0

AA

_E

D3

EB

AD

D3

EB

DA

T1

3

EB

AD

D7

EB

AD

D2

2/N

AA

LE

SD

DA

T1

EB

DA

T4

SD

DA

T2

SD

_L

ED

_O

FF

EB

AD

D6

EB

DA

T1

2

AA

_E

D1

0

EB

DA

T8

AA

_E

D4

SD

DA

T3

24

KE

C_

EE

P_

WP

AA

_E

D8

SD

CM

D

AA

_E

D0

AA

_E

A6

AA

_X

EW

E0

EB

AD

D1

7

EB

DA

T5

EB

AD

D5

AA

_E

D2

EB

AD

D1

AA

_E

A5

EB

DA

T6

AA

_E

A2

0

AA

_E

A7

EB

AD

D1

2

AA

_E

D1

4

XE

CS

0

AA

_E

A3

EB

AD

D1

5

EB

AD

D2

4

AA

_E

D1

1

EB

AD

D8

EB

AD

D1

1

AA

_E

D7

EB

DA

T1

1

EB

AD

D2

0

EB

AD

D1

9

EB

DA

T9

EB

DA

T7

AA

_E

D1

3

AA

_E

D6

AA

_E

A8

R8

02

14

.7k

R8

51

3

EX

B2

HV

68

0JV

R8

53

0

EX

B2

HV

33

0JV

R8

54

3

EX

B2

HV

10

3JV

R8

51

2

EX

B2

HV

68

0JV

R8

51

4

EX

B2

HV

68

0JV

R8

51

5

EX

B2

HV

68

0JV

R8

53

9

EX

B2

HV

68

0JV

R8

51

6

EX

B2

HV

68

0JV

R8

50

16

8

R85574.7k

R8

53

26

8

R8

54

8

47

k

R8

54

7

47

k

R8

53

16

8

R8

57

32

20

R8

52

8

4.7

k

R85604.7k

R8

53

8

4.7

kR

85

33

68

SDN

OR

SDCLK

SD

/IC

_C

AR

D

(for 256Mb)

SDDAT3

GND

SDDAT1

SDCMD

GND

3.3V

SDDAT2

SDDAT0

(A23)

(VIO)

SD

_C

AR

D

!A

- T

NP

4G49

3AC

(3/

12)

A-

TN

P4G

493A

D (

3/12

)

Page 6: IP3738 TH-L32C3C L32CH3C 02 110824 - go-gddq. · PDF file7+ / & & 7+ / &+ & 3 s 4 cÃ Ò 3 9 7 a 8 6 b c d e 1 f 45 2 th-l32c3c th-l32ch3c p-'Æ É 1/2 Ê?5 =] th-l32c3c th-l32ch3c

39

7

A

86

EC DB

1

F

45

2

TH

-L32

C3C

TH

-L32

CH

3CA

-4/

12

TH

-L32

C3C

TH

-L32

CH

3CA

-4/

12

Thermal PadThermal Pad Thermal Pad

P5.0GND

SUB5V

C5

69

4

0.0

1u

25

V

C5

77

8

10

00

p5

0V

C569022u6.3V

C5

72

5 0.0

15

u1

6V

C5

73

4 1u

25

V

C572210u25V

C5

73

9

6.3

V

1u

C5

70

3

1u

10

V

C5

72

4

0.0

1u

25

V

C5

73

7

6.3

V

1u

C5

76

5

1u

10

V

C5

69

2

10

u2

5V

C571110u25V

C5

72

6 0.0

15

u1

6V

C5

70

0

4.7

u6

.3V

C5730

25V10u

C5

73

6

6.3

V

1u

C5

69

5

0.0

1u

25

V

C5

76

4

1u

10

V

C5

73

8

6.3

V

1u

C5

69

6

47

00

p2

5V

C5

77

6

10

u1

0V

C570822u6.3V

C5

77

1

0.0

33

u2

5V

C5720

22u6.3V

C5

77

9

10

00

p5

0V

C5

71

3

0.0

1u

25

V

C571922u6.3V

C5731

10u 25V

C570922u6.3V

C5

78

0

10

00

p5

0V

C5

77

7

10

u1

0V

C5705

10u 25V

C5

71

4 0.0

15

u1

6V

C5704

25V10u

C5

70

2

1u

10

V

C568922u6.3V

C5

70

6

0.0

1u

25

V

C5

71

5 47

00

p2

5V

C5

70

7

0.1

u

16

V

C5

70

1

4.7

u6

.3V

D5

70

2D

A2

J1

01

00

L

D5

67

0D

A2

J1

01

00

L

D5

63

3

DA

2J1

01

00

L

D5

67

1D

A2

J1

01

00

L

D5

76

5B

0H

CM

M0

00

01

4

D5

62

9B

0B

C8

R1

00

00

4

C0

DB

GY

Y0

08

87

IC5

60

7

4

VDD

3

VOUT

1CE

2VSS

C0

DB

GY

Y0

08

87

IC5

60

9

4

VDD

3

VOUT

1CE

2VSS

C0

DB

AG

F0

00

30

IC5

60

5

S-1

17

0B

33

UC

-OT

ST

FG

1

ON

/OF

F

2

VS

S

3

NC

5

VO

UT

4

VIN

IC5

61

3

1Vin

2Vc

4Vadj

5GND

3 Vo

IC5

60

8C

0D

BA

YY

00

91

5

1B

OO

T

2V

IN

3S

W

4G

ND

5

FB

6

CO

MP

7

EN

8

SS

PA

D

IC5

60

6

1B

OO

T

2V

IN

3S

W

4G

ND

5

FB

6

CO

MP

7

EN

8

SS

PA

D

IC5

61

0C

0D

BA

YY

00

91

5L

V5

85

7M

XL

-TL

M-H

1B

OO

T

2V

IN

3S

W

4G

ND

5

FB

6

CO

MP

7

EN

8

SS

PA

D

L5

61

3

J0

JH

C0

00

00

75

L5

60

9G

1C

6R

8M

A0

45

7

L5

61

1G

1C

22

0M

A0

45

7

L5

61

0G

1C

10

0M

A0

45

7

P1

.8G

ND

P1

.8G

ND

C

P1

.2G

ND

P5

.0G

ND

P1

.2G

ND

P5

.0G

ND

C

C

C

CP

5.0

GN

D

P1

.2G

ND

P1

.8G

ND

C

P1

.8G

ND

P5

.0G

ND

C

P5

.0G

ND

P5

.0G

ND

C

C

P5

.0G

ND

C

CC

P1

.2G

ND

C

P1

.8G

ND

P1

.2G

ND

C

C

P1

.2G

ND

P1

.8G

ND

C

C

C

P5

.0G

ND

P1

.8G

ND

P1

.8G

ND

C

P1

.2G

ND

C

P1

.2G

ND

C

SU

B5

V

F1

6V

SU

B5

V

SU

B1

.8V

F1

5V

_F

ILS

UB

5V

SU

B3

.3V

_H

DM

I

SU

B3

.3V

F1

6V

SU

B5

V

SU

B3

.3V

_S

D

SU

B1

.2V

SU

B5

V

SU

B3

.3V

_A

SU

B5

V_

TU

NE

R

DC

DC

_O

N

Q5

61

3B

1A

BC

E0

00

01

5

Q5

61

2B

1A

BC

E0

00

01

5

R5

66

88

.2k

R5

71

52

.2k

R5

67

42

.2k

R5

68

14

7k

R5

70

2

1k

1%

R5

67

34

7k

R5

71

02

.2

R5

70

73

9 R5

71

22

.2

R5

67

0

22

k

R5

68

28

.2k

R567168k

R5

66

76

.2k

R5

67

98

.2k

R5

71

45

10

R5

67

2

10

k

R5

70

9

39

R5

66

9

3.9

k1%

R5

70

1

2k

1%

R5

67

81

2k

R5

68

03

.9k 1%

R5

68

36

.8k 1%

R5

67

64

7k

R5

71

36

.8k

R5

70

83

9

R5

67

51

0k

R5

71

12

.2

CT

L

SU

B3.3

V

"H":

ON

"L":

OF

F

"H":

ON

"L":

OF

F

"H":

ON

"L":

OF

F

SU

B1.2

V

SU

B5V

SU

B1.8

V"H

": O

N"L

": O

FF

SU

B3.3

V_S

D

11

.9V

"H":

ON

"L":

OF

F

"H":

ON

"L":

OF

F

"H":

ON

"L":

OF

F

!A

- T

NP

4G49

3AC

(4/

12)

A-

TN

P4G

493A

D (

4/12

)

Page 7: IP3738 TH-L32C3C L32CH3C 02 110824 - go-gddq. · PDF file7+ / & & 7+ / &+ & 3 s 4 cÃ Ò 3 9 7 a 8 6 b c d e 1 f 45 2 th-l32c3c th-l32ch3c p-'Æ É 1/2 Ê?5 =] th-l32c3c th-l32ch3c

39

7

A

86

EC DB

1

F

45

2

TH

-L32

C3C

TH

-L32

CH

3CA

-5/

12

TH

-L32

C3C

TH

-L32

CH

3CA

-5/

12

C C

C2318

50V

1000p

C2100

1u

25V

C2274

10V

10u

C2109

6.3

V1u

C2145

25V

10u

C2107

6.3

V1u

C2285

10V

10u

C2108

6.3

V1u

C2203

10V

10u

C2116

50V

560p

C2115

50V

560p

C2279

16V

0.1

u

C2275

1u

25V

C2319

50V

1000p

C2111

25V

0.0

1u

C2175

10u

10V

C2273

10V

10u

C2282

10V

10u

C2173

10u

10V

FL2102

J0H

AB

B000004

1

2

3

4

FL2101

J0H

AB

B000003

1

2

3

4

FL2100

J0H

AB

B000003

1

2

3

4

FL2104

J0H

AB

B000004

1

2

3

4

FL2103

J0H

AB

B000004

1

2

3

4

IC4

70

2

1A_OUTPUT

2A-INPUT

3A+INPUT

4V-5

B+INPUT

6

B-INPUT

7

B_OUTPUT

8

V+

IC2

11

0

C0JBAB000408

11A

21Y

32A

42Y

53A

63Y

7G

ND

8

4Y

9

4A

10

5Y

11

5A

12

6Y

13

6A

14

VC

C

L2134

J0JH

C0000075

L2111

J0JC

C0000287

L2110

J0JC

C0000287

C

C C

C

C

C

C

C

C

C

C

C

C

CC

C

C

C

C

C

C

C

C

C

CC

C

F15V

_F

IL

SU

B3.3

V_A

ALT

UN

EO

UT

PC

_V

D_T

ER

MIN

AL

AR

TU

NE

OU

T

PC

_R

_T

ER

MIN

AL

MO

NIT

OR

OU

T_M

UT

E

PC

_B

_T

ER

MIN

AL

PC

_H

D_T

ER

MIN

AL

PC

_G

_T

ER

MIN

AL

MO

NIT

OR

OU

T_M

UT

E

VR

3IN

AH

DIN

U_N

ON

US

E/A

_V

OU

T__T

ER

MIN

AL

VB

3IN

AV

DIN

SY

NC

IN3

VG

3IN

U_Y

PB

PR

1_R

/A_A

UD

IOO

UT

_R

__T

ER

MIN

AL

U_Y

PB

PR

1_L/A

_A

UD

IOO

UT

_L__T

ER

MIN

AL

Q2

14

5B

1A

BC

E000015

Q2

24

6B

1A

BC

E000015

Q2

10

1B

1A

BC

E000015

Q2

10

2B

1A

BC

E000015

Q2

24

7B

1A

BC

E000015

R2158

100

R2107

10k

R2209

100

1% R2115

75

1% R2114

75

R2211

1k

R2210

100

R2124

33k

R2122

220

R2157

100

R2123

33k

R2156

10k

R2212

100k

R2119

47

R2160

100k

R2218

68

R2118

47

R2106

10k

R2104

47k

R2199

4.7

k

R2198

4.7

k

R2164

2.2

k

R2225

1k

R2201

0

R2213

100k

R2239

2.2

k

R2105

47k

1% R2116

75

R2223

68

R2117

47

R2206

0

R2159

100k

B

U_N

ON

US

E/A

_O

UT

_R

U_N

ON

US

E/A

_O

UT

_L

AS

IA m

od

el

PC

MO

DE

L

VID

EO

OU

T

AU

DIO

OU

T

!A

- T

NP

4G49

3AC

(5/

12)

A-

TN

P4G

493A

D (

5/12

)

Page 8: IP3738 TH-L32C3C L32CH3C 02 110824 - go-gddq. · PDF file7+ / & & 7+ / &+ & 3 s 4 cÃ Ò 3 9 7 a 8 6 b c d e 1 f 45 2 th-l32c3c th-l32ch3c p-'Æ É 1/2 Ê?5 =] th-l32c3c th-l32ch3c

TH

-L32

C3C

TH

-L32

CH

3CA

-6/

12

TH

-L32

C3C

TH

-L32

CH

3CA

-6/

12

1218

1617

1510

1314

11

ThermalPad

AM

P_M

UT

E

AU

DIO

_X

RS

T

24K

EC

_I2

CD

AT

A1_A

MP

MC

LK

_P

OS

T

RF

_V

GU

AR

D_V

24K

EC

_I2

CC

LK

1_A

MP

SD

IN_P

OS

T

BC

LK

_P

OS

T

MC

LK

_P

OS

T

LR

CLK

_P

OS

T

LR

CLK

_P

OS

T

BC

LK

_P

OS

T

SD

IN_P

OS

T

AUDIO_XRST

24K

EC

_I2

CC

LK

1_A

MP

24K

EC

_I2

CD

AT

A1_A

MP

AMP_MUTE

NET0074951

C2103

6.3

V1u

C2328

1u

10V

C2211

2.2

u10V

C2304

6.3

V1u

C2209

2.2

u10V

*C2327

4.7

u

25V

C2202

6.3

V

1u

C2198

6.3

V1u

C2310

2.2

u10V

C2210

2.2

u10V

C234110u25V

C2213

6.3

V

1u

C2240

1u

10V

C2268

25V

10u

C234010u25V

C2197

25V

0.0

1u

C233210u25V

C2325

50V

0.4

7u

C2324

50V

1200p

C2266

25V

10u

C2199

6.3

V

1u

C22421

u10V

C2261

50V

1000p

C2234

25V

0.1

u

C2296

2.2

u10V

C2336

50V

1200p

C2248

50V

0.0

22u

C2252

50V

0.0

22u

C2321

50V

0.4

7u

C2335

50V

1200p

C2253

50V

0.0

22u

C233310u25V

C2214

6.3

V

1u

C2320

50V

1200p

C2260

50V

1000p

C2200

6.3

V1u

C2322 50V

1200p

C2212

2.2

u10V

C2262

50V

1000p

C2303 16V

0.1

u

C2258

50V

0.0

22u

C2259

50V

0.0

22u

C2201

6.3

V

1u

C2224

2700p

50V

C2254

25V

0.1

u

C2257

50V

0.0

22u

C2267

25V

10u

C2309

2.2

u10V

C2297

2.2

u10V

C2329

1u

6.3

V

C2226

0.0

27u

10V

C2334

50V

1200p

C2272

25V

0.0

1u

C2326

25V

0.1

u

C2241 1

u10V

C2307

6.3

V1u

C2244

25V

0.1

u

C2256

50V

0.0

22u

C2263

50V

1000p

C2232

25V

0.1

u

C2236

50V

1200p

C2204

6.3

V1u

C2243 1

u10V

C2208

2.2

u10V

C2337

50V

1200p

C2323

25V

0.1

u

C2207

2.2

u10V

C2249

50V

0.0

22u

IC2106

1

ADDR

2

SDATA

3LR

CLK

4B

CLK

5D

GN

D

6M

CLK

7T

ES

T1

8V

SS

9P

LL

10

DV

DD

11

TE

ST

2

12

REG15

13

MO

NI1

14

MO

NI2

15TEST3

16NC

17ERROR

18ST_MONO

19NC

20VCP2

21VCP2

22OUT2P

23OUT2P

24

BS

P2P

25

GNDP2

26

GNDP2

27

OU

T2N

28

OU

T2N

29

BS

P2N

30

NC

31

RE

G_G

32

BS

P1N

33

OU

T1N

34

OU

T1N

35

GN

DP

1

36

GNDP1

37

BS

P1P

38

OU

T1P

39OUT1P

40VCCP1

41VCCP1

42NC

43NC

44NC

45RSTX

46MUTEX

47SCL

48

SD

A

49

A11

1 2 3 4

L2302

J0JB

C0000116

L2301

J0JB

C0000116

L2125

J0JC

C0000287

L2123

J0JC

C0000287

L2300

J0JB

C0000116

L2303

J0JB

C0000116

L2126

J0JY

C0000068

L2130

G1C

330M

A0457

L2131

G1C

330M

A0457

L2128

G1C

330M

A0457

L2129

G1C

330M

A0457

C

C

C

C

C

C

C

C

C

C

C

C

CC

C

C

C

C

C

C

C

C

C

C

CC

C

C

C

C

C

C C

C C

C

C

SU

B3.3

V

SU

B3.3

V

F16V

TP

2102

TP

2101

TP

2100

TP

2108

LR

CLK

U_A

V1_L/A

_A

V2_L__T

ER

MIN

AL

PD

P_U

_Y

PB

PR

2_L/L

CD

_U

_Y

PB

PR

1_L/A

_A

V1_L__T

ER

MIN

AL

PD

P_U

_Y

PB

PR

2_R

/LC

D_U

_Y

PB

PR

2_R

/A_A

V1_R

__T

ER

MIN

AL

U_A

V1_V

/A_A

V2_V

__T

ER

MIN

AL

U_A

V1_R

/A_A

V2_R

__T

ER

MIN

AL

PD

P_U

_Y

PB

PR

2_P

R/L

CD

_U

_Y

PB

PR

1_P

R/A

_A

V1_P

R__T

ER

MIN

AL

24K

EC

_I2

CC

LK

1_A

MP

SO

UN

D_S

OS

AM

P_M

UT

EBC

LK

A_A

V1_V

__T

ER

MIN

AL

PD

P_U

_Y

PB

PR

2_Y

/LC

D_U

_Y

PB

PR

1_Y

/A_A

V1_Y

__T

ER

MIN

AL

U_N

ON

US

E_A

_A

V1_Y

SW

__T

ER

MIN

AL

U_A

V2_V

/A_A

V3_V

__T

ER

MIN

AL

PD

P_U

_Y

PB

PR

2_P

B/L

CD

_U

_Y

PB

PR

1_P

B/A

_A

V1_P

B__T

ER

MIN

AL

SD

IN

AU

DIO

_X

RS

T

MC

LK

RF

_V

LC

D_A

_A

V2_V

__T

ER

MIN

AL

VY

1IN

VB

1IN

AR

IN2

SY

NC

IN2

AR

IN3

VC

VS

4IN

VG

1IN

ALIN

2

ALIN

4

AR

IN5

ALIN

5

SY

NC

IN1

AR

IN1

VR

1IN

VC

VS

1IN

VC

VS

2IN

ALIN

1

ALIN

3

VB

2IN

VR

2IN

AR

IN4

VC

1IN

VC

VS

3IN

VG

2IN

24K

EC

_I2

CD

AT

A1_A

MP

YU

V_D

ET

R2351

10k

1%

R2391

75

R2334

3.3

R2330

10k

1%R2310 7

5

R2314

30k

R2130

1k

R2303

150

R2286

30k

R2300

150

*R2323

R2311

150

R2265

6.8

k1%

R2349

R2331

3.3

1.5

k

1%

R2324

R2348

30k

R2288

22k

R2284

22k

6.8

k

1%

R2350

R2281

30k

R2305

10k

R2290

22k

6.8

k

1% R

2392

R2283

22k

R2200

R2131

0

R2378

10k

R2258

R2333

3.3

*R2294

0

5%

6.8

k1%

R2325

R2309

150

R2313

0

R2332

3.3

*R2322

*L2200

*L2201

*L2202

OP

ER

AT

E

12.2

88M

Hz

3.0

72M

Hz

48K

Hz

3.0

72M

Hz

12.2

88M

Hz

48K

Hz

OP

ER

AT

E

RE

SE

T

AS

AV

1 V

U_Y

PB

PR

1_P

R/L

CD

_U

_N

ON

US

E/A

_N

ON

US

E

MU

TE

U_Y

PB

PR

1_P

B/L

CD

_U

_N

ON

US

E/A

_N

ON

US

E

OP

ER

AT

E

U_Y

PB

PR

1_Y

/LC

D_U

_N

ON

US

E/A

_N

ON

US

E

to S

heet9

U_Y

PB

PR

1_R

/LC

D_U

_N

ON

US

E/A

_N

ON

US

E

U_Y

PB

PR

1_L/L

CD

_U

_N

ON

US

E/A

_N

ON

US

E

PD

P_U

_Y

PB

PR

2_L/L

CD

_U

_Y

PB

PR

1_L/A

_A

V1_L

MU

TE

PD

P_U

_Y

PB

PR

2_R

/LC

D_U

_Y

PB

PR

1_R

/A_A

V1_R

to S

heet2

PD

P_U

_N

ON

US

E/L

CD

_U

_iP

OD

_V

/A_A

V1_V

I2C

/I2S

/RE

SE

T

U_A

V1_R

/A_A

V2_R

U_A

V1_L/A

_A

V2_L

U_A

V2_V

/A_A

V3_V

U_A

V2_L/A

_A

V3_L

U_P

DP

_N

ON

US

E/U

_LC

D_IP

OD

_R

/A_N

ON

US

E

U_A

V2_R

/A_A

V3_R

U_P

DP

_N

ON

US

E/U

_LC

D_IP

OD

_L/A

_N

ON

US

E

iPO

D

US

iP

OD

V

U_A

V1_V

/A_A

V2_V

U_A

V1_S

_Y

/A_A

V2_S

_Y

LC

D U

S Y

PB

PR

1

PD

P U

S Y

PB

PR

1

U_A

V1_S

_C

/A_A

V2_S

_C

U_T

U_V

/A_T

U_V

U_N

ON

US

E/A

_A

V1_Y

DE

T

MU

TE

R+

L+

L-

TO

SP

EA

KE

R R-

US

AV

1:Y

AS

AV

2

AS

AV

1

PD

P U

S Y

PB

PR

2

AS

AV

1 Y

DE

T

AS

AV

3

OP

ER

AT

E

US

AV

2

3.0

72M

Hz

RE

SE

T

48K

Hz

OP

ER

AT

E

MU

TE

PD

P_U

_Y

PB

PR

2_Y

/LC

D_U

_Y

PB

PR

1_Y

/A_A

V1_Y

12.2

88M

Hz

PD

P_U

_Y

PB

PR

2_P

B/L

CD

_U

_Y

PB

PR

1_P

B/A

_A

V1_P

B

3.0

72M

Hz

PD

P_U

_Y

PB

PR

2_P

R/L

CD

_U

_Y

PB

PR

1_P

R/A

_A

V1_P

R

PD

P_U

_Y

PB

PR

2_S

YN

C/L

CD

_U

_Y

PB

PR

1_S

YN

C/A

_A

V1_S

YN

C

PD

P_U

_Y

PB

PR

1_S

YN

C/L

CD

_U

_N

ON

US

E/A

_N

ON

US

E

SO

S

SO

SN

OR

MA

L

to S

heet0

02

GP

IO14

3G

!A

- T

NP

4G49

3AC

(6/

12)

A-

TN

P4G

493A

D (

6/12

)

Page 9: IP3738 TH-L32C3C L32CH3C 02 110824 - go-gddq. · PDF file7+ / & & 7+ / &+ & 3 s 4 cÃ Ò 3 9 7 a 8 6 b c d e 1 f 45 2 th-l32c3c th-l32ch3c p-'Æ É 1/2 Ê?5 =] th-l32c3c th-l32ch3c

39

7

A

86

EC DB

1

F

45

2

TH

-L32

C3C

TH

-L32

CH

3CA

-7/

12

TH

-L32

C3C

TH

-L32

CH

3CA

-7/

12

C4

70

6

10

V1

u

C4

77

96

.3V

10

u

C4

77

2

16

V0

.1u

C4

70

7

10

V1

u

C4

70

5

16

V

0.1

u

*C4

70

4

10

0u

10

V

D4

70

5B

0A

CC

J0

00

05

1

D4703

B0JCME000076

IC4700

1U

HZ

2P

3.3

V

3T

ES

T

4P

AN

EL

_S

OS

5D

RV

RS

T_

PD

4

6S

TB

1.2

V

7S

TB

5V

8S

TB

3.3

V

9A

MU

TE

10

SP

_M

UT

E

11

MU

TE

_V

CC

12

AU

DIO

_M

UT

E_

IN

13

DT

B9

V

14

MO

NIT

OR

_M

UT

E

15

N.C

.

16

GN

D1

7

N.C

.

18

N.C

.

19

N.C

.

20

N.C

.

21

N.C

.

22

N.C

.

23

CD

24

ST

B_

RS

T

25

DR

VR

ST

26

ST

B5

V_

SW

_O

N

27

P_

SO

S4

28

SC

_S

OS

6

29

SC

_S

OS

7

30

SS

_S

OS

8

31

D_

SO

S_

RS

V

32

SC

_O

HZ

C

C

C

C

C

C

C

ST

B3.3

V

ST

B3

.3V

SU

B1.8

V

ST

B3.3

V

ST

B1

.2V

ST

B3

.3V

SU

B1.2

V

ST

B5V

ST

B5V

TV

_S

UB

_O

N

AG

_S

OS

ST

B5

V_

SW

_O

N

AG

_F

AN

_S

OS

AM

P_

MU

TE

MC

U_

XR

ST

MO

NIT

OR

OU

T_

MU

TE

MO

N_

MU

TE

/IP

OD

_P

WR

_O

N

SO

S

*Q4

70

4

DS

C2

00

1S

0L

*Q4

70

3D

SC

20

01

S0

L

Q4700

B1

AD

CF

00

01

94

R4

70

3

0

R4

86

9

0

*R4

83

11

00

k

R4

74

5

0

R4

74

0

47

k

*R4

83

01

00

k

R4

71

22

20

*R4

80

91

0k

*R4

82

11

0k

R4

73

94

7k

R4

71

14

.7k

*R4

70

10

MU

TE

MU

TE

To

SH

EE

T2

MU

TE

RX

P2

_C

RX

NC

_C

RX

P1

_C

RX

N0

_B

HP

LU

G_

B

DD

C5

V_

B

RX

PC

_B

RX

NC

_B

RX

P0

_C

RX

N1

_C

GU

AR

D

RX

N2

_C

DD

C5

V_

A

SD

A_

DD

C_

A

RX

N2

_B

RX

P1

_B

RX

N1

_B

RX

PC

_C

RX

N0

_C

RX

P0

_B

DD

C5

V_

C

RX

P2

_B

HD

MI_

CE

C

GU

AR

DS

CL

_D

DC

_A

HP

LU

G_

C

NE

T0

10

21

82

GU

AR

D

HP

D1

*C4

53

9

1u

6.3

V

*C4

56

0

6.3

V1

u

C4

54

0

1u

6.3

V

C4

54

6

6.3

V1

u*D

45

05

DZ

2J0

56

M0

LD

45

04

DZ

2J0

56

M0

L

*JK

45

02

K1

FY

11

9D

00

15

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

JK

45

01

K1

FY

11

9D

00

15

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

*L4

50

6

*L4

50

1 J0

JY

C0

00

00

68

J0

JY

C0

00

03

11

*L4

50

3J0

JY

C0

00

00

68

J0

JY

C0

00

03

11

L4

50

2

L4

50

4

L4

50

0

*L4

50

7

L4

50

5

C

C

C

C

C

C

C

C

C

C

C

C

C

C

SU

B3

.3V

TP

45

02

TP

45

00

TP

45

03

TP

45

01

TP

45

06

AC

K_

DD

C_

B

AC

K_

DD

C_

C

SP

DIF

RX

N2

_B

RX

NC

_C

RX

P2

_B

RX

N0

_C

RX

N2

_C

DD

C5

V_

B

RX

PC

_C

RX

P0

_B

DD

C5

V_

A

SC

L_

DD

C_

C

RX

PC

_B

SC

L_

DD

C_

B

RX

P0

_C

RX

P1

_C

SC

L_

DD

C_

A

RX

P1

_B

RX

N1

_C

DD

C5

V_

C

RX

N1

_B

RX

N0

_B

RX

NC

_B

RX

P2

_C

SD

A_

DD

C_

C

SD

A_

DD

C_

B

HD

MI_

CE

C

SD

A_

DD

C_

A

AR

C2

_O

FF

HP

LU

G_

C

HP

LU

G_

B

Q4501

DS

C2

00

1S

0L

*Q4500

DS

C2

00

1S

0L

Q4513

Q4

51

5

B1

HF

CE

A0

00

01

R4

56

01

50

R4

52

6

4.7

k

R4

54

8

22

*R4

50

94

7k

R4

51

91

k

R4

56

51

0k

R4

50

34

7k

R4

51

24

7k

R4

50

24

7k

R4

50

61

0k

R4

54

9

R4

50

71

0k

R4

56

61

0k

R4

52

5

4.7

k

R4

50

41

0k

*R4

56

8

10

k

R4

50

5

47

k

R4

55

0

15

0

R4

56

15

6

R4

56

91

k

R4

56

71

0k

R4

52

7

4.7

k*R4

51

51

k

FO

R H

DM

I1.4

AR

C

CE

C

DD

CG

CL

K-

D1

+

D2

-

D0

G

D0

+

CL

K+

D2

+

CL

KG

D0

-

HD

MI1

HD

MI2

D1

-

SD

A

CE

C

HP

DT

D1

-

D2

+

SD

A

CL

K+

N.C

.S

CL

D2

-

D1

G

D1

+

D0

G

D2

G

HP

DT

+5

V

D2

G

D0

+

+5

V

CL

KG

N.C

.

D1

G

D0

-

DD

CG

CL

K-

SC

L

24"[

HD

MI1

]24"[

none]

15

0

!A

- T

NP

4G49

3AC

(7/

12)

A-

TN

P4G

493A

D (

7/12

)

Page 10: IP3738 TH-L32C3C L32CH3C 02 110824 - go-gddq. · PDF file7+ / & & 7+ / &+ & 3 s 4 cÃ Ò 3 9 7 a 8 6 b c d e 1 f 45 2 th-l32c3c th-l32ch3c p-'Æ É 1/2 Ê?5 =] th-l32c3c th-l32ch3c

39

7

A

86

EC DB

1

F

45

2

TH

-L32

C3C

TH

-L32

CH

3CA

-8/

12

TH

-L32

C3C

TH

-L32

CH

3CA

-8/

12

NC

1

BB

2

RF

-AG

C_

Mo

nito

r

3 5

BT

_M

on

ito

r

6

VB

10

Vid

eo

-ou

t 11

SC

L 13

SD

A 14

AF

T-O

UT 15

IF_

AG

C 16

NC

8S

IF-o

ut

9

GU

AR

DT

U_

SIF

5.0

0V

GU

AR

DT

U_

RF

AG

C

RF

_V

GU

AR

D_

V

24

KE

C_

I2C

DA

TA

0_

TU

GU

AR

D

RF

_A

FT

GU

AR

D

24

KE

C_

I2C

CL

K0

_T

U

0V

TU

_R

FA

GC

GU

AR

D

RF_V

AG

_R

F_

AF

T

VIDEO_OUT

TU

_S

IF

GU

AR

D

0V

VID

EO

_O

UT

GU

AR

D_

V

AG

_R

F_

AF

T

C8

35

1

50

V1

00

0p

C8

30

7

22

00

p

50

V

C8

35

3

6.3

V1

u

C8

30

6

50

V

15

00

p

C8

37

0

16

V

0.1

u

C8

30

3

50

V

10

00

p

C8

30

4

6.3

V

1u

C8

35

2

16

V

0.1

u

C8

35

4

6.3

V1

u

C8

31

55

0V

10

00

p

C8

35

0

16

V

0.1

u

C8

34

9

50

V

10

00

p

C8

30

8

16

V

0.1

u

C8

35

5

6.3

V1

u

C8

30

5

22

0p

50

V

C8

31

4

16

V

0.1

u

C8

31

2

50

V1

80

p

C8

31

3

16

V

0.1

u

C8

32

4

6.3

V2

20

u

D8

30

0

DA

2J1

01

00

L

L8

30

2J0

JG

C0

00

00

70

L8

31

5J0

JC

C0

00

02

69

L8

30

6

J0

JY

C0

00

03

22

C

CC

C

C

C

C

C

C

SU

B5V

SU

B5

V_

TU

NE

R

SU

B5V

SU

B5

V

SU

B5

V

TP

83

04

TP

83

10

TP

83

05

TP

83

02

TP

83

09

24

KE

C_

I2C

CL

K0

_T

U

RF

_V

SIF

IN

TU

NE

R_

SW

1

24

KE

C_

I2C

DA

TA

0_

TU

TV

_S

YN

C/T

UN

ER

BO

X_

SE

L

RF

AG

C

TU

NE

R_

SW

2

RF

_A

FT

Q8304

B1

AB

CE

00

00

15

Q8307

B1

AB

CE

00

00

15

Q8303

B1

AB

CE

00

00

15

Q8309

DS

C2

00

1S

0L

Q8310

DS

C2

00

1S

0L

Q8

30

8

DS

A2

00

1S

0L

Q8

30

2

DS

A2

00

1S

0L

Q8306

B1

AD

CF

00

01

94

Q8305

B1

AD

CF

00

01

94

Q8

30

1

DS

A2

00

1S

0L

R8

30

6

2.2

k

R8

31

11

0k

R8

30

2

56

0

R8

33

14

.7k

R8

31

8

22

k

R8

33

6

22

R8

30

5

10

0

R8

30

8

10

0

R8321

4.7k

R8

31

9

39

k

R8

40

11

0k

R8

32

3 33

k

R8

32

0

10

0

R8

31

64

7k

R8

40

01

0k

R8

31

5

22

k

R8

33

31

.2k

R8

31

31

0k

R8

32

2

4.7

k

R8

31

4

33

k

R8

30

71

00

R8

33

44

70

R8341

820

R8

33

02

.2k

R8

32

4

10

0

R8

31

24

.7k

R8

33

9

22

0

R8

31

0

47

0k

R8

33

7

1k

R8

30

9

75

R8

31

7

47

k

R8

33

2

22

TU

83

01

EN

G3

9F

02

GF

NC

1

BB

2

RF

-AG

C_

Mo

nito

r

3

NC

NC

5

BT

_M

on

ito

r6

+B

10

Vid

eo

-ou

t 11

SC

L 13

SD

A 14

AF

T_

ou

t 15

SW

1 16

VB

17

NC

19

SW

2 20

NC

8

SIF

-OU

T 9

*C8

32

70

.01

u5

0V

C

ANALOG

1.2

ANALOG

Asia

-An

alo

g

TU

83

02

!A

- T

NP

4G49

3AC

(8/

12)

A-

TN

P4G

493A

D (

8/12

)

Page 11: IP3738 TH-L32C3C L32CH3C 02 110824 - go-gddq. · PDF file7+ / & & 7+ / &+ & 3 s 4 cÃ Ò 3 9 7 a 8 6 b c d e 1 f 45 2 th-l32c3c th-l32ch3c p-'Æ É 1/2 Ê?5 =] th-l32c3c th-l32ch3c

39

7

A

86

EC DB

1

F

45

2

TH

-L32

C3C

TH

-L32

CH

3CA

-9/

12

TH

-L32

C3C

TH

-L32

CH

3CA

-9/

12

GU

AR

D_

V

GU

AR

D_

V

GUARD_Y

GU

AR

D_

Y

GU

AR

D_

Y

GU

AR

D_

A

GU

AR

D_

A

GU

AR

D_

A

GU

AR

D_

V

GU

AR

D_

A

GU

AR

D_

A

GU

AR

D_

V

GU

AR

D_

A

JK

2108

K1

FY

31

5A

00

09

1 2 3 4 5

6 7 8 9 10

11

12

13

14

15

C

C

TP

31

04

TP

31

02

TP

31

05

TP

31

06

TP

31

03

TP

31

01

PC

_V

D_

TE

RM

INA

L

PC

_G

_T

ER

MIN

AL

PC

_R

_T

ER

MIN

AL

PC

_H

D_

TE

RM

INA

L

PC

_B

_T

ER

MIN

AL

U_

AV

1_

R/A

_A

V2

_R

__

TE

RM

INA

L

LC

D_

U_

AV

2_

L_

_T

ER

MIN

AL

U_

NO

NU

SE

_A

_A

V1

_Y

SW

__

TE

RM

INA

L

PD

P_

U_

YP

BP

R2

_Y

/LC

D_

U_

YP

BP

R1

_Y

/A_

AV

1_

Y_

_T

ER

MIN

AL

PD

P_

U_

YP

BP

R2

_P

B/L

CD

_U

_Y

PB

PR

1_

PB

/A_

AV

1_

PB

__

TE

RM

INA

L

U_

AV

1_

L/A

_A

V2

_L

__

TE

RM

INA

L

U_

AV

1_

V/A

_A

V2

_V

__

TE

RM

INA

L

PD

P_

U_

YP

BP

R2

_L

/LC

D_

U_

YP

BP

R1

_L

/A_

AV

1_

L_

_T

ER

MIN

AL

PD

P_

U_

YP

BP

R2

_R

/LC

D_

U_

YP

BP

R2

_R

/A_

AV

1_

R_

_T

ER

MIN

AL

PD

P_

U_

YP

BP

R2

_P

R/L

CD

_U

_Y

PB

PR

1_

PR

/A_

AV

1_

PR

__

TE

RM

INA

L

LC

D_

U_

AV

2_

R_

_T

ER

MIN

AL

A_

AV

1_

V_

_T

ER

MIN

AL

U_

YP

BP

R1

_L

/A_

AU

DIO

OU

T_

L_

_T

ER

MIN

AL

U_

YP

BP

R1

_R

/A_

AU

DIO

OU

T_

R_

_T

ER

MIN

AL

R3

01

6

0

R3

01

50

R8

57

20

R8

57

1

0

R3

12

4 0

R3

12

60

R3

01

70

R3

12

50

JK

2101A

V L R G Y G

PB

PR V L R G L R G

PC

MO

DE

L

Syn

c_

GN

D

H V

TC

ON

-PO

WE

R

*C4168

25V

2.2u

*C4

17

71

6V

4.7

u

*C4

16

1

25

V

1u

*C4

17

8

16

V

4.7

u

*C4

16

0

50

V

0.1

u

*C4

17

3 25

V4

70

0p

*C4

15

4

50

V

0.1

u

*C4

18

8

10

u6

.3V

*C4

17

51

6V

4.7

u

*C4165

25V

2.2u

*C4

18

2

25V

4.7

u

*C4

16

32

5V

10

u

*C4

18

1

25

V4

.7u

*C4

17

9

25V

47

00

p

*C4

15

8

50

V

0.1

u

*C4

16

4

25

V1

0u

*C4

18

7

10

u

6.3

V

*C4151

16V

0.1u

*C4167

25V

2.2u

*C4

15

9

50

V 0.0

47

u

*C4

15

3

50

V

0.1

u

*C4

16

25

0V

0.1

u

C8

85

1

10

V

1u

*C4180

10V 1u

*C4

15

0

25

V4

.7u

*C4174

50V 56p

*C4

16

9

50

V

0.1

u

*C4

15

2

25

V

1u

*C4

15

5

50

V0

.04

7u

*C4

15

6

50

V0

.04

7u

*C4

15

7

50

V0

.04

7u

*C4

17

6

16

V

4.7

u

*C4166

25V

2.2u

*D4

15

2

*D4175

DA2J10100L

*D4

15

6D

A2

J1

01

00

L

*D4

15

4

*D4157DA2J10100L

D4

16

0

*D4

17

2

B0

JC

PE

00

00

04

D4

15

9

*D4

15

0*D

41

53

D4

16

2

D4

16

1

*D4171

DA2J10100L

*D4170

DZ2J047M0L

*D4174B0BC022A0007

*D4

16

3

DZ

2J2

00

M0

L

D4

17

3

*D4

16

5

B0

BC

01

00

00

35 *D

41

69

B0

BC

4R

70

00

07

4

VDD

3

VOUT

1CE

2VSS

1

SW2

2S

W2

3P

GN

D2

4P

GN

D2

5P

GN

D1

6P

GN

D1

7S

W1

8

SW1

9

PV

CC

1

10

11

12

13

14

15

16

SC

P

17

ENA

18

EN

D

19

SC

L

20

SD

A

21

SR

CA

22

SR

CD

23

VD

D2

IN

24

TEST

25

FB

L

26GND

27VDD2

28VREG

29FBD

30COMP2

31PVCC2

32

PV

CC

2

*L4

15

2

J0

JH

C0

00

00

77

*L4

15

0

J0

JH

C0

00

00

46

*L4

15

3G

1C

22

0M

A0

23

4

*L4

15

1

G1

C1

00

MA

04

26

C

C

C

C

C

C

CC

C

C

C

C

C

C

C

C

C

C

C

C

C

C

SU

B3

.3V

TC

ON

15

.6V

ST

B3.3

V

DV

DD

2.5

V

AV

DD

12

.6V

VO

FF

2_

-6V

LV

DS

3.3

V

F1

6V

SU

B3

.3V

TC

ON

15

.6VA

VD

D1

2.6

V

VO

FF

1_

-13

V

VO

N3

1V

*PA

41

50

K5

H1

62

2A

00

31

TP

88

50

TP

41

50

TP

41

51

PA

NE

L_

TE

ST

_O

N/V

DD

25

_E

N

PA

NE

L_

ST

BY

_O

N/P

AN

EL

_V

CC

_O

N

AG

_S

OS

AG

_F

AN

_S

OS

MC

U_

I2C

DA

TA

MC

U_

I2C

CL

K

*Q4

15

4B

1C

FQ

D0

00

00

1*Q4

15

0D

SC

50

01

00

L

*Q4

19

9D

SC

20

01

S0

L

*Q4

15

1

DS

A5

00

10

0L

*Q4

15

2

DS

A5

00

10

0L

*R4

18

9

0

*R4

19

91

0k

1%

*R4

15

3

2k

*R4

15

0

39

0

1%

*R4154

10k

1%

*R4

18

1

13

k

1%

*R4

15

9

3.3

k

1%

*R4161

3.6k

1%

*R4

15

1

2.2

k

1%

*R4162

2.2k

1%

*R4155

10k

1%

*R4

18

4

22

k

1%

*R4152

30k

1%

*R4160

3.3k

1%

*R4

17

13

0k

1%

*R4

17

8

22

k

1%

*R4

17

21

20

k

*R4198

10k

*R4

15

8

27

0

1%

*R4

15

7

1k

12

0m

A

ON

DC

DC

SO

S(L

CD

)

OF

F

OF

F

ON

IC8

85

0

C8

85

2

10

V

1u

*D4

15

5

DZ

2J3

30

M0

L

*C4

17

15

0V

0.1

u

*C4

17

0

10

V1

u

D4151

ThermalPadTHERMAL_PAD

PVCC1

COMP1

FBA

GND

FAULT

VCC

*IC

41

50

!A

- T

NP

4G49

3AC

(9/

12)

A-

TN

P4G

493A

D (

9/12

)

Page 12: IP3738 TH-L32C3C L32CH3C 02 110824 - go-gddq. · PDF file7+ / & & 7+ / &+ & 3 s 4 cÃ Ò 3 9 7 a 8 6 b c d e 1 f 45 2 th-l32c3c th-l32ch3c p-'Æ É 1/2 Ê?5 =] th-l32c3c th-l32ch3c

TH

-L32

C3C

TH

-L32

CH

3CA

-10

/12

TH

-L32

C3C

TH

-L32

CH

3CA

-10

/12

1218

1617

1510

1314

11

ThermalPad

SID

E

*C4124

16V

0.1

u

*C4129

16V

0.1

u

*C4120

50V

0.1

u

*C4123

16V

0.1

u

C9903

0.0

1u

25V

*C4125

16V

0.1

u

*C4133

16V

0.1

u

*C4121

50V

0.1

u

*C4134

1u16V

C9900

25V

1u

*C4132

16V

0.1

u

*C4128

10V

10u

*C4127

16V

0.1

u

*C4131

16V

0.1

u

*C4130

16V

0.1

u

*C4126

16V

0.1

u

*C4263

10V

10u

*C4122

16V

0.1

u

*C4264

10V

10u

*D4823

B0B

C4R

700007

*FL7000

EX

C28C

E201U

1234

*FL7003

EX

C28C

E201U

1234

*FL7002

EX

C28C

E201U

1234

*FL7001

EX

C28C

E201U

1234

C0F

BB

Y000086

*IC

4120

BU

F12840A

IRG

ER

1OUT5

2O

UT

4

3O

UT

3

4O

UT

2

5O

UT

1

6O

UT

0

8GNDA

9VSD

10EA1

11EA0

12EN

15

SD

A

16

A0

17

BK

SE

L

18

GN

DD

22OUT8

23OUT7

24OUT6

THERMAL_PAD

7

VS

13

LD14

SC

L

19

OU

T11

20OUT10

21OUT9

12345678910

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

47

48

49

50

51

52

53

54

55

C

C

C

C

C

C

C

C

C

C

C

C

C

C

C

C

C

C

C

C

C

C

DV

DD

2.5

V

F16V

DV

DD

2.5

V

ST

B3.3

V

SU

B3.3

V

AV

DD

12.6

V

VO

FF

2_-6

V

VO

N31V

AV

DD

12.6

V

*R4836

*R7092

*R4904

*R4837

*R4001

*R7084

*R7094

*R4919

*R7109

*R7108

*R7095

*R4857

VA

RA

Y405

*R4853

VA

RA

Y405

*R4845

VA

RA

Y405

*R4849

VA

RA

Y405

RIE

XT

_A

RE

_E

-

RF

_E

-

VR

EF

3

VR

EF

11

VR

EF

8

RF

_E

+

RC

LK

_E

+

VR

EF

5

VR

EF

2

24K

EC

_I2

CC

LK

1_P

AN

EL

RB

_E

+

RD

_E

-

VR

EF

4

RA

_E

-

PA

NE

L_A

GW

/AV

DD

_E

NB

VR

EF

12

RA

_E

+

VR

EF

9

RE

_E

+

RC

_E

+

RC

_E

-

GR

AB

_M

OD

ULE

_IIC

/PW

M_P

OW

_O

N2:H

2

VR

EF

10

VC

OM

RE

_E

-

VR

EF

1

DC

DC

_O

N

RF

_E

+

BL0P

WM

RF

_E

-

VR

EF

6

PA

NE

L_C

LK

_E

EP

RD

_E

+

RB

_E

-

RE

_E

+

RC

LK

_E

-

VR

EF

11

VR

EF

6

VR

EF

10

VR

EF

1

PA

NE

L_C

LK

_E

EP

VC

OM

VR

EF

8

VR

EF

9

VR

EF

5

VR

EF

2

VR

EF

4

VR

EF

3

VR

EF

12

INV

_P

WM

TC

N_P

OLL

24K

EC

_I2

CD

AT

A1_P

AN

EL

PA

NE

L_R

EA

DY

/FV

_S

EL

TC

ON

_G

DA

TA

2

TC

N_P

OLR

TC

ON

_G

DA

TA

1

PA

NE

L_S

DA

_E

EP

TC

N_LP

L

DIS

PE

N

MC

U_I2

CC

LK

FA

N_M

AX

/LC

D_E

EP

_W

P/Z

WE

I_V

OU

T_E

NB

TC

ON

_C

PV

MC

U_I2

CD

AT

A

PA

NE

L_S

DA

_E

EP

FA

N_M

AX

/LC

D_E

EP

_W

P/Z

WE

I_V

OU

T_E

NB

RE

V_D

AC

_E

NB

Q9902

B1A

BC

E000015

Q9

90

0B

1A

BC

E000015

Q9901

B1A

DC

F000194

*R4121

EX

B28V

100JX

10

*R4122

EX

B28V

100JX

10

*R4946

EX

B28V

471JX

*R4944

EX

B28V

151JX

R9907

1k

R9923

68

R9912

10k

*R7111

68

*R4123

22k

R9908

1k

*R4124

47k

*R4964

150

*R7110

68

*R4812

560

R9922

10

R4861

10k

R9919

1.8

k

*R4126

10

R4817

10k

R9901

10k

R9902

1k

R9915

47k

*R4125

10

1% R4974

1.6

k

R9904

4.7

k

*R4937

150

R9903

47k

R4820

47k

R9917

6.8

k

*R4128

10

*R4127

10

*R4969

470

R990910k

R4

97

5

4.7

k

GA

MM

A_C

OR

RE

CT

ION

_D

AC

LP

_B

UF

FE

R

to S

he

et

00

2

VR

F11

CLK

-

GN

D

LV

0-

WP

SD

A

LV

5+

RE

V_

DA

C_

EN

B

LV

3-

LV

4+

VR

F2

VR

F10

LV

1-

GN

D

DA

TA

2

VO

FF

2

AV

DD

GN

D

AV

DD

CLK

+

GD

AT

A1

VD

D

CP

V

AV

DD

LV

1+

VR

F1

PO

LL

DA

TA

1

CP

V

VR

F5

SC

L

AV

DD

GN

D

GN

D

LV

2-

LP

VR

F6

VR

F12

PO

LR

PO

L

GN

D

VR

F3

VC

OM

GD

AT

A2

GN

D

LV

0+

LV

4-

GN

D

GN

D

LV

5-

VC

OM

VR

F9

VR

F8

GN

D

VD

D

/PO

L

LV

2+

VR

F4

LV

3+

VO

FF

1(G

ND

)

VO

N

Nile

-TC

ON

LV

DS

OU

T

PW

M_A

PW

M1

Q9904

B1A

BC

E000015

*R4940

470

*A2

2

FH

28

D-5

5S

-0.5

SH

(15

)

K1

MN

55

BA

00

76

*C4262

25V

10u

LV

DS

OU

T

Nile

-TC

ON

to S

he

et

00

2

Rx20+

Rx21-

RxC

LK

2+

Rx22+

Rx21+

Rx23-

Rx20-

RxC

LK

2-

Rx22-

Rx23+

RA

_O

-

RC

LK

_O

-

RE

_O

+

RC

LK

_O

+

RF

_O

+

RD

_O

-

RB

_O

-

RA

_O

+

RE

_O

-

RB

_O

+

RF

_O

-

RC

_O

+

RC

_O

-

RD

_O

+

!A

- T

NP

4G49

3AC

(10

/12)

A-

TN

P4G

493A

D (

10/1

2)

Page 13: IP3738 TH-L32C3C L32CH3C 02 110824 - go-gddq. · PDF file7+ / & & 7+ / &+ & 3 s 4 cÃ Ò 3 9 7 a 8 6 b c d e 1 f 45 2 th-l32c3c th-l32ch3c p-'Æ É 1/2 Ê?5 =] th-l32c3c th-l32ch3c

AG

_SO

S

AG

_KE

Y1(

CO

N-P

AN

E)

C27

0325

V0.

01u

C47

97

10u

25V

C11

00 25V

0.01

u

C47

180.

1u16

V

*C55

2116

V0.

1uC

4721

16V

0.1u

C27

01

25V

0.01

u

C47

93 1000

p50

V

C47

98

16V

0.1u

*C55

2216

V0.

1u

C27

00

25V

0.01

u

*D56

00D

A2J

1010

0L

*D56

73D

A2J

1010

0L

*D56

72D

A2J

1010

0L

*D56

74D

A2J

1010

0L

D41

02E

ZJZ0

V12

0JA

A04

1

234

A10

K1K

A08

B00

270

SM

08B

-GH

S-T

B(L

F)(S

N)

1 2 3 4 5 6 7 8

A03 1 2 3 4 5 6 7 8 9 10 11 12 13 14 1615

R47

93J0

JYC

0000

048

C

C

C

C

C

C

CC

C

C

C

C

C

C

C

F16V

SU

B6V

5VS

STB

3.3V

STB

3.3V

F16V

5VS

STB

5V

STB

3.3V

STB

5V

STB

5V

F16V

SU

B3.

3V

SU

B5V

AV

DD

12.6

VV

ON

31V

PA

4803

VJU

MP

1608

-PR

4796

R47

91

TP56

07

TPS

0S

TP27

59

TP40

05

TP27

64

TP47

13

TP27

66

TP27

61

TP27

68

TP47

16

TP47

25

TP47

24

TP27

62

TP47

10

TP47

17

TP27

60

PA

NE

L_S

TBY

_ON

/PA

NE

L_V

CC

_ON

2:H

2

STB

5V_S

W_O

N

EC

O_O

N/IN

VE

RTE

R_O

N

INV

_PW

M16

:L12

INV

ER

TER

_SO

S

AG

_SO

S

KE

Y1

2:E

4

PO

WE

R_L

ED

_ON

FAN

_OFF

RM

_IN

CA

TS_E

YE

KE

Y3/

STB

Y_L

ED

_ON

TV_S

UB

_ON

Q27

60B

1AB

CE

0000

15

Q27

61B

1AB

CE

0000

15

*Q56

14B

1AB

CE

0000

15B

1AB

CF0

0023

1

*Q56

02B

1AD

CF0

0019

4B

1AD

CE

0000

22D

SA

2001

00L

*R56

071.

5k

*R56

0647

k

R47

08 01.

0 x

0.5

R47

95 1k1.

0 x

0.5

*R56

0522

k

R27

6447

k

*R57

232.

2k

R27

6110

0

*R57

2410

k

*R57

1922

k

R11

797.

15k

1%

R27

6010

0

R27

7047

k

R47

821.

8k

*R56

1047

0

R27

6647

k

R27671k

R27

6210

0

R27

6510

k

*R56

082.

2k

*R57

2110

k

R47

941k

1.0

x 0.

5

R48

224.

7k

R27

6910

k

*R57

2047

k

R27

571.

8k

R11

801k

R27

6347

k

She

et01

3

AC

TIV

E_S

TBY

_LE

D_O

N

AS

IA/U

SA

com

mon

6/12

Add

ed b

y A

zum

a

AI_

SE

NS

OR

to IN

VLC

D m

odel

A-P

CO

NN

EC

TOR

GN

D

STB

3.3V

R_L

ED

_ON

AS

IA/U

SA

com

mon R

MIN

to S

heet

002

G_L

ED

_ON

2011

VE

RS

ION

CO

NN

EC

TER

for L

CD

Fixe

d

GK

pri

TO K

-BO

AR

D

SU

B3.

3V

7

KE

Y3

12 1

6V

13 1

6V

1 G

ND

14 G

ND

2 G

ND

11 1

6V

4

BL_

ON

3

PW

M1

6

KE

Y1

15 5

.8V

5

BL_

SO

S

10 G

ND

9

SU

B_O

N

16

STB

_5.8

VS

8

RE

LAY

C47

92 1000

p50

V

!

Page 14: IP3738 TH-L32C3C L32CH3C 02 110824 - go-gddq. · PDF file7+ / & & 7+ / &+ & 3 s 4 cÃ Ò 3 9 7 a 8 6 b c d e 1 f 45 2 th-l32c3c th-l32ch3c p-'Æ É 1/2 Ê?5 =] th-l32c3c th-l32ch3c

TH

-L32

C3C

TH

-L32

CH

3CA

-12

/12

TH

-L32

C3C

TH

-L32

CH

3CA

-12

/12

1218

1617

1510

1314

11

GU

AR

D24K

EC

_I2

CD

AT

A0_T

U

24K

EC

_I2

CD

AT

A0_T

U

24K

EC

_I2

CD

AT

A2_E

EP

GU

AR

D

24K

EC

_I2

CD

AT

A2_E

EP

24K

EC

_I2

CD

AT

A2_E

EP

GU

AR

D

24K

EC

_I2

CC

LK

2_E

EP

24K

EC

_I2

CC

LK

2_E

EP

GU

AR

D

GU

AR

D

24K

EC

_I2

CC

LK

1_P

OS

T

GU

AR

D

24K

EC

_I2

CC

LK

0_T

UG

UA

RD

24K

EC

_I2

CC

LK

0_T

U

GU

AR

D24K

EC

_I2

CC

LK

1_M

ID

24K

EC

_I2

CC

LK

1_A

MP

GU

AR

D

GU

AR

DM

CU

_I2

CC

LK

24K

EC

_I2

CD

AT

A1_M

ID

GU

AR

D24K

EC

_I2

CC

LK

1_T

_S

EN

SO

R

24K

EC

_I2

CC

LK

1_P

AN

EL

GU

AR

D

MC

U_I2

CD

AT

AG

UA

RD

24K

EC

_I2

CD

AT

A1_T

_S

EN

SO

RG

UA

RD

24K

EC

_I2

CD

AT

A1_A

MP

GU

AR

D

24K

EC

_I2

CD

AT

A1_P

AN

EL

GU

AR

D

MC

U_I2

CC

LK

MC

U_I2

CD

AT

A

24K

EC

_I2

CC

LK

2_E

EP

24K

EC

_I2

CD

AT

A2_E

EP

24K

EC

_I2

CC

LK

1_P

OS

T

24K

EC

_I2

CD

AT

A1_P

OS

T

24K

EC

_I2

CD

AT

A1_P

OS

TG

UA

RD

D1012J0ZZB0000133

D1014J0ZZB0000133

D1006J0ZZB0000133

D1008J0ZZB0000133

D1011J0ZZB0000133

D1007J0ZZB0000133

D1009J0ZZB0000133

D1013J0ZZB0000133

D1010J0ZZB0000133

D1005J0ZZB0000133

D1004J0ZZB0000133

D1002EZJZ0V120JA

D1003EZJZ0V120JA

A18 1 2 3 4 5 6 7 8 9 10

11

12

13

14

C

C

C

C

SU

B3.3

V SU

B3.3

V

ST

B5V

SU

B3.3

V

SU

B5V

TP

4742

TP

4741

TP

4712

TP

4723

TP

4740

TP

47

05

TP

47

02

TP

4739

24K

EC

_I2

CC

LK

1

24K

EC

_I2

CC

LK

2

24K

EC

_I2

CC

LK

0

SD

_B

OO

T

24K

EC

_I2

CC

LK

1_A

MP

24K

EC

_I2

CC

LK

1_T

_S

EN

SO

R

24K

EC

_I2

CC

LK

0_T

U

24K

EC

_I2

CC

LK

2_E

EP

24K

EC

_I2

CC

LK

1_P

AN

EL

UA

TX

D1

MC

U_I2

CD

AT

A

24K

EC

_I2

CD

AT

A2

UA

0E

XC

LK

FA

N_M

AX

/LC

D_E

EP

_W

P/Z

WE

I_V

OU

T_E

NB

24K

EC

_I2

CD

AT

A2_E

EP

UA

TX

D0

UA

RX

D1

24K

EC

_I2

CD

AT

A1_A

MP

SR

Q

24K

EC

_I2

CD

AT

A0_T

U

MC

U_I2

CC

LK

UA

RX

D0

24K

EC

_I2

CD

AT

A1_T

_S

EN

SO

R

24K

EC

_I2

CD

AT

A1_P

AN

EL

24K

EC

_I2

CD

AT

A0

24K

EC

_I2

CD

AT

A1

R4762

EX

B2H

V220JV

R4730

2.2

k

R4716

2.2

k

R4717

2.2

kR

4731

2.2

k

R4872

1k

R4715

2.2

k

R4729

2.2

k

R4823

22

R4824

22

*C4755

10u

25V

(Tuner)

SU

B5V

GN

D(T

uner)

24K

EC

_I2

CD

AT

A2

24K

EC

_E

EP

24K

EC

_I2

C0:T

U/H

P_A

MP

24K

EC

_I2

C1:A

MP

/PA

NE

L/T

_S

EN

SO

R

24K

EC

_I2

C2:E

EP

RO

M

24K

EC

_I2

CC

LK

224K

EC

_E

EP

MC

U_I2

CD

AT

A M

PU

_E

EP

& F

AC

T

MC

U_I2

CC

LK

M

PU

_E

EP

& F

AC

T

IIC

to S

heet 002

SR

Q1

SD

_B

OO

T

UA

TX

/RX

1 : K

ern

el

UA

TX

/RX

0 : Y

AM

ON

24K

EC

_I2

CC

LK

1P

anelE

EP

/ZW

EI2

24K

EC

_I2

CD

AT

A1

PanelE

EP

/ZW

EI2

PanelE

EP

WP

?

YA

MO

N_R

X

YA

MO

N_T

X

!A

- T

NP

4G49

3AC

(12

/12)

A-

TN

P4G

493A

D (

12/1

2)

Page 15: IP3738 TH-L32C3C L32CH3C 02 110824 - go-gddq. · PDF file7+ / & & 7+ / &+ & 3 s 4 cÃ Ò 3 9 7 a 8 6 b c d e 1 f 45 2 th-l32c3c th-l32ch3c p-'Æ É 1/2 Ê?5 =] th-l32c3c th-l32ch3c

98

76

A

54

32

1

B C ED F

TH

-L3

2C

3C

TH

-L3

2C

H3

C

GK

TH

-L3

2C

3C

TH

-L3

2C

H3

C

GK

!G

KT

NP

4G

50

2A

B

GK

01

1 2 3 4

C1

C1

R2

60

36

.65

k

1%

D1

BD

66

51

A0

44

R2

60

0

0

D0

GD

R0

0JA

01

7

R2

60

27

.15

k

1%

D1

BD

71

51

A0

44

R2

60

41

4.3

k

1%

D1

BD

14

32

A0

44

R2

60

11

.74

k

1%

D1

BD

17

41

A0

44

SW

26

02

K0

H1

BA

00

04

45

SW

26

01

K0

H1

BA

00

04

45

SW

26

03

K0

H1

BA

00

04

45

SW

26

05

K0

H1

BA

00

04

45

SW

26

04

K0

H1

BA

00

04

45

SW

26

00

ES

B3

35

35

XF

D

NOC

NC

NC

C NO

KE

YS

CA

N

TV

AV

+-

F

ST

B 5

V

5V

S

GN

D

F

SW

26

04

SW

26

05

AV

(PA

RT

S P

AT

TE

RN

)

-

SW

26

01

+

KE

Y_R

EF

ER

EN

CE

SW

26

03

SW

26

02

TV

GK

01

GK

1

SW

26

00

PO

WE

R

CR

No.: 2

600~

2699

Page 16: IP3738 TH-L32C3C L32CH3C 02 110824 - go-gddq. · PDF file7+ / & & 7+ / &+ & 3 s 4 cÃ Ò 3 9 7 a 8 6 b c d e 1 f 45 2 th-l32c3c th-l32ch3c p-'Æ É 1/2 Ê?5 =] th-l32c3c th-l32ch3c

98

76

A

54

32

1

B C ED F

TH

-L3

2C

3C

TH

-L3

2C

H3

C

KA

TH

-L3

2C

3C

TH

-L3

2C

H3

C

KA

!K

AT

NP

A5

37

8B

B

+

G

R

VCC

GND

OUT

R_LE

D_O

NG

_LE

D_O

N

SU

B3.3

V

ST

B3.3

V

C2802

0.0

1u

16V

*C2805

0.1

u16V

C2801

6.3

V47u

*D2800A

B3A

GB

0000065

KA

10

1

2

3

4

5

6

7

C1

C1

C1

C1

C1

C1

C1

C1

C1

C1

*SN

2800

B3JB

00000078

1IO

UT

4N

C

2G

ND

3V

CC

5G

C1

6G

C2

TP2806

TP2804

TP2803

TP2807

TP2800

TP

2809

TP

2808

TP2801

TP2802

*RM

2800

B3R

AD

0000168

1

2

3

*Q2

80

1B

1A

BC

E000015

*Q2

80

2B

1A

BC

E000015

*Q2

80

0B

1A

BC

E000015

R2810

100k

R2809

10k

R2802

22k

*R2807

5.6

k

R2811

10k

R2801

47k

1%

*R2800

953

*R2803

47k

R2806

47

*R2804

10k

1%

*R2805

2.2

6k

*R2808

180k

PO

WE

R_LE

DA

I_S

EN

SO

RR

M_R

EC

EIV

ER

G_LED_ON

SUB3.3V

REMOTE

STBY 3.3

REC_LED

R_LED_ON

AI

GND

ONTIMER_LED_ON

SD_LED

DO

UB

LE

PIT

CH

DO

UB

LE

PIT

CH

CR

No.: F

2800~

2849