informe vhdl

23
UNIVERSIDAD DISTRITAL FRANCISCO JOSE DE CALDAS FACULTAD DE INGENIERÍA ELÉCTRICA ELECTRÓNICA DIGITAL LABORATORIOS VHDL 31 de Enero de 2012 Entregado por: Luis Ignacio Sánchez Castillo – 20101007004 Estudiante cuarto semestre Ingeniería Eléctrica. Al Profesor: Ernesto Gómez Vargas. Docente de Electrónica Digital. Objetivo General. Realizar las practicas indicadas en el software Max+plus II Manager y utilizar Vhdl para describir circuitos digitales. LABORATORIO Digitales I VHDL Objetivo: Familiarizarse con la herramienta de simulación de VHDL (MaxPlus2) Recursos: Para esta práctica se puede utilizar cualquier herramienta que permita simular VHDL, aunque para los siguientes ejemplos se utilizara Maxplus2. Ejercicio: Describa con VHDL la siguiente función como suma de productos y como producto de sumas y realice las respectivas compilaciones y simulaciones. F(x,y,z)=xy* + x*z + yz 1

Upload: andres-mauricio-ruiz-molano

Post on 24-Oct-2014

289 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Informe Vhdl

UNIVERSIDAD DISTRITAL FRANCISCO JOSE DE CALDAS

FACULTAD DE INGENIERÍA ELÉCTRICA

ELECTRÓNICA DIGITAL

LABORATORIOS VHDL

31 de Enero de 2012

Entregado por:Luis Ignacio Sánchez Castillo – 20101007004Estudiante cuarto semestre Ingeniería Eléctrica.

Al Profesor:Ernesto Gómez Vargas. Docente de Electrónica Digital.

Objetivo General.

Realizar las practicas indicadas en el software Max+plus II Manager y utilizar Vhdl para describir circuitos digitales.

LABORATORIO Digitales I VHDL

Objetivo:Familiarizarse con la herramienta de simulación de VHDL (MaxPlus2)

Recursos:Para esta práctica se puede utilizar cualquier herramienta que permita simular VHDL, aunque para los siguientes ejemplos se utilizara Maxplus2.

Ejercicio:Describa con VHDL la siguiente función como suma de productos y como producto de sumas y realice las respectivas compilaciones y simulaciones.

F(x,y,z)=xy* + x*z + yz

A B C

9

8

53

7

6

2

41

1

Page 2: Informe Vhdl

UNIVERSIDAD DISTRITAL FRANCISCO JOSE DE CALDAS

FACULTAD DE INGENIERÍA ELÉCTRICA

ELECTRÓNICA DIGITAL

LABORATORIOS VHDL

Código Suma de productos:

Código Producto de Sumas:

2

Page 3: Informe Vhdl

UNIVERSIDAD DISTRITAL FRANCISCO JOSE DE CALDAS

FACULTAD DE INGENIERÍA ELÉCTRICA

ELECTRÓNICA DIGITAL

LABORATORIOS VHDL

Simulación:

LABORATORIO Digitales II VHDL

Objetivo:Implementar una función a partir de la tabla de verdad.

Ejercicio:Implemente la siguiente función de la forma 1, 2, 3 y simule los respectivos resultados.

F(x,y,z)=xy* + x*z + yz

A B C

9

8

53

7

6

2

41

3

Page 4: Informe Vhdl

UNIVERSIDAD DISTRITAL FRANCISCO JOSE DE CALDAS

FACULTAD DE INGENIERÍA ELÉCTRICA

ELECTRÓNICA DIGITAL

LABORATORIOS VHDL

Código forma 1:

Código Forma 2:

4

Page 5: Informe Vhdl

UNIVERSIDAD DISTRITAL FRANCISCO JOSE DE CALDAS

FACULTAD DE INGENIERÍA ELÉCTRICA

ELECTRÓNICA DIGITAL

LABORATORIOS VHDL

Código Forma 3:

Simulación:

Forma 1

Forma 2

Forma 3

5

Page 6: Informe Vhdl

UNIVERSIDAD DISTRITAL FRANCISCO JOSE DE CALDAS

FACULTAD DE INGENIERÍA ELÉCTRICA

ELECTRÓNICA DIGITAL

LABORATORIOS VHDL

LABORATORIO Digitales III VHDL

Objetivo:Implementar un sumador en paralelo de cuatro bits.

Ejercicio:Modifique la descripción anterior para que tenga carry de entrada y carry de salida, realice la compilación y simulación.

Código Sumador:

6

Page 7: Informe Vhdl

UNIVERSIDAD DISTRITAL FRANCISCO JOSE DE CALDAS

FACULTAD DE INGENIERÍA ELÉCTRICA

ELECTRÓNICA DIGITAL

LABORATORIOS VHDL

Simulación:

LABORATORIO Digitales IV VHDL

Ejercicio:- Modele en VHDL un decodificadorde 4 a 7 segmentos.- Modele en VHDL un multiplexor de dos entradas.- Construya desde el modo grafico un multiplexor de 8 entradas a partir del multiplexor de dos entradas diseñado en el punto anterior.- Modele en VHDL un demultiplexor de ocho salidas como se muestra en la siguiente tabla (entrada I).

Decodificador:

7

Page 8: Informe Vhdl

UNIVERSIDAD DISTRITAL FRANCISCO JOSE DE CALDAS

FACULTAD DE INGENIERÍA ELÉCTRICA

ELECTRÓNICA DIGITAL

LABORATORIOS VHDL

Multiplexor de 2 a 1:

Código Decodificador de 4 a 7:

8

Page 9: Informe Vhdl

UNIVERSIDAD DISTRITAL FRANCISCO JOSE DE CALDAS

FACULTAD DE INGENIERÍA ELÉCTRICA

ELECTRÓNICA DIGITAL

LABORATORIOS VHDL

Código Multiplexor:

Multiplexor de 8 a 1:

9

Page 10: Informe Vhdl

UNIVERSIDAD DISTRITAL FRANCISCO JOSE DE CALDAS

FACULTAD DE INGENIERÍA ELÉCTRICA

ELECTRÓNICA DIGITAL

LABORATORIOS VHDL

Demultiplexor de 8 salidas:

Simulaciones:

Decodificador de 4 a 7

Multiplexor de 2 a 1

10

Page 11: Informe Vhdl

UNIVERSIDAD DISTRITAL FRANCISCO JOSE DE CALDAS

FACULTAD DE INGENIERÍA ELÉCTRICA

ELECTRÓNICA DIGITAL

LABORATORIOS VHDL

Multiplexor de 8 entradas

Demultiplexor de 8 salidas

LABORATORIO Digitales V VHDL

Objetivos:Realizar la descripción VHDL de Flip –flops y registros

Ejercicio:-Modele en VHDL un Flip-Flop Tipo Da partir del siguiente circuito y compare el resultado con el diseñado en el punto anterior de la presente guía.

11

Page 12: Informe Vhdl

UNIVERSIDAD DISTRITAL FRANCISCO JOSE DE CALDAS

FACULTAD DE INGENIERÍA ELÉCTRICA

ELECTRÓNICA DIGITAL

LABORATORIOS VHDL

- Modele en VHDL un Flip-Flop Tipo JK.- Modele en VHDL un Flip-Flop Tipo T.- Modele un Registro de desplazamiento de 4 bits a la derecha y a la izquierda que tenga una entrada de selección f que indique el sentido del desplazamiento. (F=0 desplazamiento a la derecha, F=1 desplazamiento a la izquierda).

Código Flip-Flop tipo D

Código Flip-Flop tipo D con compuertas

12

Page 13: Informe Vhdl

UNIVERSIDAD DISTRITAL FRANCISCO JOSE DE CALDAS

FACULTAD DE INGENIERÍA ELÉCTRICA

ELECTRÓNICA DIGITAL

LABORATORIOS VHDL

Código Flip-Flop tipo JK

Código Flip-Flop tipo T

13

Page 14: Informe Vhdl

UNIVERSIDAD DISTRITAL FRANCISCO JOSE DE CALDAS

FACULTAD DE INGENIERÍA ELÉCTRICA

ELECTRÓNICA DIGITAL

LABORATORIOS VHDL

Código Registro

Simulaciones:

14

Page 15: Informe Vhdl

UNIVERSIDAD DISTRITAL FRANCISCO JOSE DE CALDAS

FACULTAD DE INGENIERÍA ELÉCTRICA

ELECTRÓNICA DIGITAL

LABORATORIOS VHDL

Flip-Flop tipo D

Flip-Flop tipo D con compuertas

Flip-Flop tipo JK

Flip-Flop tipo T

Registro de desplazamiento

LABORATORIO Digitales VI VHDL

Objetivo:Realizar la descripción VHDL de contadores.

Ejercicio:Modele en VHDL un Contador de 4 bits que tenga un pin que habilite el conteo ascendente o descendente.

Modele en VHDL un contador ascendente (múltiplos de 3) de cuatro bits con la siguiente secuencias (0, 3, 6, 9, 12, 15, 0, 3, 6…..)

15

Page 16: Informe Vhdl

UNIVERSIDAD DISTRITAL FRANCISCO JOSE DE CALDAS

FACULTAD DE INGENIERÍA ELÉCTRICA

ELECTRÓNICA DIGITAL

LABORATORIOS VHDL

Código Contador de 4 bits

Código Contador Ascendente

16

Page 17: Informe Vhdl

UNIVERSIDAD DISTRITAL FRANCISCO JOSE DE CALDAS

FACULTAD DE INGENIERÍA ELÉCTRICA

ELECTRÓNICA DIGITAL

LABORATORIOS VHDL

Simulaciones:

Contador de 4 Bits

Contador ascendente

LABORATORIO Digitales VII VHDL

Objetivo:El objetivo de esta practica es mirar como se modela con VHDL las Maquinas de estados Finitas.

Ejercicio:-Modifique la anterior descripción para que tenga en cuenta todos los posibles saltos al estado de error.-Simule y compile y haga todos los comentarios respectivos.

17

Page 18: Informe Vhdl

UNIVERSIDAD DISTRITAL FRANCISCO JOSE DE CALDAS

FACULTAD DE INGENIERÍA ELÉCTRICA

ELECTRÓNICA DIGITAL

LABORATORIOS VHDL

Código Máquina de estados:

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;

Entity fsm isport ( clk,reset : in std_logic;

L,T,V : in std_logic; V1,C,V2,E: OUT std_logic);End fsm;

Architecture f_1 of fsm istype estados is (s0,s1,s2, s3);signal estado : estados;

18

Page 19: Informe Vhdl

UNIVERSIDAD DISTRITAL FRANCISCO JOSE DE CALDAS

FACULTAD DE INGENIERÍA ELÉCTRICA

ELECTRÓNICA DIGITAL

LABORATORIOS VHDL

beginprocess (clk,reset) begin

if reset = '1' thenestado <= s0;elsif clk'event and clk ='1' then

case estado iswhen s0 =>

if (L='0' )thenestado <= s0;elsif(L='1' and

T='0'and V='0')thenestado <= s1;elsif(L='1' and

V='1')thenestado <= s3;elsif(L='1' AND

T='1')THENestado<=s3;end if;

when S1 =>if (L='1' and T='0'and

V='0')thenestado <= s1;elsif(L='1' and

T='1'and V='0')thenestado <= s2;elsif(L='1' and

V='1')thenestado <= s3;elsif(L='0')thenestado<=s3;end if;

when s2 =>if ( T='1'and

V='0')thenestado <= S2;elsif(L='0' and

V='1')thenestado <= s0;

elsif(L='1' and V='1')then

estado <= s3;elsif(T='0' and

V='0')thenestado<=s3;end if;

when s3 => estado <= s3;end case;end if;end process;V1 <= '1' when (estado = s0) else'0';C <= '1' when (estado = s1) else'0';V2 <= '1' when (estado = s2) else'0';E <= '1' when (estado = s3) else'0';end f_1;

Simulación Máquina de estados:

19

Page 20: Informe Vhdl

UNIVERSIDAD DISTRITAL FRANCISCO JOSE DE CALDAS

FACULTAD DE INGENIERÍA ELÉCTRICA

ELECTRÓNICA DIGITAL

LABORATORIOS VHDL

CONCLUSIONES

Despues de haber realizado estas prácticas puede dearme cuenta de la importacia de usar Vhdl para describir circuitos digitales.

La descripción funcional en Vhdl es muy similar a los lenguajes de software debido a que se realiza de forma secuancial.

Programar en Vhdl economiza gastos debido a que podemos implemetar todo un circuito en una sola pastilla, en vez de utilizar gran cantidad de intagrados, los cuales ocuparian demasiado espacio.

20