industrial systems · mode bluetooth audio products microchip has announced the is206x dual-mode...

17
Application Spotlight on Industrial Systems Design Notes: pages 10-11 The FPGA’s advantages over MCUs and DSPs in high-performance motor-control applications Component Focus: pages 3-9 ST’s complete single-chip, li-ion battery-charger solution for portable devices Circuit Centre: pages 12-18 The latest and best components for WAP home router applications Application Spotlight: pages 18-29 ON Semiconductor’s compact inverter-stage power modules give 1.1kW of power Technical View: pages 30-31 Where calculation stops and judgement starts in the estimation of a power-supply’s reliability Developing for a WAP home router? Turn to page 12 now to see the Circuit Centre feature!

Upload: others

Post on 27-Sep-2020

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Industrial Systems · mode Bluetooth audio products Microchip has announced the IS206x dual-mode Bluetooth® audio family. Building on Microchip’s IS202x portfolio of integrated

Application Spotlight on

Industrial Systems

Design Notes: pages 10-11 The FPGA’s advantages over MCUs and DSPs in high-performance motor-control applications

Component Focus: pages 3-9 ST’s complete single-chip, li-ion battery-chargersolution for portable devices

Circuit Centre: pages 12-18 The latest and best components for WAP homerouter applications

Application Spotlight: pages 18-29 ON Semiconductor’s compact inverter-stagepower modules give 1.1kW of power

Technical View: pages 30-31 Where calculation stops and judgement starts in the estimation of a power-supply’s reliability

Developing for a WAPhome router?Turn to page 12 now

to see the Circuit Centre feature!

Page 2: Industrial Systems · mode Bluetooth audio products Microchip has announced the IS206x dual-mode Bluetooth® audio family. Building on Microchip’s IS202x portfolio of integrated

Analogue bottom-port microphone hasMEMS sensing element

STMicroelectronics’ MP23AB02B is acompact, low-power microphone builtwith a low-profile MEMS sensingelement. The sensing element, capableof detecting acoustic waves, ismanufactured using a special siliconmicromachining process.

STMICROELECTRONICS

The MP23AB02B has an acoustic overloadpoint of 125dB sound pressure level, andfeatures a 64dB signal-to-noise ratio. Sensitivityis rated at -38dBV/PA for sound at a frequencyof 1kHz.

The typical current drawn by theMP23AB02B in operation is just 150µA with a

2V supply. It is guaranteed to operate over anextended temperature range from -40°C to 85°C.

The device is housed in a three-lead landgrid array package with a metal cap. It measures3.4mm x 2.5mm x 1mm.

2 EMAIL [email protected] FOR SAMPLES AND DATASHEETS

S T A R P R O D U C T

Improved infra-red array sensor provides higheraccuracy and longer detection range

Predictive maintenance is a conceptthat has often been more talked aboutthan implemented. But as we seevarious strands of machine and systemintelligence coming together in thetrend known as ‘Industry 4.0’, more ofthe promise of predictive maintenancenow looks capable of being fulfilled.

PANASONIC

F T M T E C H N O L O G Y W A T C H

ams buys colour and spectralsensing specialist MAZeTams AG has bought the colour and spectralsensing systems specialist MAZeT GmbH, ina deal which extends ams’ marketleadership in advanced optical sensors, andstrengthens its position in emerging opticalsensing applications.

Focused on industrial and medicalapplications, MAZeT offers very strongsystem and application know-how in colourand spectral sensing, and has outstandingoptical engineering expertise. MAZeT’scapabilities include IC and filter design aswell as hardware and software systemdevelopment.

Future Electronics has a franchise todistribute ams products globally.

Microchip announces new dual-mode Bluetooth audio products

Microchip has announced the IS206x dual-mode Bluetooth® audio family. Building onMicrochip’s IS202x portfolio of integratedBluetooth system-on-chip devices andmodules, with the IS206x Microchip hasnow added Bluetooth Low Energy capability.The new devices are intended for use inwireless speakers, headsets and gamingheadphones.

Vicor releases four new DC-DCconverter modules supplyingoutputs up to 600WVicor has introduced four new products inits DCM™ family of isolated, regulated DC-DC converters. Housed in a rugged,chassis-mountable VIA package, the newmodules offer higher power density and asmaller footprint than competing products.

These new DCM modules from Vicorinclude two 270V-rated parts and two with anominal input voltage of 28V. They are ratedto support loads ranging from 180W up to600W.

©Copyright 2016 Future Electronics Ltd. All trademarks contained herein are theproperty of their respective owners. Applications for product samples, badgeboards, demonstration boards, Future Electronics’ boards and other advertisedmaterials from Future Electronics are offered subject to qualification. Images ofproduct packages throughout this publication are for illustration purposes and notnecessarily an exact representation of the advertised part.

N E W S I N B R I E F

For more information [email protected]:

The challenge with predictive maintenance istiming. Its purpose is to detect failures inindustrial equipment before they happen, sothat maintenance can be done at exactly theright time. Not too late, as this can lead toequipment failure, potentially entailing longproduction downtimes and high replacementor repair costs. But also not too early. This isthe problem with scheduled maintenance,which consumes time, resources and moneyfor the inspection of equipment which is still inperfectly good condition.

To meet the demand for predictivemaintenance capability, industrial equipmentOEMs are now evaluating the technologiesand component types required to capturemachine data, analyse it and make it availableto the user. Connected sensors are one suchtechnology, to measure various physicalproperties such as temperature, acceleration,current, voltage and sound. The Future SensorSolutions division of Future Electronics offersthe expertise of technology specialists and abroad product portfolio to help OEMsimplement advanced machine sensing systems.

The sensed data then need to be amplifiedand digitised before they can be handled by amicrocontroller. Examples of components forsuch functions may be found in this issue ofFTM, which is focussed on industrial systems:STMicroelectronics’ MEMS motion sensor onpage 5 and current sensor on page 25, forinstance, and the LPC43S57 microcontrollerfrom NXP Semiconductors on page 29.

How Industry 4.0 can giveimpetus to the technology ofpredictive maintenance

Panasonic Automotive & IndustrialSystems Europe has released animproved and more accurate secondgeneration of its Grid-EYE Infra-Red (IR)array sensor.

3VISIT THE ONLINE FTM MAGAZINE AT: WWW.MY-FTM.COM

The parts feature 64 thermopile elements in an8x8 grid format which detect absolute surfacetemperature without contact. Unlike conventionalsensors, Grid-EYE uses a patented silicon lensetched out of a silicon wafer, and providing a60° field of view. At just 0.3mm high, this lensis the smallest on the market.

Because the Grid-EYE sensor captures ahigh-resolution 64-pixel thermal image, it muchmore effectively detects moving and motionlesspeople and objects than conventional single-element thermopile sensors and pyroelectricsensors.

Panasonic has introduced four new parts in itssecond generation Grid-EYE family: theAMG8833 and AMG8834 are high-gain typesand the AMG8853 and AMG8854 are low-gain types. All four devices benefitfrom an improved noiseequivalent temperaturedifference of 0.16°C at10Hz and of 0.05°Cat 1Hz, and adetection range upfrom 5m to 7m.

Fully compatible withthe first-generation Grid-EYE sensors, the new partsmay be dropped into existingdesigns to provide more accurateresults in applications developed forthe earlier parts.

Based on Panasonic’s MEMStechnology, the new Grid-EYE IRarray sensors combine a more sensitiveMEMS sensor chip connected to a digitalASIC via an I2C interface, and a silicon lens, all in a single package measuring 11.6mm x8mm x 4.3mm.

STARPRODUCT

Beyond the hardware element of the design, Ibelieve that the real key to successfulpredictive maintenance is proper analysis ofthe data captured by the sensors at the frontend of the system. This may be done locally,or it may be aggregated in the cloud as so-called ‘big data’, where patterns emergingfrom huge populations of machines can beanalysed. Here, data security is of crucialimportance, a factor recognised by NXP in itsdesign of the LPC43S57, which incorporates ahardware acceleration engine for AES dataencryption.

With or without a predictive maintenanceelement, new industrial systems will continueto aim for new high levels of power efficiencyand density, and to provide more and betterforms of connectivity and intelligence. Thelatest and best components for thesefunctions are featured here in FTM, fromintelligent power modules and SiC diodes towireless and wired transceivers and industrialconnectors.

Fortunately, the specialists at Future PowerSolutions and Future Connectivity Solutionsare always on hand to help readers of FTMtake advantage of the components andtechnologies featured here. Enjoy this issue ofFTM, and please e-mail [email protected] forinformation about any of the parts featured.

APPLICATIONS• Microwave ovens• Air conditioners• Lighting controls• Digital signage• Automatic doors and elevators

FEATURES• Supply voltage:

• 3.3V AMG8833/AMG8834• 5.0V AMG8853/AMG8854

• Temperature-measurement range:• 0° to 80°C AMG8833/AMG8853 • -20° to 100°C AMG8834/AMG8854

• Temperature-measurement accuracy:• ±2.5°C AMG8833/AMG8834• ±3.0°C AMG8853/AMG8854

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

See Board of the Month feature, on page 29.

Orderable Part Number: AMG8832EK

FREEBOARDS

Apply now at my-boardclub.comFast-track board request code: FTM69A

The STEVAL-MKI139V3 is a daughterboard containingfour MP23AB02B microphones. It is possible to detachfrom the board the single PCBs hosting each microphone.

Orderable Part Number: STEVAL-MKI139V3

FREEBOARDS

Apply now at my-boardclub.comFast-track board request code: FTM69A

APPLICATIONS• Space-constrained applications• Consumer electronics devices

FEATURES• Single supply-voltage range: 1.6V to 3.6V• Omnidirectional sensitivity• High bandwidth• Low distortion

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

Martin SchielVertical Segment Manager EMEA

Page 3: Industrial Systems · mode Bluetooth audio products Microchip has announced the IS206x dual-mode Bluetooth® audio family. Building on Microchip’s IS202x portfolio of integrated

4 5VISIT THE ONLINE FTM MAGAZINE AT: WWW.MY-FTM.COM

C O M P O N E N T F O C U S

FOLLOW US NOW – SEARCH FTM BOARD CLUB ON

C O M P O N E N T F O C U S

Buck converters provide excellent transient responseand high output accuracy

The AP65355 and AP65455synchronous buck converters fromDiodes Incorporated provide low-voltage regulation with high efficiency,excellent transient response and highDC output accuracy atcontinuous outputcurrents of 3A and 4A.

DIODES INCORPORATED

The converters implementan adaptive on-time controlscheme which supports aseamless transitionbetween continuous-conduction mode underhigh load conditions anddiscontinuous-conductionmode for lighter loads,ensuring maximumefficiency at all times.

The devices are suitablefor a wide range of point-of-load applications, asthey work with input

voltages ranging from 4.5V to 18V, and supplyoutput voltages from -0.76V to 6V. A lowquiescent supply current and integrated high-and low-side switches with low on-resistancehelp to reduce power losses.

Under-voltage lockout, over-current andover-temperature protection functions help toprevent damage to downstream componentsand premature component failure. The device’sEnable pin also has a high voltage tolerance,up to the input voltage.

Diodes: Integrated FETs have low on-resistance

APPLICATIONS• Games consoles• TVs • Set-top boxes• Home audio equipment• Computer monitors• Networking equipment

FEATURES• Good stability independent of the output

capacitor’s equivalent series resistance• 650kHz switching frequency• Pre-biased start-up• Programmable soft-start• Open drain Power Good output

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

New sub-1GHz RF transceiver ideal for industrialapplications

The new OL2385 from NXPSemiconductors is a fully integratedsingle-chip RF transceiver intended foruse in industrial environments. Itsupports the most common modulationschemes for networking applicationsoperating in any frequency bandbetween 160MHz and 960MHz.

NXP SEMICONDUCTORS

It offers strong radio performance,characterised by high sensitivity, verygood phase noise and best-in-classimage rejection. It supports the followingstandard modulation schemes: 2FSK,2GFSK, 4FSK, 4GFSK, ASK and OOK.

The device incorporates severalcommonly used building blocks includinga crystal-stabilised oscillator, a phase-locked loop for accurate frequencyselection, a low-noise amplifier, anattenuator for automatic gain control, andtwo high-resolution ADCs.

The device includes an embedded RISCmicrocontroller and memory provision forindustry-standard software stacks supplied byNXP, such as IEEE802.15.4, the WMBus datalink layer and SIGFOX. It also includes amedium-power UHF transmission system witha high dynamic range of -35dBm to 14dBm,which makes it ideal for use in narrowbandcommunication systems. It supports datarates up to 400kbits/s.

Power ramping and splatter-avoidance filtersare included to ensure that the transmissionspectrum fulfils all the requirements of commonstandards in Europe, the US and Asia.

OL2385: Data rates up to 400kbits/s

APPLICATIONS• Smart metering• Building and home automation• Wireless sensor networks• M2M communication• Low-power wide-area networking

FEATURES• <11mA Receive current• -126dBm sensitivity at 4kHz • Supports WMBus2013, KNX,

IEEE802.15.4g, T108 andIEEE802.15.4/ZigBee®

• Operating-temperature range: -40°C to 85°C

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

USB3.1 switch with redriver enhances signal qualityNXP SEMICONDUCTORS

The PTN36043 offers advanced power-management capabilities which save powerunder various USB3.1 Gen 1 low-powermodes. It can detect link electrical conditionsand can dynamically activate/de-activateinternal circuitry and logic. The deviceperforms these actions without host softwareintervention.

PTN36043: Advanced power-management capabilities

APPLICATIONS• Mobile devices• Docking stations• USB3.1 Gen 1 peripherals • Flat-panel displays• Storage devices• Printers • Hubs/repeaters

FEATURES• Pin-out data flow matches USB Type-C

connector pin assignments• Two control pins for each channel to

select optimal signal conditions• Integrated termination resistors provide

impedance matching on both transmitand receive sides

• Automatic receiver termination detection• 203mW active power consumption at

1.8V• 14dB differential and 15dB common-

mode return losses

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

Digital three-axis accelerometerideal for industrial applications

STMicroelectronics’ IIS2DH is a low-power, high-performance three-axislinear accelerometer which provides adigital output over a standard I2C orserial peripheral interface. Guaranteedto operate over an extendedtemperature range from -40°C to 85°C,it is intended for use in industrialapplications.

STMICROELECTRONICS

The IIS2DH has user-selectable full scales of±2g/±4g/±8g/±16g and is capable ofmeasuring acceleration at output data ratesfrom 1Hz to 5.3kHz. In normal mode at anoutput data rate of 1Hz, the device draws just 2µA.

The IIS2DH may be configured to generateinterrupt signals by two independent inertialwake-up/free-fall events, as well as by theposition of the device itself. It is capable ofperforming both motion detection and free-falldetection.

Its self-test capability allows the user to checkthe functionality of the sensor in the finalapplication. The IIS2DH is available in a plasticland grid array package measuring 2mm x2mm x 1mm.

IIS2DH: Motion detection and free-fall detection

APPLICATIONS• Robotics• Anti-tampering devices• Vibration monitoring• Tilt/inclination measurements• Impact recognition and logging• Industrial tools and factory equipment

FEATURES• Supply-voltage range: 1.71V to 3.6V • Low-power, normal and high-resolution

operating modes • 6D/4D orientation detection • Integrated FIFO • Integrated temperature sensor

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

Panasonic’s ETQP series: page 9PARTNERWORKING

Doides’ PI3EQX1002B: page 6PARTNERWORKING

The STEVAL-MKI168V1 is an adapter board designed tofacilitate the evaluation of MEMS devices in the IIS2DHproduct family. The board can be plugged into a standardDIL 24 socket. The adapter provides the completeIIS2DH pin-out and comes ready to use with the requireddecoupling capacitors on the power-supply line.

Orderable Part Number: STEVAL-MKI168V1

FREEBOARDS

Apply now at my-boardclub.comFast-track board request code: FTM69A

The PTN36043 from NXPSemiconductors is a very small, low-power active multiplexer/demultiplexerfor SuperSpeed USB3.1 Gen 1 deviceswhich can switch two differentialsignals to one of two locations. Thedevice may be used to extend existinghigh-speed ports while consumingvery little additional power.

The PTN36043 has anintegrated USB3.1

Gen 1 redriver. Thisenhances signal

quality by performingreceive equalisation on

the deteriorated inputsignal, followed by transmit

de-emphasis, thus optimising systemlink performance.

With its superior differential signalconditioning and enhancement capability, thedevice provides for flexible implementationacross various systems with different PCBcharacteristics and cable channel conditions,while still offering good power consumption.

The OL2385 can be interfaced to a hostmicrocontroller via an SPI or UART interface.Sample interface code for Kinetis or LPCmicrocontrollers, and API descriptions for thesoftware stacks, are readily available.

Page 4: Industrial Systems · mode Bluetooth audio products Microchip has announced the IS206x dual-mode Bluetooth® audio family. Building on Microchip’s IS202x portfolio of integrated

6 7VISIT THE ONLINE FTM MAGAZINE AT: WWW.MY-FTM.COM

C O M P O N E N T F O C U S

FOLLOW US NOW – SEARCH FTM BOARD CLUB ON

C O M P O N E N T F O C U S

Fast body-diode MOSFETs improve reliabilityand save energy

Vishay Intertechnology has introduced three new devices in its 600V EF series offast body-diode N-channel power MOSFETs.

VISHAY

Featuring low reverse-recovery charge and on-resistance, the SiHx21N60EF, SiHx47N60EFand SiHx70N60EF enable power-systemdesigners to increase reliability and saveenergy in industrial, telecoms, computing andrenewable-energy equipment.

Built on second-generation superjunctiontechnology, the EF series MOSFETs provide acomplement to Vishay's standard E seriescomponents, offering devices which can beused in zero-voltage switching or soft-switching topologies such as phase-shifted

EF MOSFETs: Second-generation superjunction technology

APPLICATIONS• Solar inverters• Server and telecoms power systems• Welding equipment• Uninterruptible power supplies• Battery chargers• Semiconductor fabrication equipment

FEATURES• ±30V maximum gate-source voltage• Low input capacitance• Low reverse-recovery time• Withstands high energy pulses in the

avalanche and commutation modes withlimits guaranteed by 100% UIS testing

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

16-channel LED driver dims and blinks groups ofRGBA LEDs

NXP Semiconductors’ PCA9745B is a16-channel constant-current LEDdriver suitable for dimming andblinking 57mA Red/Green/Blue/Amber(RGBA) LEDs in status information anddisplays and in amusement products.

NXP SEMICONDUCTORS

Each LED output has its own 8-bit, fixed-frequency PWM controller which operates at31.25kHz with a duty cycle which is adjustablefrom 0% to 100%, for precise control of theLED’s brightness.

An additional 8-bit group PWM controllerhas both a fixed frequency of 122Hz and anadjustable frequency between 15Hz to onceevery 16.8s, with a duty cycle that isadjustable from 0% to 99.6%. This groupPWM controller may be used to either dim orblink all LEDs at the same brightness.

Each LED output can be off, on with noPWM control, set at its individual PWM value,or at both individual and group PWMcontroller values.

The PCA9745B’s sink LED outputs maintainan LED supply of up to 20V. The peak outputcurrent is adjustable with an 8-bit linear DACfrom 225μA to 57mA.

Gradation control for all current sources isachieved via a four-wire serial bus interface.This allows the user to ramp the currentautomatically without the intervention of amicrocontroller.

The PCA9745B has built-in open, short-loadand over-temperature detection circuitry. Inaddition, a thermal shut-down feature protectsthe device when the internal junctiontemperature exceeds a set threshold.

PCA9745B: Global and individual control of 16 LED channels

APPLICATIONS• Amusement products• LED status information and displays• LCD backlights• Keypad backlights • Fade-in and fade-out for breathlight

control

FEATURES• Output current adjusted through an

external resistor • Output current accuracy:

• ±4% between output channels• ±6% between PCA9745B devices

• 8MHz internal oscillator requires noexternal components

• Internal power-on reset • Low stand-by current • ESD protection exceeds 4kV on the

human body model, according toJESD22-A114

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

Launch of industry’s first 10Gbits/s USB3.1 linear driver

The PI3EQX1002B from PericomSemiconductor, a division of DiodesInc., is a low-power, single-port USB3.1 Linear ReDriver™ driver IC whichsupports a high data rate of 10Gbits/s.

DIODES INCORPORATED

The device providesprogrammable equalisation, linearswing and flat gain to optimiseperformance over a variety ofphysical mediums by reducinginter-symbol interference andhence improving signal integrity.

The PI3EQX1002B supportstwo differential Current-ModeLogic (CML) data I/Os, enabling acable connection between a hostASIC and a switch fabric. It mayalso be used to extend the rangeof USB signals over other distantdata pathways on the user’splatform.

Each channel operates fully independently. Thechannels’ input signal level determineswhether the output is active.

The PI3EQX1002B also includes anautomatic Receiver Detect function. Thereceiver detection loop will be active if thecorresponding channel’s signal detector is idlefor longer than 7.3ms. The channel will thenmove to Unplug mode if a load is not detected,or it will return to a low-power mode wheninactive.

This device also removes and cleans jitter,and conditions the signal.

USB3.1 GEN-2 linear redriver in PC and mobile application

APPLICATIONS• Any system handling USB3.1 signals

FEATURES• Fully compliant with USB3.1 Super Speed

standard• Adjustable receiver equalisation• Adjustable output linear swing• Adjustable flat gain• Single 3.3V supply

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

New battery-charger chip cuts cost and designtime for wearable and portable devices

STMicroelectronics has introduced anew battery-charger chip whichintegrates all important energy-management functions withoutcompromising performance and powerconsumption.

STMICROELECTRONICS

The STBC02 IC implements linear charging ofsingle-cell lithium-ion batteriesusing a constant-current/constant-voltage algorithm and chargetermination. It supplies a fast-charge current up to 450mA anda pre-charge current ranging from1mA to 450mA.

The STBC02 also includes a150mA LDO regulator, two single-pole/double-throw load switches,a smart reset/watchdog function,and a Protection Circuit Module(PCM) which protects the batteryfrom damage under faultconditions.

By integrating all these functions into a singlechip, the STBC02 enables OEMs to reducecomponent count and board footprint and tosimplify their designs.

All the STBC02’s functions are simplycontrolled via the SWIRE protocol, whichrequires only one microcontroller I/O pin.

An integrated power path allows the batteryto be charged and the host system to bepowered at the same time from an externalpower supply. An adjustable floating batteryvoltage allows the use of different lithium-ionchemistries, and also enables the user toimplement custom charging schemes.

APPLICATIONS• Smart watches and wearable devices • Fitness and medical accessories • Devices with a rechargeable li-ion battery

FEATURES• 10nA shut-down current, extending

battery shelf life before shipping• Auto Recharge function • Charge/fault status output • Battery voltage pin to allow external fuel

gauging • Reset generator triggered by USB

detection • ±4kV ESD protection on the most critical

pins

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

NXP’s PTN36043BX: page 4PARTNERWORKING

bridges and LLC converter half-bridges. TheSiHx21N60EF, SiHx47N60EF and SiHx70N60EFincrease reliability in these applications byoffering a reverse-recovery charge some tentimes lower than that of standard MOSFETs.This allows the devices to regain the ability toblock the full breakdown voltage more quickly,helping to avoid failure from shoot-through andthermal over-stress.

Part Number Maximum Drain-source Voltage (V)

Maximum ContinuousDrain Current (A) at 25°C

Maximum On-resistance (mΩ) at 10V

Gate Charge(nC) at 10V Package

SiHP21N60EF 600 21 176 56 TO-220

SiHB21N60EF 600 21 176 56 TO-263

SiHA21N60EF 600 21 176 56 TO-220F

SiHG21N60EF 600 21 176 56 TO-247AC

SiHG47N60EF 600 47 65 152 TO-247AC

SiHW47N60EF 600 47 65 152 TO-247AD

SiHG70N60EF 600 70 38 253 TO-247AC

SiHW70N60EF 600 70 38 253 TO-247AD

Page 5: Industrial Systems · mode Bluetooth audio products Microchip has announced the IS206x dual-mode Bluetooth® audio family. Building on Microchip’s IS202x portfolio of integrated

8 9VISIT THE ONLINE FTM MAGAZINE AT: WWW.MY-FTM.COM

C O M P O N E N T F O C U S

FOLLOW US NOW – SEARCH FTM BOARD CLUB ON

C O M P O N E N T F O C U S P • I • ES E C T I O N

Hirose’s BM25 connector: Low 0.7mm profile

10A hybrid power and signal FPC-to-boardconnectors have small pitch

The new BM25 series of connectorsfrom Hirose provides a means toconduct high amounts of power inspace-constrained applications.

HIROSEThe BM25 range consists of plugs andreceptacles which provide a connectionbetween a Flat Printed Circuit (FPC) and aboard. The connector features a hybrid designwhich includes signal contacts rated at 0.3Aand power contacts rated at 10A.

When mated, the BM25’s parallel stack isonly 0.7mm high. Although the connector’sdimensions are compact, performance is not

compromised: the parts are ableto offer highly reliable mechanicalconnections. This is due to theprovision of unique four-pointcontacts and a long effectivemating length of 0.14mm for thesignal contact.

Guide ribs are incorporatedinto the housing body, providingfor a wide self-alignment rangeof 0.48mm in the x direction and0.46mm in the y direction, andpermitting a smoother matingoperation. The robust housingalso incorporates a metal guidestructure to prevent any damagedue to incorrect mating.

Multi-point metal locks are provided toincrease retention force and to prevent anyunintended unmating due to the impact ofbeing dropped.

The integral moulding has no gaps, thuspreventing solder wicking.

APPLICATIONS• Point-of-sale equipment • Handheld devices

FEATURES• Four signal and two power contacts• 0.4mm contact pitch• 30V voltage rating• 10 mating cycles

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

New metal core technology in power choke coilsenables package size reductions

Panasonic has developed a metalcomposite powder core for its ETQPseries of power choke coils to meetmarket demand for coils offering higherperformance in smaller package sizes.

PANASONIC

Panasonic has met this demand in the ETQPseries by better controlling the particle size ofthe metal powder in the core of its powerchoke coils. This improved productiontechnology results in high magnetic flux andhigh permeability, and therefore better DC orAC bias characteristics. The new technologyalso prevents the coils from suffering fromthermal ageing.

The monolithic structure of the ETQPdevices, which have a reduced pathway formagnetic flow, produces better electricalcharacteristics than ferrite coils. As a result,the ETQP series coils are able to provideequivalent electrical and magneticperformance in a device with a case size some30-50% smaller than that of a ferrite coil.

The ETQP devices are available in versionswith inductance values ranging from 1µH to100µH.

The structure of the ETQP coils damps theterminals and leads the inductance wireoutside, bonded tightly to the body of the coil.This robust design makes the ETQP seriesable to withstand continuous vibrations of upto 30G, and provides for high solder-jointreliability and thermal performance.

ETQP series: Up to 50% smaller case size than a ferrite coil

APPLICATIONS• Noise filters• DC-DC converters

FEATURES• Inductance stable over wide temperature

range• Low buzz noise• Low resistance and eddy current• Operating-temperature range:

-55°C to 155• No saturation• AEC-Q200 qualified

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

Compact varistors handle peaksurge currents up to 10kA

Littelfuse has expanded its LV UltraMOV™ series of varistors to provide over-voltageprotection to applications with very high DC voltage and surge requirements.

LITTELFUSE

Voltage surges may be induced by interferencefrom indirect lightning strikes, system switchingtransients, and abnormal fast transients from apower source. Littelfuse’s varistors provide acompact and dependable form of protectionagainst such events.

In its newly extended LV UltraMOV varistorline-up, Littelfuse now supports continuousvoltage ratings from 65V DC up to 125V DC,and an enhanced surge-current rating of up to10kA for an 8/20μs pulse.

The LV UltraMOV series combines thesehigher ratings with the smallest footprint on themarket, more than 40% smaller thancompeting solutions. The varistors areavailable in disc diameters of 5mm, 7mm,10mm, 14mm and 20mm.

LV UltraMOV: Phenolic and epoxy coated options

APPLICATIONS• Telecoms power systems• Surge protection devices• Automation controls• Audio/video equipment • Security systems• Wireless basestations• Fire alarms • Mobile phone chargers• Cordless phones• LED lights

FEATURES• 10mm, 14mm and 20mm devices are UL

recognised and TÜV certified with an800V isolation voltage rating

• Phenolic coating option available forhigher operating temperatures up to125°C

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

For more information [email protected]:

Diodes’ AP65x55: page 4PARTNERWORKING

Page 6: Industrial Systems · mode Bluetooth audio products Microchip has announced the IS206x dual-mode Bluetooth® audio family. Building on Microchip’s IS202x portfolio of integrated

D E S I G N N O T E

11FOLLOW US NOW – SEARCH FTM BOARD CLUB ON10 EMAIL [email protected] FOR SAMPLES AND DATASHEETS

MICROSEMI

Electric motors collectively consume a substantial portion ofthe world’s electricity generation. According to the researchfirm IHS Technology, the cost of the electricity used to powera motor makes up 96% of its lifetime costs.

Motor-control systems: choosing an FPGA for efficiency,performance and scalability

In an attempt to reduce the environmental impact of electric motors,regulators have imposed more stringent energy standards. At the sametime, manufacturers are scrutinizing their considerable contribution to thetotal cost of ownership of electric equipment.

This has led to more complex motor-control designs which usesensored and sensorless feedback loops and advanced algorithms formore precise control and higher efficiency. Motor designers also mustsupport changing industrial control standards and technologies, whileproviding system features that ensure safety, scalability and reliability.

Meeting the twin goals of energy efficiency and enhanced system-levelcapabilities requires adequate processing power to run algorithms,together with flexible and scalable system architectures.

Non-volatile, secure and low-power Flash-based System-on-Chip(SoC) FPGAs enable designers to meet both challenges, by deliveringthe necessary horsepower with a combination of both inherent andlayered security and reliability with protected communications for Internetof Things (IoT) applications. They also provide the ability to quickly andeasily scale from small-footprint to feature-rich custom motor designs ina variety of multi-axis or high-RPM applications.

The challengesWhile traditional designs use simple scalar control, high-efficiencymotors use Field-Oriented Commutation (FOC) across all torque andspeed ranges to improve efficiency.

Because it is current-controlled, FOC can also optimise power-invertercircuitry and the motor footprint. It uses feedback looping, with orwithout sensors, and sophisticated algorithms to regulate importantmotor behaviours including speed, position or angle, torque, current,and flux.

While microcontrollers and DSPs have traditionally been used toprocess algorithms in single- and dual-axis designs, their processingcapabilities are not keeping up with the increased demands of multi-axisor high-speed motors. Adding to the challenge, motor efficiency is rarelythe only concern, especially in connected factories. Today, the IoTdemands secure communications, which Flash-based FPGAarchitectures are ideally placed to address.

Power migrationLooking at the motor’s power system, there is also a trend to migratefrom IGBTs to Silicon Carbide (SiC) power MOSFETs. SiC deviceswithstand higher temperatures, and therefore enable the use of smallerand cheaper heat-sinks, and offer better thermal conductivity, resulting inhigher power densities. In addition, since they support switchingfrequencies higher than 100kHz, they enable the use of smallermagnetics at the inverter stage. This reduces the motor manufacturer’sBill-of-Materials (BoM).

On the control side, DSPs and MCUs struggle with high switchingfrequencies. Some DSPs might optimise a few channels for high-frequency switching, but they still lack the ability to quickly adapt tochanging requirements, and to add more PWM channels to control thepower electronics stage. In fact, this function is often offloaded to an

FPGA. ASICs and ASSPs suffer from thesame flexibility and scaling drawbacks.

By contrast, Flash-based FPGAs offergreater performance than MCU- orDSP-based solutions for high-speed,low-latency algorithm processing, whileenabling the integration of additionalsystem functionality to further reduce theBoM. Designers can use the Flash-based FPGA to provide highercapabilities when an MCU or DSP hasreached the limit of the switchingfrequency or number of PWM channelsthat it can support.

As Figure 1 shows, a Flash-basedSoC FPGA with an ARM® Cortex®-M3core can be used for motor control andmonitoring functions. The FPGA fabric isused for hardware acceleration of motor-control functions to improveperformance, and for design flexibility.Motor-control algorithms can beoffloaded to the FPGA for faster parallelprocessing, with intelligent partitioning toensure that all communicationsprotocols in the MCU sub-system canbe handled with no effect on thecalculations running in the FPGA.

Enhancing this FPGA solution are modular suites of plug-and-play IPblocks which implement all mathematical motor models. Developers candetermine which IP blocks to accelerate in the FPGA fabric so that theycan meet all the challenges of algorithm processing.

These solutions ensure low-power operation while enablingdevelopers to optimise their systems for reliability, safety and securityusing a simplified design process that speeds time to market whileproviding the flexibility and scalability to meet evolving needs.

Low-power operationFPGAs used for motor-control designs must reduce both static and totalpower, especially at high frequencies and temperatures. FPGAs whichfeature an embedded single-transistor Flash cell offer an advantage overalternatives that use a six-transistor SRAM cell, which must beconfigured from an external ROM during power-up.

The latest Flash-based FPGA solutions also use a comprehensiveapproach to minimising power consumption: it encompasses processtechnology, architecture and the design of configurable logic, as well asembedded features including a hardened processor core, 5G SERDES,DDR2/3, TSE, DSP blocks, and special power modes. This approachresults in 50% lower total power and 10% lower static power thanSRAM-based FPGAs.

Reliability, safety, and securityIn general, an FPGA is more reliable than an MCU for implementingmotor-control and network functions in which deterministic timing isimportant. While there can be milliseconds of timing variability in amicrocontroller, there are a few nanoseconds or less in the FPGA.

In addition, the best choice for security is a Flash-based rather thanan SRAM-based FPGA, because it stores configuration information on-chip in non-volatile memory – the bit stream is never exposed at start-up. It also provides immunity to single-event upsets which can changethe configuration contents of SRAM cells.

Some Flash-based FPGAs can also serve as root-of-trust devices withkey storage capability to protect connected industrial IoT systems fromcloning, tampering and other malicious attacks. These FPGAs addresssecurity needs with features such as a Physically Unclonable Function(PUF) from which the Private Key in a Public/Private Key scheme can bederived for implementing M2M authentication using Public KeyInfrastructure (PKI).

Other features includecryptographic accelerators, arandom number generator,hardware firewalls to protectCPU/DSP cores, andDifferential Power Analysis(DPA) countermeasures that,together, allow security to belayered as needed throughoutthe system to protect thehardware and data.

A modular approachModular and performance-oriented suites of IP blocksenable algorithms to beimplemented with plug-and-play simplicity. Designs caneasily be ported across

multiple platforms to speed time to market. All IP blocks are tested insimulations on actual hardware to ensure precise torque output, andeasily integrated to create task-specific modules.

Each block facilitates sharing of common FPGA resources for themost efficient chip utilisation, as shown in Figure 2. The IP suite alsoincludes all basic building blocks, such as Clarke and Parktransformations, proportional integral (PI) controllers for control-loopfeedback, and space vector PWM.

Flexibility and scalabilityA modular IP suite also simplifies customisation and scaling to supportdifferent combinations of multi-axis motors or high-RPM solutions, whilemeeting evolving regional technology standards. The more compact theIP blocks, the more headroom there is to support integration efforts.

An IP suite running on an FPGA can be scaled to drive from twoBrushless DC (BLDC) motor or stepper motor channels to a six-axissolution, or to extend motor performance beyond 70,000rpm.

One way to scale to multi-axis FOC control is to time-division multiplexeach FOC loop within the device so each of the motors can beindividually controlled for different reference speeds and torquerequirements. Everything is implemented in the FPGA fabric, leaving themicroprocessor sub-system available for running a communicationprotocol stack, providing the human-machine interface, or other tasks.

Designers of electric motors must meet energy mandates whileensuring systems can scale and adapt. Flash-based SoC FPGAsprovide an increasingly attractive alternative to DSPs, MCUs, ASICs andASSPs, combining the necessary processing horsepower with hardwareand software programmability plus broad options for accelerating andintelligently partitioningfunctionality.

Flash-based FPGAsadd the benefit ofinherent security, andcan serve as the rootof trust for secure IoTcommunications in theconnected factory.

D E S I G N N O T E

Fig. 2: By sharing the use of common IP blocks, an FPGA can make efficient use of its hardware resources

Fig. 1: SmartFusion2, an FPGA SoC which can be used in motor-control applications

For samples or pricing [email protected]:

Orderable Part Number: M2GL-EVAL-KIT

FREEBOARDS

Apply now at my-boardclub.comFast-track board request code: FTM69A

Page 7: Industrial Systems · mode Bluetooth audio products Microchip has announced the IS206x dual-mode Bluetooth® audio family. Building on Microchip’s IS202x portfolio of integrated

C I R C U I T C E N T R E

13FOLLOW US NOW – SEARCH FTM BOARD CLUB ON

n PROCESSORCavium: CN70xx/CN71xxMicrosemi: PM2329

n RJ45Adam Tech: MTJ series

n NFC READERams: AS3955NXP Semiconductors: PN5321A3HNNXP Semiconductors: PN7150/PN7120STMicroelectronics: CR95HF

Recommended parts for WAP home router

The next generation of wireless access points will be required to do more than provide a Wi-Fi®

interface to PCs, tablets and smartphones. In future, wireless routers for the home will act asa gateway to a multitude of smart devices, such as light bulbs, heating and ventilationcontrols and appliances. The new capabilities required, such as NFC reader functionality andmuch increased security, are provided for in this Circuit Centre section, along with the latestcomponents to support the router’s power, storage, timing and processing functions.

WAP Home Router

n xDSL INTERFACEMicrosemi: Le87251

n 802.11 MODULERedpine Signals: RS9113

n PoE CONTROLLERDiodes Inc.: ZXGD3105, DMN6040SSD, DMP2035UMicrosemi: PD70201ILQON Semiconductor: NCP1081STMicroelectronics: PM8800, L6984

n CLOCK GENERATOR/DRIVERCypress Semiconductor: CY25422FSXIMicrosemi: ZL30236ON Semiconductor: NB3H5150Pericom: PI6C49003A

n EEPROMAdesto: RM25C256C-LMicrochip: 25xx256ON Semiconductor: CAT25512ROHM Semiconductor: BR25Sxxx-WSTMicroelectronics: M95256 Series

n PROTECTIONDiodes Inc.: D1213, D5V0LLittelfuse: DSLP BiasedLittelfuse: SDPxxxxT023G6RPMurata: EMIGUARDON Semiconductor: STF202-22T1GPericom: PI3L500-ASchurter: UST1206Semtech: RClamp1624TSTMicroelectronics: EMIF02-USB01F2STMicroelectronics: SLVU2.8 series

n ETHERNET PHYMicrochip: LAN8810Microsemi: VSC85xx, VSC7514

n RAM Adesto: RM25C256-LCypress Semiconductor:CY7C1440KVE33-167AXC

n FLASHCypress Semiconductor:S34ML01G200TFV000Microchip: SST25xF020Pericom: PI3DDR4212

n USB PHYCypress Semiconductor: TX2ULDiodes Inc.: AP2151, AP2280Microchip: USB3250Pericom: PI2EQX502ESTMicroelectronics: STUSB03E

n CRYSTALAVX: CX3225SBMicrochip: DSC1001Saronix: F62500047, FN2500149Vishay: XT49M

MICROSEMIPROCESSOR

CAVIUMPROCESSOR

ClassiPI Network Classification Processor block diagram

Network processor provides high throughput incomplex classification applications

It is optimised for network environments: network equipment can usethe PM2329’s classification and analysis capability to implement wire-speed routing, quality of service, firewall and other functionality, such asnetwork monitoring, which requires packet inspection and classification.

With a peak throughput of up to OC-48 IPv4 packets per second, thePM2329 is an ideal choice for all classification requirements.

The PM2329’s patented architecture minimises the latency incurred inthe handling of multiple packet data transfers within the host equipment.

Microsemi’s PM2329 is a member of the ClassiPI™ family ofsophisticated network classification processors capable ofsupporting Gigabit/OC-48 interfaces.

For more information e-mail [email protected]

160917:

C I R C U I T C E N T R E

12 EMAIL [email protected] FOR SAMPLES AND DATASHEETS

10-port L2/L3 Gigabit Ethernet switch

The device provides a rich set of switching features required in eitherindustrial or enterprise Ethernet equipment. These include the provisionof Virtual Local Area Network (VLAN) and quality of service processing toenable the delivery of differentiated services, the implementation ofsecurity measures through intelligent frame processing, and egressframe manipulation.

For industrial applications, the VSC7514 integrates Microsemi’sdistributed timing technology, which offers the industry’s most accurateIEEE1588 v2 timing implementation.

The VSC7514 from Microsemi is a 10-port Gigabit Ethernet(GbE) switch supporting a combination of 1G and 2.5GEthernet ports.

For more information e-mail [email protected]

160919:

Ethernet PHY offers energy-efficiencyfeatures

Housed in an 8mm x 8mm package, it features integrated line-sidetermination to conserve board space, lower EMI and improve systemperformance. In addition, integrated RGMII version 2.0 standard timing-compliant compensation eliminates the need for on-board delay lines.

The device supports the industry’s widest range of LVCMOS levels for aparallel MAC interface including 1.5V, 1.8V, 2.5V and 3.3V. It also provides1.2V, 1.5V, 1.8V, 2.5V and 3.3V support on the MDIO/MDC interface.

• EcoEthernet™ 2.0 with Energy Efficient Ethernet (EEE) features toreduce power based on link state and cable reach

• Implements Fast Link Failure™ 2.0 with failure indication for commutatorring applications

• Configurable drive strength on MAC interface enables better control ofsystem-level EMI

The VSC8541 from Microsemi is a low-power, compact copperphysical layer transceiver (PHY) intended for use in space-constrained 10/100/1000BASE-T Ethernet applications.

For more information e-mail [email protected]

160920:

MICROSEMIETHERNETPHY

MICROSEMIETHERNETPHY

Network processors provide multiple high-speedinterfaces suitable for access-point designs

The CN70xx and CN71xx processors arealso suitable for entry-level enterpriseplatforms for products such as serviceprovider gateways, switches, routers,security appliances, Network-AttachedStorage (NAS), mainstream control-planedevices and network-attached printers.

The software- and pin-compatibleCN70xx/CN71xx devices have one, two,three or four processor cores and offer arich set of I/Os including PCIe Gen2, nineGigabit Ethernet controllers, a 10GigabitEthernet interface, and USB3.0 and SATA3.0 capabilities, along with Cavium’s most

Cavium’s OCTEON® III family of multi-core MIPS64 processors provide highperformance and a high level ofintegration to fulfil the requirements ofapplications in networking and storageequipment, such as wireless local-areanetwork access points.

• 500MHz processor core• API and software

development package • Operating-temperature

range: -40°C to 125°C

FEATURES• Up to four cores operating at 1.6GHz per

core, with large 78kbytes/32kbytes L1cache and shared 512kbytes L2 cache

• <3W power consumption• Integrated acceleration for networking,

quality of service, RAID and multi-corescaling

• Floating point unit for each core• Hardware virtualisation• DDR3/DDR4 memory operating at up to

1,333MHz

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For more information [email protected]:

It can be programmed to perform multiple pattern searches sequentially,providing the high throughput required for complex classificationapplications.• Key length programmable from 1byte to 192bytes• Performs forward and reverse content searches• Software development kit, available separately, accelerates application

development

advanced fourth-generation applicationhardware acceleration.Software support provided by Cavium includes: • commercial-grade Linux• complete GNU tool chain• Performance analysis and profiling tools• Optimised C libraries for security and deep

packet inspection• Integrated application development kits for

access point, gateway and routerapplications

• Production-grade software toolkits forTCP/IP, IPSec and SSL

• OpenWRT for gateway and router applications

The Cavium CN71XX Wireless Security Router/BusinessGateway board is a complete production-ready solutionbased on the OCTEON III CN7130 low-power multi-coreprocessor.

Orderable Part Number: CN7000-REF-ROUT-BOARD

FREEBOARDS

Apply now at my-boardclub.comFast-track board request code: FTM69A

Page 8: Industrial Systems · mode Bluetooth audio products Microchip has announced the IS206x dual-mode Bluetooth® audio family. Building on Microchip’s IS202x portfolio of integrated

C I R C U I T C E N T R E C I R C U I T C E N T R E

15FOLLOW US NOW – SEARCH FTM BOARD CLUB ON14 EMAIL [email protected] FOR SAMPLES AND DATASHEETS

• 150°C maximumjunction temperature

• Tolerates cable ESDstrikes up to 3kV

PoE interface and power convertersupplies up to 40W

The NCP1081, a member of ON Semiconductor's HIPO™ Power-over-Ethernet Powered Device (PoE-PD) product family, providesa robust, flexible and highly integrated way to power wirelessaccess points over Ethernet without an external power adaptor.

For more information e-mail [email protected]

160921:

Integrated PoE powercontroller with MOSFET switch

The PD70201 can be used for IEEE802.3af/IEEE802.3at Type 1applications, and in IEEE802.3at Type 2 applications. A single PD70201can be used in four-pair applications which consume up to 47.7W.

This device implements a low-power mode to save power under lightloads, such as when the powereddevice is in stand-by mode. The usercan set the power level at which thePD70201 enters its low-power modeby means of a single resistor value.

The PD70201 from Microsemi is an integrated powered deviceinterface and PWM controller for a DC-DC converter used inPower-over-Ethernet (PoE) applications. It complies with theIEEE802.3af and IEEE802.3at PoE industry standards.

For more information e-mail [email protected]

160922:

ON SEMICONDUCTORPoECONTROLLER

STMICROELECTRONICSPoECONTROLLER

MICROSEMIPoECONTROLLER

Wireless module offers Wi-Fi, Bluetooth andZigBee combination

The functions provided in the RS9113 n-Link module include:• multi-threaded media

access controller • baseband digital signal

processor• analogue front-end

• crystal oscillator• OTP memory for calibration• dual-band RF transceiver• dual-band power amplifiers• baluns

• diplexers• diversity switch • Quad-SPI Flash interface

• 802.11n data rate up to 150Mbits/s • -94dBm Receive sensitivity in Bluetooth

receiver

• -100dBm Receive sensitivity in ZigBeereceiver

• Innovative co-existence algorithms

Redpine Signals also supplies a complete software suite and hostdrivers for operating systems including the Windows®, Linux® andAndroid™ operating environments:

The RS9113 n-Link® module from Redpine Signals combinesIEEE802.11n Wi-Fi®, Bluetooth® v4.0 and ZigBee® capabilities ina single package.

Based on the low-power RS9113 system-on-chip, the RS9113 n-Link integrates all the functions required to implement anembedded wireless system which can connect to Wi-Fi, Bluetoothand ZigBee networks.

For more information e-mail [email protected]

160924:

36V, 400mA synchronousstep-down switching regulator

The L6984’s output voltage is highly adjustable down to as low as 0.9V.It operates from a wide input-voltage range of 4.5V to 36V.

The device can implement both a Low Consumption Mode (LCM) anda Low Noise Mode (LNM). The LCM maximises efficiency at light loads,while keeping the output-voltage ripple low. In LNM the switchingfrequency is almost constant over the load-current range, enablingnoise-sensitive applications to easily filter out switching noise.

Pulse-by-pulse current sensing on the low side provides for constantcurrent protection.

• 13μA shut-down current• Adjustable switching-frequency range:

250kHz-600kHz • Constant on-time control scheme • Thermal shut-down protection

The L6984 from STMicroelectronics is a step-down monolithicswitching regulator which can supply as much as 400mA tothe load.

For more information e-mail [email protected]

160923:

REDPINE802.11MODULE

Ethernet jacks with integratedmagnetics

The provision of integrated magnetics allows the design engineer to savePCB real-estate and to lower the system’s component count. The MTJ series complies with all applicable specifications for CAT 5,CAT 5e, CAT 6, Cat6a, CAT 7 and IEEE802.3 equipment. The USBmodel complies with the USB2.0 specifications.

The connectors are available in single, stacked and gangedconfigurations, and all have a full metal shield to guard against EMI.

The Adam Tech MTJ series is a family of RJ45 connectorswith integrated magnetics which support 10T, 100T and1000T Ethernet applications such as access points, routersand ADSL modems as well as telecoms transmission equipment.

For more information e-mail [email protected]

160925:

Fast, low-power alternative tostandard EEPROM

Adesto’s Conductive Bridging Random Access Memory (CBRAM®) is aninnovative non-volatile memory technology which consumes markedlyless energy than other popular non-volatile memory technologies,without sacrificing performance or reliability.

The RM25C256C-L operates from a single supply ranging between1.65V and 3.6V. All the RM25C series parts are accessed through afour-wire serial peripheral interface. The maximum clock frequency innormal Read mode is 1.6MHz. In Fast-read mode, this frequency risesto 10MHz.

From 1byte to 64bytes may bewritten to the device at a time.The Erase function may beperformed on 64byte pages or onthe whole chip.

• EEPROM-compatible non-volatileserial memory

• 0.25mA active Read current • 1mA active Write current • 2.2μA power-down current • Endurance: 10,000 Write cycles,

unlimited Read cycles

Adesto’s RM25C256C-L is a 256kbit serial memory device whichbenefits from the company’s fast, low-power resistive technology.

ADAM TECHRJ45 ADESTORAM

CYPRESS SEMICONDUCTORRAM

Fast 36Mbit SRAM IC offers access times asshort as 2.5ns

An SRAM density of 36Mbits is available fromCypress in the CY7C1440KV33 andCY7C1440KVE33, which are organised as 36 x1Mbit cells. Cypress also supplies theCY7C1442KV33, organised as 18 x 2Mbitcells. They achieve a maximum access timewhen operating at 250MHz of just 2.5ns.

These SRAM devices feature advancedsynchronous peripheral circuitry and a two-bitcounter for internal burst operation. Allsynchronous inputs are gated by registerscontrolled by a positive edge-triggered clockinput. The synchronous inputs include alladdresses, all data inputs, address-pipeliningchip enable, depth-expansion chip enables,burst control inputs, write enables and globalwrite functions. Asynchronous inputs includethe output enable and the ZZ pin.

Cypress Semiconductor’s static RAM (SRAM) ICs provide the high-speedread/write operation and high reliability required in wireless access points andother devices containing an embedded processor or microcontroller.

• 150V AC operatingvoltage

• 1.5A maximum currentrating

• 20mΩ maximumcontact resistance

FEATURES• All inputs and outputs compatible with

the JEDEC standard JESD8-5• Registered inputs and outputs for

pipelined operation• 2.5V or 3.3V I/O power supply• High-performance 3-1-1-1 access rate• User-selectable burst counter supporting

interleaved or linear burst sequences• Separate processor and controller

address strobes• Synchronous self-timed writes• Asynchronous output enable• Single-cycle chip deselect

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For more information [email protected]:

The NCP1081 combines in a single unit an enhanced PoE-PD interfacewhich is fully compliant with the IEEE802.3af and IEEE802.3atspecifications, and a flexible and configurable DC-DC converter. It cansupply up to 40W in proprietary PoE applications.

The NCP1081 is fabricated in a robust, high-voltage process andintegrates a rugged vertical N-channel MOSFET with a low-loss current-sense technique suitable for the most demanding applications. It canwithstand harsh environments such as hot-swap and cable ESD events.

Addresses and chip enables are registered atthe rising edge of the clock when either theaddress strobe processor or the addressstrobe controller are active. Subsequent burstaddresses can be internally generated ascontrolled by the Advance pin.

Write cycles may be one, two or four byteswide as configured by the byte-write controlinputs.

Frequency: 167MHz or 250MHz

Maximum operating temperature: 70°C

Maximum operating voltage: 3.63V

Minimum operating temperature: 0°C

Minimum operating voltage: 3.14V

Orderable Part Number: STEVAL-ISA159V1

FREEBOARDS

Apply now at my-boardclub.comFast-track board request code: FTM69A

For more information e-mail [email protected]

160926:

• Integrated 0.6Ω isolatingMOSFET switch with in-rush current limit

• Adjustable DC-DCswitching frequencyrange: 100-500kHz

• Soft-start circuit tocontrol the output-voltage rise time

Page 9: Industrial Systems · mode Bluetooth audio products Microchip has announced the IS206x dual-mode Bluetooth® audio family. Building on Microchip’s IS202x portfolio of integrated

C I R C U I T C E N T R E

16 EMAIL [email protected] FOR SAMPLES AND DATASHEETS

C I R C U I T C E N T R E

17FOLLOW US NOW – SEARCH FTM BOARD CLUB ON

• Balanced voltageprotection

• 35A 8/20μs surgecapability

• Low insertion loss

Thyristors provide surge protectionto high-speed data lines

The innovative design of the thyristors results in a capacitive-loadingcharacteristic which is compatible with these high-bandwidthapplications. This technology provides a better surge capability thantraditional clamping silicon technology provides. This reduces thepossibility of field failures caused by AC power faults or multiple transientsurges, without compromising signal integrity.

Littelfuse offers a DSLP Biased series of thyristors which providesover-voltage protection for high data-rate communications linkssuch as HD-SDI, HD-CVBS, VDSL2, ADSL2, ADSL2+ and G.fastwith a minimal effect on data signals.

For more information e-mail [email protected]

160928:

30A surge protection forbroadband network connections

The surface-mount SOT23-6 package of the SDPxxxxT023G6RPprovides a surge capability which exceeds the requirements of moststandards worldwide, as well as the recommendations for lightningsurge-protection capability of tertiary protectors.

Use of the SDPxxxxT023G6RP supports compliance with the ANSIC62.41, IEC 61000-4-12, IEC 61000-4-5 up to 30A, and IEC 61000-4-2level 4 standards.

• Low distortion • Low insertion loss• Response time under

500ns

TThe SDPxxxxT023G6RP is part a series of SDP Biasedthyristors providing over-voltage protection for high data-rateapplications such as VDSL2, ADSL2, and ADSL2+ with minimaleffect on data signals.

For more information e-mail [email protected]

160929:

LITTELFUSEPROTECTION LITTELFUSEPROTECTION

Clock generator replaces multipleoscillators with a single chip

The ClockCenter ZL30236 from Microsemi, a dual-channeluniversal clock generator, may be used to replace the multipleexternal components traditionally used to time processors,memory chips and PHYs with a fully integrated single-chipsolution. This helps lower bill-of-materials costs, reduces boardspace requirements, simplifies designs and improves reliability.

Low-jitter, 4–output, user-configurableclock generator

Each device provides 18 uniquecombinations of default frequenciesand interfaces for each output whichare programmable using the 5 tri-state select pins. In addition, theuser can further expand frequencyand interface selection usingstandard I2C interface.

Three outputs use integer Noutput dividers and provide RMSphase jitter <0.3ps over the 12kHz to20MHz integration range. The fourthoutput offers the option to use eitheran integer N or a fractional-Ndivider which provides more outputfrequency options. When thefractional-N divider is used the RMSphase jitter of that output is <1psover the 12Hz to 20MHz range.

• Operating voltage:• VDD (Core) = 3.3V +/-5% or 2.5V

+/-5%• Independent power-supply pin for

each output bank• VDD On (Outputs) = 3.3V +/-5% or

2.5V +/-5% or 1.8V +/-5%(LVCMOS Only)

• Generates 4 independent user-programmable clock frequenciesfrom 25MHz to 250MHz• 18 different pin-selectable

frequency/interface combinations• Custom frequency/interface

enabled via I2C/SMBus interface • Operating temperature range:

-40°C to 85°C

The NB3H5150 and NB3H5150-01 are high-performance multi-rate user-configurable clock generators which simultaneouslysynthesise up to four independent frequencies from a singlereference input of 25MHz. Outputs can be differential LVPECLor each differential output can also be independently split intotwo single-ended CMOS outputs.

For more information e-mail [email protected]

160930:

ON SEMICONDUCTORCLOCK

GENERATOR/DRIVER MICROSEMI

CLOCKGENERATOR/

DRIVER

Dual-channel ADSL2+ line driverfeatures low power dissipation

The Le87251 contains two pairs of wide-band amplifiers, fabricated withMicrosemi's HV30 bipolar SOI process for low power consumption inDSL systems. The amplifiers have an internal fixed voltage gain of 13,which helps to eliminate the need for external feedback and gain-settingresistors.

Microsemi’s Le87251 is a dual-channel differential amplifierdesigned to drive full-rate ADSL2+ signals with very lowpower dissipation. It offers a peak output drive capability of450mA.

For more information e-mail [email protected]

160932:

512kbits EEPROM with ECCoffers high reliability

This SPI-enabled memory IC features a 128-byte page write buffer. Thedevice implements software and hardware write protection, includingpartial- as well as full-array protection.

On-chip Error Correction Code (ECC) makes the device suitable forhigh-reliability applications.

Operating from a wide 1.8V to 5.5V supply-voltage range, theCAT25512 may be used in multiple applications which use differentpower circuits, helping the OEM to simplify its supply chain.

• Additional identificationpage with permanentwrite protection

• Self-timed write cycle• 1,000,000 programme/

erase cycles• 100-year data retention

The CAT25512 from ON Semiconductor is a 512kbits serialCMOS EEPROM device.

For more information e-mail [email protected]

160933:

ROHM SEMICONDUCTOREEPROM

MICROSEMIxDSLINTERFACE ON SEMICONDUCTOREEPROM

Serial EEPROM ICs offer superior protection against riskof data corruption

The BR25Sxxx-W and BR25Gxxx series ofEEPROM parts offer two important protectionfeatures: double cell storage and an internalreset function provide safeguards against datacorruption caused by power-supply brown-outsor read/write wear-out.

The devices are also notable for their lowpower consumption. The BR25Sxxx-W partsdraw 1.5mA at 5V when writing data and1.0mA when reading data. Current in stand-bymode is just 0.1µA.

Both the BR25Sxxx-W and the BR25Gxxxseries are rated for one million write cycles. Inthe BR25Sxxx-W, data retention is rated at 40years. In the BR25Gxxx-W series, this intervalis extended to 100 years.

The BR25Sxxx-W devices are available inmemory densities of 32kbits, 64kbits, 128kbitsand 256kbits. They are available in a variety ofpackage sizes and styles.

The smallest is an 8-terminal packagemeasuring 2.0mm x 3.0mm x 0.6mm.

ROHM Semiconductor supplies serial EEPROM memory devices feature a serialperipheral interface and provide particularly strong protection from the risk ofdata corruption attributable to system faults or device ageing.

• Differential output:44Vp-p into a 100Ω load40.5Vp-p into a 60Ω load

• 4mA quiescent supplycurrent for eachamplifier

• -75dBc total harmonicdistortion

FEATURES (BR25Sxxx-W)

• Supply-voltage range: 1.7V to 5.5V• Gold pads or bonding wires for reliable

connections• Write mistake prevention function• Page write mode useful for writing initial

values on shipment from factory• Part or whole of memory arrays settable

as read-only memory• Up to 20MHz clock speed

For more information [email protected]:

For more information e-mail [email protected]

160931:

The ZL30236 integrates two independent synthesisers and generates upto 12 high-performance clocks. The provision of independentsynthesisers enables the generation of frequencies which are completelyunrelated to one another.

Eight low-jitter differential LVPECL clocks can beprogrammed to any frequencyfrom 1kHz to 720MHz, and fourhigh-performance LVCMOSclocks can be programmed toany frequency from 1kHz to160MHz.

• Operates from a single crystalresonator, clock oscillator orvoltage-controlled oscillator

• <0.7ps jitter for 10G PHYs• Supports programmable frequency

offsets for clock margining

Page 10: Industrial Systems · mode Bluetooth audio products Microchip has announced the IS206x dual-mode Bluetooth® audio family. Building on Microchip’s IS202x portfolio of integrated

I N D U S T R I A L S Y S T E M S

19VISIT THE ONLINE FTM MAGAZINE AT: WWW.MY-FTM.COM18 EMAIL [email protected] FOR SAMPLES AND DATASHEETS

STMicroelectronics has introduced theBlueNRG-1, a Bluetooth® Low Energywireless System-on-Chip (SoC) whichprovides a low data-rate, short-rangeradio connection which consumes verylittle power.

STMICROELECTRONICS

Bluetooth Low Energy technology is ideal forenergy-constrained smart sensors andconnected devices. Adoption is expected to berapid, because of the proliferation of BluetoothSmart Ready tablets and smartphones. Theseprovide a convenient user interface forinteracting with Bluetooth Low Energy,commonly known as Bluetooth Smart, devices.

The integrated radio transceiver of theBlueNRG-1 is extremely power-efficient in themodes frequently used by devices, such asbeacons when setting-up short-durationconnections for exchanges such as sharingoffers with shoppers’ smartphones.

The SoC’s ability to move quickly betweenpower-saving and active modes helps toextend battery life. In addition, RF output

power is a high 8dBm, helping to ensure clearand reliable communication for optimumefficiency, even in noisy environments.

The BlueNRG-1 also offers value-addedfeatures which make device design easier.These include a dedicated digital-microphoneinput which simplifies voice-enabledapplications, and a 105°C maximum operatingtemperature suitable for smart-lighting andautomotive applications.

The BlueNRG-132 is supplied in a 5mm x5mm QFN-32 package, and the BlueNRG-134in a 2.7mm x 2.6mm wafer-level chip-scalepackage ideal for space-constrained applications.

Bluetooth Low Energy SoC offers low powerconsumption and reliable radio connections

C I R C U I T C E N T R E

• Optimised power management• SPI and UART interfaces• 528-byte FIFO command/reception buffer

Integrated NFC reader IC includesanalogue front-end

The CR95HF includes an analogue front-end to provide the 13.56MHzair interface used by NFC devices. The CR95HF also supports thedetection, reading and writing of NFC Forum Type 1, 2, 3 and 4 tags.This means that it can be used in wireless access points and gatewaysto enable the commissioning with a simple tap of devices with anembedded tag, such as smart light bulbs and smart heating controls.

ST supplies the X-NUCLEO-NFC03A1 NFC card reader evaluationboard, based on the CR95HF-VMD5T, to enable applicationdevelopment with the STM32 Nucleo series of development boards.

STMicroelectronics’ CR95HF is an integrated NFC reader ICwhich supports the ISO/IEC 14443 Type A and B, ISO/IEC 15693(single or double sub-carrier) and ISO/IEC 18092 industry-standard NFC communication protocols.

For more information e-mail [email protected]

160935:

Controller ICs integrate NFCprotocol stack

By embedding NFC capability in a network gateway, manufacturers canenable ‘tap and go’ commissioning of networked devices connected tothe gateway, such as smart light bulbs and home automation controllers.Because the NFC wireless link only works over a short distance, it isinherently secure, and it is also easy to use and does not require theuser to input a security key or password.

The PN7120 and PN7150 NFCcontrollers come with complete on-chipNFC firmware and an NFC ControllerInterface (NCI) designed for contactlesscommunication at 13.56MHz.

NXP Semiconductors’ PN7120 and PN7150 NFC controller ICsenable the easy integration of NFC reader functionality intowireless access points and gateways.

STMICROELECTRONICSNFCREADER NXP SEMICONDUCTORSNFC

READER

APPLICATIONS• Retail technology• Wearable devices• Connected home appliances• Automotive equipment• Industrial systems• Medical equipment

FEATURES• 32MHz ARM® Cortex®-M0 MCU core• 160kbytes of on-chip Flash memory • ST Bluetooth Low Energy firmware stack• <1µA stand-by current• 10-bit ADC• SPI and I2C interfaces • Up to 15 user-configurable I/Os • Complies with Bluetooth specification v4.2

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

APPLICATIONS• Intelligent building systems• Heating, ventilation and air-conditioning

equipment • Test and measurement instruments• Telecoms equipment

FEATURES• 50mA contact rating at 12V DC • Silver-plated stainless steel contacts• Actuator and case made from high-

temperature plastic• Tactile and audible feedback when pressed

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

APPLICATIONS• Power management• Battery decoupling• Energy storage

FEATURES• ±20% capacitance tolerance• Operating temperature range:

-55°C to 105°C

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

Tactile switches include integrated high- or low-intensitycoloured LEDs

TE Connectivity (TE) has released theALCOSWITCH series of illuminatedtactile switches, which offer highreliability in a multitude of board-mounted applications.

TE CONNECTIVITY

The single-pole tactile switch includes an LEDindicator, which is available in low- and high-intensity versions and in eight colour options:blue, green, yellow-green, yellow, soft orange,red orange, red, and white.

The switches are provided in four actuationoptions: the 100 grammes-force (gf) and 160gfpush-button switches are rated for 500,000electrical cycles. The 260gf and 520gf partsare rated for 200,000 cycles.

Board assembly is facilitated by TE’sproduction-friendly design, which is compatiblewith reflow or wave solder assembly processes.

TE’s ALCOSWITCH: Eight colour options ALCOSWITCH, TE Connectivity and the TE Connectivity logo are trademarks.

Polymer tantalum chip capacitors in more case sizesand voltage ratings

Vishay Intertechnology has extendedits T55 series of vPolyTan™ surface-mount polymer tantalum moulded chipcapacitors with new devices in the Dand V case sizes. The T55 series alsoincludes parts featuring higher voltageratings in a range from 16V to 25V.

VISHAY

Parts in the larger D and V case sizes areintended for use in network equipment,computers and solid-state drives. Capacitors in the compact A and B case sizesare ideal for tablets, smartphones and wirelesscards. They support the supply-voltage rangeof 12V to 20V commonly found in the powersupplies of computer peripherals.

The low Equivalent SeriesResistance (ESR) of the T55capacitors is a feature of theirpolymer cathodes, which offermuch better performance thanthat of manganese dioxidedevices. This excellent ESRrating has recently beenenhanced in the devices housedin the A and B case sizes.

In addition, all the T55 devicesoffer excellent ripple currentratings of up to 3.9A, andprovide low internal resistancefor enhanced charge anddischarge characteristics.

The STEVAL-IDB007V1 is an evaluation platform basedon the BlueNRG-1 Bluetooth SoC.

Orderable Part Number: STEVAL-IDB007V1

FREEBOARDS

Apply now at my-boardclub.comFast-track board request code: FTM69A

Orderable Part Numbers:

OM5577 (PN7120)OM5578/PN7150ARD (Arduino)OM5578/PN7150RPI (Raspberry Pi)OM5578/PN7150BBB (BeagleBone Black)

FREEBOARDS

Orderable Part Number: X-NUCLEO-NFC03A1

FREEBOARDS

Apply now at my-boardclub.comFast-track board request code: FTM69A

For more information e-mail [email protected]

160936:

Part Number Capacitance (µF) Voltage (V) ESR (mΩ) Case

T55B226M016C0070 22 16 70 BT55B156M020C0070 15 20 70 BT55B106M025C0100 10 25 100 BT55A476M6R3C0150 47 6.3 150 AT55A476M6R3C0070 47 6.3 70 AT55A336M010C0150 33 10 150 AT55A336M010C0070 33 10 70 AT55B685M035C0200 6.8 35 200 BT55B107M004C0040 100 4 40 BT55B476M6R3C0040 47 6.3 40 BT55D337M6R3C0040 330 6.3 40 DT55D107M010C0055 100 10 55 DT55D477M004C0025 470 4 25 DT55D337M6R3C0025 330 6.3 25 DT55D227M010C0025 227 10 25 DT55V337M6R3C0025 330 6.3 25 VT55V227M6R3C0025 220 6.3 25 VT55V686M010C0060 68 10 60 VT55D107M010C0025 100 10 25 DT55V157M010C0025 150 10 25 VT55V107M016C0050 100 16 50 V

Vishay’s T55 capacitors: Improved ESR rating in A and B case sizes

I N D U S T R I A L S Y S T E M S

The PN7150 also offers:• NCI operation over I2C

host interface • Full compliance with

all standards relevant to NFC

• Buffered output driversto connect an antenna with fewexternal components

Apply now at my-boardclub.comFast-track board request code: FTM69A

Page 11: Industrial Systems · mode Bluetooth audio products Microchip has announced the IS206x dual-mode Bluetooth® audio family. Building on Microchip’s IS202x portfolio of integrated

I N D U S T R I A L S Y S T E M SI N D U S T R I A L S Y S T E M S

20 EMAIL [email protected] FOR SAMPLES AND DATASHEETS 21FOLLOW US NOW – SEARCH FTM BOARD CLUB ON

10W USB AC-DC power supplies comply with strict US and Europeanefficiency standards

CUI has announced a new line of wallplug-in AC-DC power supplies featuringan integrated USB connector andcomplying with both US Level VI andEuropean Code of Conduct (CoC) Tier2 standards on the energy efficiency ofexternal power supplies.

CUI INC

The 10W SMI10 USB, SWI10 N USB andSWI10 E USB are all designed to meet thestringent Level VI average-efficiency and no-load power requirements mandated by theUS Department of Energy, and in effect sinceFebruary 2016. Any domestic or globalmanufacturer seeking to market an endproduct with an external adapter in the USmust comply with the Level VI standard. Theaim of the standard is to markedly lower theamount of power consumed when the endapplication is not in use or is no longerconnected to the host system.

The new power supplies also comply with theEuropean Union’s proposed January 2018 CoCTier 2 directive which adds power consumptionlimits at 10% load levels to the requirements ofthe Level VI standard.

The SWI10 N USB and SWI10 E USB aresmall fixed-blade, wall-plug adapters as smallas 51.5mm x 38.5mm x 23.5mm. The AC-DCadapters both feature single 5V DC regulatedoutputs with a wide input-voltage range from90V to 264V AC. Both series also feature no-load power consumption of less than 0.075W.

The SWI10 N USB has fixed input blades forNorth American and Japanese applications,and offers UL/cUL and PSE safety approvals.The SWI10 E USB with European fixed inputblades offers CE and GS safety approvals.

CUI’s USB power supplies feature US, Asian and European blades

World’s smallest motor drivers boost run-time ofbattery-powered devices

STMicroelectronics has launched theSTSPIN series of tiny, single-chipmotor drivers which combine lowpower consumption, a small formfactor and outstanding performance.

STMICROELECTRONICS

Measuring just 3mm x 3mm, ST’s new devicesare the world’s smallest single-chip motordrivers to integrate both the logic and powerfunctions required to drive small, battery-powered motors. At its launch, the series iscomprised of:• the STSPIN220, which can drive stepper

motors at a resolution of 256 microsteps perstep, enabling extremely smooth motion andfine positional accuracy

• the STSPIN230 three-phase brushless DC(BLDC) motor driver

• the STSPIN240, which has two completeon-chip MOSFET bridges for driving twobrushed DC motors

They operate from a supply voltage as low as1.8V, and feature an ultra-low stand-by currentof less than 80nA, effectively offering a zero-power state when the motor is inactive, as thedevice will run on battery leakage current.

The STSPIN parts still have ample ability tomeet peak motor-power requirements,supplying up to 1.3Arms to drive the motor.The power stage of the STSPIN devicesfeatures efficient integrated MOSFETs whichminimise energy losses and heat dissipation.

In addition, all devices benefit fromcomprehensive built-in protection featuresincluding over-current, over-temperature, andshort-circuit protection.

I2C-enabled RFID tag provides secure wireless link betweenexternal devices and any MCU

The UCODE I2C from NXPSemiconductors, which contains twocontactless RFID interfaces, a wiredI2C interface and a large user memory,enables a new range of applicationsby providing an RFID bridge to astandard microcontroller.

NXP SEMICONDUCTORS

Examples of the applications enabled by theprovision of an RFID link to an embeddedMCU include wireless and powerless productconfiguration. In shelf-life applications, it cantake into account parameters such astemperature, humidity and shock from datasupplied by sensors.

Each UHF front-end can be enabled ordisabled independently via RF or I2C.Independent antennas let the IC supportdifferent read-range requirements, and canincrease customer privacy because readranges can be switched. The set-up also makesit easier to design omnidirectional antennas.

The 3,328-bit user memory, accessible viaRF or I2C, can be used for software

downloads, product information, advancedproduct configuration management or datastorage. The memory offers 20-year dataretention and 50,000 cycles of write endurance.It can be read- and write-protected.

Advanced features for managing productconfiguration and theft deterrence increasesecurity. For example, the device can be set todeactivate after a certain number of uses, andproduct functions can be managed by simplyuploading the configuration. The device can belocked throughout the supply chain, and only beactivated after purchase at the point of sale.

NXP’s UCODE I2C: Advanced security features

APPLICATIONS• Consumer electronics devices• Mobile devices• GPS navigation devices

FEATURES• Meets Class-B limits for conducted and

radiated emissions• Over-current protection• Over-voltage protection• Short-circuit protection

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

APPLICATIONS• Portable medical pumps and drivers• Personal wellness devices• Portable point-of-sale devices• Miniature robots• Surveillance equipment• Precision tools• Portable printers • Robotic positioning systems• Camera auto-focus mechanisms• Toothbrush motors

FEATURES (STSPIN220)

• Operating-voltage range: 1.8V to 10V • 0.4Ω combined high-side and low-side

on-resistance • 1µA maximum leakage current• Current control with programmable off-

time • 2kV ESD protection on the human body

model

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

APPLICATIONS• Electronic serialisation • Provisioning • Return management • Customisation at the end of the supply

chain • Firmware downloads • Counterfeit protection and authentication • Sensor applications • Electronic shelf labels

FEATURES• Best-in-class RF sensitivity • Up to 160-bit EPC • 96-bit TID, including 48-bit serial number • Complies with EPCglobal 1.2.0 RFID

standard • Interrupt output

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

Designed for global applications, the SMI10USB includes interchangeable AC blade optionsfor North America, Europe, the UK, Australiaand China. The 10W multi-blade adapter alsoprovides a single 5V DC regulated output andhas a wide input-voltage range of 90V to 264VAC. The series meets the Level VI standard’sno-load power consumption requirement ofless than 0.1W, and features UL/cUL, CE, GS,RCM, CCC and PSE safety approvals.

High-temperature aluminium electrolytic capacitorsoffer new long lifetime

Panasonic Automotive & IndustrialSystems Europe has released the V-FTseries of aluminium electrolyticcapacitors, which offer a long lifetimeof up to 5,000 hours, some 2.5 timeslonger than the current FT seriesdevices, at high temperatures up to105°C.

PANASONICThe new V-FT parts are available in highcapacitance values of up to 2,200µF. At thesame time, their Equivalent Series Resistance(ESR) values are up to 60% lower than thoseof the current FK series products, while theirboard footprint is one can size smaller.

V-FT series: Capacitance up to 2,200µF

APPLICATIONS• Advanced Driving Assistance Systems

(ADAS) • Automotive body-control modules • Automotive LED lighting

FEATURES• Operating-temperature range:

-55°C to 105°C• Rated voltage range: 6.3V to 50V DC• ±20% capacitance tolerance at 120Hz,

20°C• ≤0.01CV leakage current after two

minutes• AEC-Q200 compliant

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

Page 12: Industrial Systems · mode Bluetooth audio products Microchip has announced the IS206x dual-mode Bluetooth® audio family. Building on Microchip’s IS202x portfolio of integrated

I N D U S T R I A L S Y S T E M SI N D U S T R I A L S Y S T E M S

22 EMAIL [email protected] FOR SAMPLES AND DATASHEETS 23FOLLOW US NOW – SEARCH FTM BOARD CLUB ON

Board-level 3A interconnection systemsupports wire sizes up to 32 AWG

TE Connectivity’s AMPMODU MTEinterconnection system may be usedfor wire-to-board and wire-to-wireapplications. Components available inthe AMPMODU system includereceptacle assemblies with guide ribs,vertical and right-angle polarised andlatching headers, and single- anddouble-row coupling shrouds.

TE CONNECTIVITYThe product implements InsulationDisplacement Contacts (IDC) or Crimp Snap-Incontacts to suit the application’s needs. Thebenefits of IDC are time saving, the availabilityof pre-loaded contacts and support forautomated assembly.

Crimp snap-in contacts are cost-effective,and provide flexibility by supporting a widervariety of wire sizes, but require manual labourin the assembly process. IDC and crimp snap-in contacts mate with the same header types.

Coupling shrouds permit ganging of smallerreceptacle and pin assemblies with guide ribs

to form larger single-or double-rowlatching connectors.The AMPMODU MTEsystem supports bothvertical and right-angle header types.

AMPMODU MTE: Vertical and right-angle headers

Efficient new KNX transceivers boostpower available for external loads

ON Semiconductor has introducedthree new certified KNX twisted-pairtransceivers for use in smart building-control systems, for which KNX is themost widely adopted standard. Thenew transceivers offer increasedregulator current and boost overallefficiency by as much as 20%compared to existing solutions.

ON SEMICONDUCTORS

The NCN5110, NCN5121 and NCN5130integrate two high-efficiency DC-DCconverters and a 20V low drop-out regulatorfor powering external loads from the bus.

The NCN5121 and NCN5130 implement thecomplete KNX physical (PHY) and media-access (MAC) layers; the NCN5110 is ananalogue-only bit transceiver to be used incombination with a microcontroller hosting theKNX MAC.

The NCN5121 is pin-to-pin compatible withON Semiconductor’s NCN5120, but offershigher efficiency and regulator output.

The NCN5130 is capable of drawing up to40mA from the KNX bus, giving designersgreater flexibility to power devices such as anLCD panel or touchscreen from thecommunications network, or for driving relaysat higher speeds.

The new devices also allow designers tospecify lower external capacitance andinductance values, enabling the use of smallersupporting components. The NCN51xxdevices are offered in a QFN-40 package andare specified for operation over the extendedtemperature range of -40°C to 105°C.

NCN51xx transceivers: Power for LCD panel

3A inverter power module features a simple,rugged design

STMicroelectronics has extended itsSLLIMM™-nano family of IntelligentPower Modules (IPMs) with theSTGIPN3H60T-H, a 3A, 600V three-phase IGBT inverter bridge.

STMICROELECTRONICS

This IPM implements a compact, high-performance AC motor drive in a simple,rugged design. It is composed of six IGBTswith freewheeling diodes and three half-bridgehigh-voltage gate drivers. It is characterised bylow EMI and support for high switching speeds.

In addition, the STGIPN3H60T-H’s compactpackage provides for excellent thermalperformance, helping designers of motordrives and other low-power applications inwhich assembly space is limited to achievehigh power density.

This IPM includes a completelyuncommitted operational amplifier and acomparator which may be used to design afast and efficient protection circuit.

STGIPN3H60T-H: Intelligent Power Module with simple, rugged design

APPLICATIONS• Medical instruments• Automotive controls• Copiers• Appliances• Commercial printing machines• Vending machines• Instrumentation and test equipment• Telecoms equipment • Storage equipment

FEATURES• 3A current rating (single contact in free air)• 267V operating voltage • 22-30 AWG wire sizes for IDC

configurations• 20-32 AWG wire sizes for crimp snap-in

contacts• Operating-temperature range:

-65°C to 105°C• High-temperature option is surface-mount

compatible

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

APPLICATIONS• Home appliances • Home/building automation• Smart lighting and switches• Ventilation and air-conditioning• Thermostats• Alarms and smoke detectors

FEATURES• KNX communication speed of 9,600 baud • Supervision of KNX bus voltage• Control and monitoring of the DC-DC

converters• Buffering of sent data frames• Optional auto-acknowledge • Operate with industry-standard 16MHz

quartz crystal

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

APPLICATIONS• Three-phase inverters for motor drives• Dishwashers• Refrigerator compressors• Heating systems• Air-conditioning fans• Draining and recirculation pumps

FEATURES• Negative temperature coefficient of the

saturation voltage• Under-voltage lock-out • Internal bootstrap diode • Interlocking function • Smart shut-down function • Optimised pin-out for easy board layout • NTC for temperature control

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

ST’s TSC102: page 25ON Semi’s STK5x4U3xx: page 28PARTNERWORKING

The NCN51xx10GEVB evaluation board contains a KNXtransceiver which handles the transmission and receptionof data on the bus.

Orderable Part Numbers: NCN511010GEVB, NCN512110GEVB and NCN513010GEVB

FREEBOARDS

Apply now at my-boardclub.comFast-track board request code: FTM69A

SiC Schottky barrier rectifier outperforms conventionalswitching diodes

Littelfuse has introduced the LFUSCDseries of Silicon Carbide (SiC) Schottkydiodes, which offers high efficiency,reliability and thermal performance inpower-switching applications.

LITTELFUSE

When compared to standard silicon bipolarpower diodes, the LFUSCD series diodesproduce lower switching losses, accommodatelarger surge currents without thermal runaway,and operate at higher junction temperatures.These features enable power-system designsto benefit from substantial increases in systemefficiency and robustness.

The Merged p-n Schottky (MPS)architecture of the LFUSCD devices providesfor excellent surge capability and low leakagecurrent. The diodes, which are available involtage ratings of 650V and 1,200V at currentratings ranging from 4A to 30A, are particularlywell suited to applications in industrial powersupplies, solar inverters, industrial drives,welding and plasma cutting, and electric-vehicle charging stations.

The new SiC Schottky diodes from Littelfuseoffer the best-in-class capacitive stored chargeand near-zero reverse recovery, making themsuitable for high-frequency power switching,as they produce negligible switching lossesand reduce stress on the opposing switch.

Their best-in-class forward voltage drop alsohelps to keep conduction losses to a minimum forhigh system efficiency. In addition, the diodes’maximum junction temperature of 175°C providesfor relaxed thermal-management requirements.

LFUSCD: Ideal for fast-switching power supplies

APPLICATIONS• Power factor correction• Buck or boost stages in DC-DC

converters• Free-wheeling diodes in inverters • High-frequency output rectification

FEATURES• 1.5V forward voltage• Positive temperature coefficient for safe

operation and ease of paralleling• Enhanced surge capability • Extremely fast, temperature-independent

switching behaviour

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

Page 13: Industrial Systems · mode Bluetooth audio products Microchip has announced the IS206x dual-mode Bluetooth® audio family. Building on Microchip’s IS202x portfolio of integrated

I N D U S T R I A L S Y S T E M SI N D U S T R I A L S Y S T E M S

24 EMAIL [email protected] FOR SAMPLES AND DATASHEETS 25FOLLOW US NOW – SEARCH FTM BOARD CLUB ON

Rugged circular plastic connectors provide forversatile and cost-effective designs

The TE Connectivity (TE) CircularPlastic Connectors (CPC) familyenables engineers to design ruggedproducts quickly and affordably.

TE CONNECTIVITY

The connectors have UL 94V-0 rated, heat-resistant plastic housings made of self-extinguishing thermoplastic material. They alsoenable design for either IP65 or IP67 sealingwhen needed.

The CPC connectors help toaccelerate development andinstallation times, providing abroad and flexible portfolio ofconnector types. Users canquickly pair power and signalconnections for a variety of inputand output requirements when ahybrid approach is needed.

TE also enables designers toselect the best contacttermination for their application,choosing from hand, semi-automated or automatictermination tooling.

The CPC family provides a lightweight, all-plastic shell option as a lower-cost alternativeto metal shell housings. In addition,removable crimp contacts and replaceablecoupling rings make field repairs quick andeasy to perform.

Mounting options include panel-mount,free-hanging and wire-to-board. Thereceptacle and plug housings accept TypeIII+ or size 20 signal contacts, Type XII powercontacts or AMP POWERBAND contacts.

TE’s CPC family: Heat-resistant plastic housing AMP, POWERBAND, TE Connectivity and the TE Connectivity (logo) are trademarks.

High-side current-sense amplifier featuresintegrated signal-conditioning amplifier

STMicroelectronics’ TSC102 is asingle-chip current-sense amplifierwhich incorporates an operationalamplifier to boost its output signal to alevel sufficient for an ADC to handle.

STMICROELECTRONICS

The device’s wide input common-mode voltage range, lowquiescent current and 6.4mm x3.0mm TSSOP8 package make itsuitable for use in a wide variety ofapplications.

The TSC102 measures a verysmall voltage drop on a high-sideshunt resistor and, using aninternally fixed gain, amplifies thedifference into a ground-referenced output voltage.

The device’s input common-mode and power-supply voltagesare independent. The common-mode voltage can range from2.8V to 30V during operation.

Under extreme conditions, the device canwithstand as much as 60V, enabling it to handleevents such as load dumps. It can also copewith reverse battery conditions producingnegative voltages as low as -16V.

The supply-voltage range is 3.5V to 5.5V,which means that the TSC102 can besupplied by the same regulator used by thesystem’s digital circuits.

ST’s TSC102: Withstands inputs up to 60V

Kelvin connections in new 600V MOSFETs reduce effectsof gate loop inductance

Vishay Intertechnology is now offeringits 600V E series power MOSFETs in acompact PowerPAK® 8x8 packagewhich allows for an improved gate-drive circuit and faster switching.

VISHAY

Vishay’s new SiHH26N60E, SiHH21N60E,SiHH14N60E, and SiHH11N60E all feature alarge drain terminal for low thermal resistance.Their low-profile, surface-mount PowerPAKpackage provides a space-saving alternativeto conventional TO-220 and TO-263 solutions.

The construction of the package allows oneof the Source pins to be arranged as adedicated Kelvin source connection whichseparates the gate-drive return path from themain current-carrying source terminals.

This prevents the inherent voltage drop in thehigh-current path from reducing the gate-drivevoltage applied to the MOSFET. This leads tofaster switching and better noise immunity inpower-supply designs.

Based on Vishay's latest energy-efficient E series superjunction technology, theSiHH26N60E, SiHH21N60E, SiHH14N60E,and SiHH11N60E feature low on-resistanceand a very low gate charge. Thesecharacteristics explain the MOSFETs’ lowswitching and conduction losses and highenergy efficiency.

E series MOSFETs: Low-profile PowerPAK package

APPLICATIONS• Industrial machinery• Transportation • Medical instrumentation and equipment• Communications equipment• Building control systems• Lighting and signage• Motor vehicles• Aerospace and military equipment

FEATURES• Quick connect/disconnect capability with

thread-assist, positive-detent coupling• Built-in pin and socket protection• Polarised for proper mating of connector

halves• CSA certified

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

APPLICATIONS• Power-factor correction• Flyback converters• Two-switch forward converters for server

and telecoms power supplies• HID and fluorescent ballast lighting• Consumer and computing power adapters• Motor drives• Solar inverters• Induction heating

FEATURES• Operating junction-temperature range:

-55°C to 150°C• 0.48°C/W junction-to-case thermal

resistance• 50A maximum pulsed drain current

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

APPLICATIONS• Battery chargers • Automotive current monitoring • DC motor control • Photo-voltaic systems • Precision current sources • Uninterruptible power supplies • High-end power supplies

FEATURES• <7μA input bias current in standard

conditions• Operating-temperature range:

-40°C to 125°C• 4kV ESD protection

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

For more information [email protected]:

The STEVAL-ISQ010V1 demonstration board is designedfor the TSC102 device. It has an internally fixed gain of20V/V. It draws a maximum supply current of just 450μA.

Orderable Part Number: STEVAL-ISQ010V1

FREEBOARDS

Apply now at my-boardclub.comFast-track board request code: FTM69A

Part Number Maximum Gate-source Voltage (V)

MaximumContinuous DrainCurrent (A) at 25°C

Maximum On-resistance (Ω)

at 10V

Typical Gate-charge (nC) at 10V

Typical InputCapacitance (pF)

SiHH26N60E ±30 25 0.135 77 2,815

SiHH21N60E ±30 20 0.176 55 2,015

SiHH14N60E ±30 16 0.228 41 1,416

SiHH11N60E ±30 11 0.339 31 1,076

The MOSFETs are designed to withstand highenergy pulses in the avalanche andcommutation modes with limits guaranteedthrough 100% UIS testing.

ST’s STGIPN3H60T-H: page 23ON Semi’s STK5x4U3xx: page 28PARTNERWORKING

Page 14: Industrial Systems · mode Bluetooth audio products Microchip has announced the IS206x dual-mode Bluetooth® audio family. Building on Microchip’s IS202x portfolio of integrated

I N D U S T R I A L S Y S T E M SI N D U S T R I A L S Y S T E M S

26 EMAIL [email protected] FOR SAMPLES AND DATASHEETS 27FOLLOW US NOW – SEARCH FTM BOARD CLUB ON

Small surface-mount switches offer long operating lifetime

The TL3780 from E-Switch is a seriesof extremely small surface-mount tactswitches which have a footprint of just2.0mm x 3.0mm and a very low profileof 0.60mm. These switches are idealfor applications in which space is at apremium, and in which long operatinglife is an important requirement.

E-SWITCHE-Switch offers the TL3780 series in versionswith a choice of two actuating-force options:240 grammes-force (gf) and 330gf. All TL3780switches have a single-pole, single-throwcontact configuration.

The switches have a lifecycle rating of300,000 for the 300gf switches, and 500,000actuations for the 240gf parts. Their maximumcurrent rating is 20mA at 15V DC.

The TL3700 series of surface-mountswitches from E-Switch has similar features tothe TL3780, but offers lower actuating-force

options of 160gfand 100gf. TheTL3700 switchesare also slightlylarger, measuring3.0mm x 2.6mm.

And the TL3750series matches the300,000-cyclelifetime of theTL3780, whileoffering a higher50mA currentrating than theTL3780 series.

E-Switch: Space-saving design

Vishay has introduced two 100V N-channel MOSFETs with very low on-resistance which enable power-systemdesigners to benefit from higherefficiency, especially in equipmentwhich is constantly on.

VISHAY

The SUM70040E and SUP70040E featuremaximum on-resistance of 4mΩ, a low valuewhich helps the user to keep conductionlosses to a minimum when the host equipmentis running. Use of the SUM70040E andSUP70040E also helps the power-systemdesigner to achieve higher power density: theiron-resistance is some 60% lower per mm2 ofdie area than Vishay’s previous-generationdevice.

The new MOSFETs are rated for a maximumdrain-source voltage of 100V when operatingfrom input voltages of up to 72V. They areprovided with a gate-drive rating of 7.5V or astandard 10V.

These rugged parts, which tolerate amaximum junction temperature of 175°C, aresuitable for use in harsh industrial applications.

Vishay MOSFETs: High efficiency at high currents

CAN transceiver for industrial networking systems

NXP Semiconductors’ TJA1057 is partof its Mantis family of high-speed CANtransceivers, providing an interfacebetween a Controller Area Network(CAN) protocol controller and thephysical two-wire CAN bus.

NXP SEMICONDUCTORS

The transceiver is designedfor high-speed CANapplications in theautomotive industry,providing differential Transmitand Receive capability to amicrocontroller with a CANprotocol controller.

The TJA1057 offers afeature set suitable for 12Vautomotive applications; itoffers marked improvementsover first- and second-generation CAN transceiversfrom NXP, such as theTJA1050 and TJA1051. It isalso notable for its excellentEMC performance.

The transceiver implements the CAN physicallayer as defined in the ISO 11898-2:2003standard and the pending ISO 11898-2:2016version. The TJA1057T variant is specified fordata rates up to 1Mbit/s. Additional timingparameters specified in the TJA1057GT andTJA1057GTK variants enable reliablecommunication in the CAN FD fast phase atdata rates up to 5Mbits/s.

TJA1057: Excellent EMC performance

Rugged power connector is quick and easyto disconnect

TE Connectivity (TE) has introducedthe Snap-Lug quick-disconnect seriesof power connectors.

TE CONNECTIVITY

These ruggedised power connectors provide aquick method of connectingheavy-gauge power cables to busbars, relays, batteries, powercables and grounding devices.They are ideal for use inaerospace, military, marine andother harsh environments.

The connectors are colour-coded and keyed for polarity,which helps to prevent the risk ofdamage from accidental polarityreversal.

The connector is easy to install:it has a snap-on connectionmechanism, and only requires apush to release, eliminating theneed for a torque wrench. Theself-locking connector can alsowithstand high vibrations and

extreme temperatures, enabling the provisionof continuous power in harsh environments.The shrouded design has no exposed metal,enabling a safe power connection.

System designers should specify Snap-Lugconnectors to replace traditional terminal lugswhen they require safe, reliable connectorswhich are quick to disconnect and provide akeyed locking mechanism.

Snap-Lug connectors: Simple push to release

APPLICATIONS• Wearable devices• Medical equipment• Audio/visual equipment • Portable electronics devices

FEATURES• 500mΩ maximum initial contact

resistance• 50MΩ insulation resistance at 100V DC• Dielectric strength for 1 minute:

• 250V AC: TL3780/TL3750• 100V AC: TL3700

• Travel:• 0.13mm: TL3780/TL3750• 0.15mm: TL3700

• Operating-temperature range:-40°C to 85°C

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

APPLICATIONS• Industrial networking• Automotive CAN networks

FEATURES• Fully ISO 11898-2:2003 compliant• VIO pin option allows for direct interfacing

with 3.3V and 5V microcontrollers• AEC-Q100 qualified

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

APPLICATIONS• Motor drives• Solar micro-inverters• Industrial battery-management systems• AC-DC conversion and synchronous

rectification • Point-of-load and telecoms DC-DC

converters• Electric-vehicle charging stations • ORing in server power supplies with a

redundant power architecture

FEATURES• High immunity to gate coupling and

shoot-through• 120A maximum continuous drain current• 76nC typical total gate charge• 0.4°C/W junction-to-case thermal

resistance

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

APPLICATIONS• Military ground vehicles• Commercial aviation• Industrial commercial vehicles• Harsh environments

FEATURES• Up to 200A current rating• Touch-proof connection which helps

prevent accidental shock under power• Sealed interface• Audible, tactile click to lock• UL 94V-0 flammability rating

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

100V N-channel MOSFETs feature lower conduction losses

AMP, POWERBAND, TE Connectivity and the TE Connectivity (logo) are trademarks.

Page 15: Industrial Systems · mode Bluetooth audio products Microchip has announced the IS206x dual-mode Bluetooth® audio family. Building on Microchip’s IS202x portfolio of integrated

Future Electronics’ Board Club: supporting innovative electronics designEurope’s electronics industry thrives on the application of innovation and creativity, and an essentialinnovator’s tool in design projects is the development board. The Board Club website is a Future Electronicsresource for users of development boards. Here, and only here, Board Club members can gain access toexclusive free development boards and development board offers.If you would like to register for membership, please visit: www.my-boardclub.com/register.php

The Grid-EYE Evaluation Kit integrates a verylow-power Bluetooth Smart module, thePAN1740, a microcontroller and an AMG8832Grid-EYE sensor. The sensor itself is a 64-pixelIR camera in a surface-mount packagemeasuring 11.6mm x 8mm x 4.3mm, whichincludes the MEMS sensor, lens and I2Cinterface.

PANASONIC

IR sensor board enables rapid prototypingof presence-detection systems

The PAN1740 Bluetooth module draws 4.9mAin Transmit or Receive modes, which means itcan be powered by coin-cell batteries.

To apply for these free boards go to: www.my-boardclub.com/ftmTerms and conditions apply. Visit www.my-boardclub.com/about_us for details

29

Panasonic Automotive & IndustrialSystems has introduced a completewireless evaluation platform to helpdesigners to take full advantage of thecontactless temperature-measurementcapability of its Grid-EYE Infra-Red (IR)array sensor.

I N D U S T R I A L S Y S T E M S

28 EMAIL [email protected] FOR SAMPLES AND DATASHEETS

Thermally-efficient compact IPM reduces size of motorsusing up to 1.1kW

ON Semiconductor has introduced afamily of 3A to 15A Compact IntelligentPower Modules (IPMs) supportingapplications consuming between200W and 1.1kW.

ON SEMICONDUCTOR

Supplied in a small DIP package measuring29.6mm × 18.2mm × 3.4mm, the CompactIPM devices benefit from low thermalresistance. This enables the use of a relativelysmall heat-sink and allows the designer toreduce the size and cost of the system and toincrease power density.

The modules implement a fully integratedinverter power stage, and consist of a high-voltage driver, six IGBTs and a thermistor. TheIGBTs are configured as a three-phase bridgesuitable for driving permanent-magnetsynchronous motors, brushless DC motorsand AC asynchronous motors. Separateemitter connections at the lower legs provideflexibility in the choice of control algorithm.

The modules include an over-currentprotection circuit.

An internal comparator and reference connectedto this circuit allow the designer to set theover-current protection threshold.

Compact IPMs: Thermally efficient DIP package

The L6362A from STMicroelectronics is an IO-Link transceiver for three-wireconnections which can operate in the COM1 mode at 4.8kbaud, the COM2 modeat 38.4kbaud and the COM3 mode at 230.4kbaud.

STMICROELECTRONICS

The L6362A is primarily intendedto provide connections to sensorsin industrial systems operatingfrom a 24V power bus. The hostdevice that needs the connectionto a sensor is typically aprogrammable logic controller, anIO-Link master, a relay or a valve.

The L6362A offers a rich set offunctions to protect the circuit inthe event of faults. It can protectagainst reverse polarity, outputshort-circuits and over-voltageevents. It can also withstand fasttransients of up to ±1kV.

The L6362A’s output stage canbe configured as high-side, low-side or push-pull, and it can driveresistive, capacitive and inductiveloads.

L6362A: Flexible output-stage configuration

APPLICATIONS• Pumps• Fans• Industrial drives• Industrial automation• Washing machines• Refrigerators

FEATURES• Under-voltage lockout for all channels• Cross-conduction prevention circuit• Integrated bootstrap diodes and resistors• Enable pin

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

APPLICATIONS• Industrial sensors• Factory automation • Process control

FEATURES• Supply-voltage range: 7V to 36V • 5V- and 3.3V-compatible I/Os • Linear regulator with selectable 5V or 3.3V

output• Fast demagnetisation of inductive loads • Operating-temperature range:

-40°C to 125°C

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

APPLICATIONS• Motion detection• Presence detection• Temperature detection• Hot-spot detection• Safety and lighting controls• Building control • People tracking/counting• Gesture control

FEATURES• Atmel ATSAM-D21G18A microcontroller• Board works in both independent and

Arduino modes• Displays thermal data on a PC or

smartphone• Compatible with LabVIEW system design

software

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

Orderable Part Number: AMG8832EK

FREEBOARDS

Apply now at my-boardclub.comFast-track board request code: FTM69A

The STEVAL-IFP017V3 is an evaluation board designedto enable the analysis of the features of the L6362A,including fast demagnetisation, and reverse polarity,overload, over-temperature, ground and supply open-wireprotection functions.

On the STEVAL-IFP017V3, the exposed pad of theL6362A is connected to an electrically floating copperarea which acts as a heat-sink.

Orderable Part Number: STEVAL-IFP017V3

FREEBOARDS

Apply now at my-boardclub.comFast-track board request code: FTM69A

For more information [email protected]:

I N D U S T R I A L S Y S T E M S

204MHz dual-core MCU offers advanced security and HMI features

NXP Semiconductors’ LPC43S57, ahigh-performance, dual-core MCU forembedded applications, offers a varietyof sophisticated security capabilitiesand features for implementing advancedhuman-machine interfaces. Theseinclude an on-chip AES engine to providehardware acceleration of encryptionfunctions, and an LCD controller offeringprogrammable display resolution of upto 1024 x 768 pixels.

NXP SEMICONDUCTORS

The LPC43S57’s architecture consists of anARM® Cortex®-M4 main processor core andan ARM Cortex-M0 co-processor. Memoryprovision is generous: 1Mbyte of Flashmemory and 136kbytes of on-chip SRAM,16kbytes of EEPROM memory, and a quadSPI Flash interface.

Peripheral support in the MCUs meets theneeds of many applications, offering two Hi-Speed USB controllers, a 10/100T Ethernetmedia access controller, up to two CAN 2.0Bcontrollers and an external memory controller.Motor applications are provided for with aPWM for three-phase motor control and aquadrature encoder interface.

The ARM Cortex-M4 is a 32-bit core whichoperates at frequencies of up to 204MHz.Offering low power consumption, enhanceddebug features and a high level of support-block integration, the core incorporates athree-stage pipeline, uses a Harvardarchitecture with separate local instruction anddata buses as well as a third bus forperipherals, and includes an internal pre-fetchunit which supports speculative branching. Ahardware floating-point processor is integratedinto the core.

The LPC43S57 MCU also includes an ARMCortex-M0 application co-processor, anenergy-efficient and easy-to-use 32-bit corewhich is code- and tool-compatible with theARM Cortex-M4 core. The M0 co-processor isintended to perform functions that wouldotherwise be carried out by an additional 8- or16-bit MCU.

LPC43S57: Generous memory provision

APPLICATIONS• Secure industrial gateways • Automotive aftermarket equipment • Smart meters • Industrial controls • Industrial automation • Diagnostic equipment • White goods’ HMIs • Data collectors and navigation • Electronic instruments

FEATURES• Serial GPIO interface• SD/MMC card interface• Four general-purpose timers/counters• Low-power real-time clock • Alarm timer • One 10-bit DAC operating at

400ksamples/s• Two 10-bit ADCs operating at

400ksamples/s• Unique ID for each device

SECURITYAUTOMOTIVEMEDICALLIGHTINGINDUSTRIALENERGY CONSUMER TELECOMMS

For samples or pricing [email protected]:

ST’s STGIPN3H60T-H: page 23ST’s TSC102: page 25PARTNERWORKING

IO-Link transceiver providesmany circuit-protection functions

Part Number Rating Continuous OutputCurrent

Peak OutputCurrent Substrate

STK5C4U332J-E 600V 3A 6A Insulated metal

STK5Q4U352J-E 600V 8A 16A Direct bonded copper

STK5Q4U362J-E 600V 10A 20A Direct bonded copper

Page 16: Industrial Systems · mode Bluetooth audio products Microchip has announced the IS206x dual-mode Bluetooth® audio family. Building on Microchip’s IS202x portfolio of integrated

T E C H N I C A L V I E W

The datasheet is a wonderful repository of sound, tested andverified information about the performance of a component,module or system. In the case of a Power Supply Unit (PSU),the datasheet tells engineers about a huge variety ofperformance parameters, including ripple and noise,efficiency, accuracy of regulation, isolation voltage andelectro-magnetic emissions. The range and detail of theinformation on offer enable the user to characterise with greatconfidence the expected behaviour of the unit in any givenapplication.

But what about one other important performance parameter: the reliabilityof the power supply? In truth, today’s PSUs from reputable manufacturersoffer extremely long lifetimes. The lifetime is precisely predictable whenoperated in the test conditions specified by reliability standards such asMIL-HDBK-217 or Telcordia. What is more, experience shows that high-quality PSUs also offer long lifetimes outside these strictly definedparameters.

A question remains for system designers, however: how confidently canthey predict the average lifetime when operating the PSU outside thesetest conditions? A wide variety of common factors can break theseconditions: heat, shock and vibration, transient fluctuations in the supplyvoltage, and the ageing of electrolytic capacitors can all give rise topremature failure. The datasheet’s standard lifetime rating, then, is rarelyexactly applicable to a real-world product.

At the same time, failure to manage the end-product’s reliability is hardlyacceptable. The brand’s reputation is a valuable asset. The environmentaland financial cost of disposal and repair are also damaging.

So how can a system design engineer confidently estimate the reliabilityof a Commercial Off-The-Shelf (COTS) PSU? And which are the mosteffective ways to maximise this level of confidence?

The limitations of manufacturers’ reliability dataThe most commonly provided value expressing the lifetime of a newCOTS PSU is the Mean Time To Failure (MTTF) or Mean Time BetweenFailures (MTBF) value. MTTF is normally specified in thousands of hours ata constant operating (ambient) temperature.

Of course, MTTF gives no indication about the time at which any singleunit, chosen at random from a large population of units, will fail: MTTF isan average value. Some units will last longer than the specified MTTFvalue, and some will fail prematurely. In fact, assuming a constant failurerate, which might be an unrealistic assumption in the context of theoperation of electronic equipment, the probability that an individual unit willlast as long as the MTTF value is just 37%. Put another way, half of theunits will have failed after 0.69 of the MTTF has elapsed, as shown inFigure 1.

This is because the failures for a constant failure rate are characterised byan exponential factor, as expressed by the equation for calculating theprobability of a component not failing after a given time:

R(t) = e-λtWhere:

λ = Average failure rate of the component

PSU manufacturers employ models based on highly accelerated tests inorder to predict the failure rate of their products. They cannot run a testpopulation of PSUs under normal operating conditions and wait toobserve failures, because it would take many years to gather statisticallysignificant data. So they subject their products to excessive temperature,vibration, current and voltage stresses in order to rapidly impair them.

Clearly a sound methodology should underlie the models that convertthe results of accelerated tests into a datasheet’s MTTF value; reputablePSU manufacturers carefully verify and refine their methodology to ensureit reflects users’ experience in the real world.

In so far as it goes, then, a datasheet MTTF value specified by a trustedmanufacturer may be relied on. But because it applies only to narrowlyspecified operating conditions, it is best used as a comparison tool whenchoosing from among a range of competing products. In other words,MTTF is good for exposing the relative longevity of different PSUs testedunder similar conditions.

But the realised value of MTTF in any given application is highlydependent on the operating conditions in that application. Temperaturehas the greatest effect on lifespan, but it is also affected by absolute levelsof input and output current and voltage, by the rate of change in theseparameters, by mechanical stress and by other factors.

T E C H N I C A L V I E W

Reputable manufacturers also provide detailed application notes whichdesign engineers can study to learn how to optimise their implementationof a PSU. Application notes from suppliers such as SL Power provideuseful guidelines on thermal and mechanical design, for instance, andreflect the depth of detail to which its design optimisation process drillsdown. Following the manufacturer’s guidelines will help to maximise thePSU’s lifetime.

31VISIT THE ONLINE FTM MAGAZINE AT: WWW.MY-FTM.COM

Predicting power-supply reliability: an art or a science?

EMAIL [email protected] FOR SAMPLES AND DATASHEETS30

So while the MTTF figure is calculated based on a set of ‘typical’ andconstant operating conditions, many users’ applications will operate inconditions which: • are highly variable • differ from the ‘typical’ values

Even if the application has constant conditions, they will almost certainlynot be the same as those of the datasheet’s typical application.

The datasheet information about failure rates and reliability is, then, oflimited utility when estimating likely failure rates in any given real-worldapplication. And yet the power-system designer must design for amaximum acceptable failure rate appropriate to his or her end product.Whether this target failure rate is almost zero, in a mission-criticalapplication, or one failure every 10,000 hours in the case of a low-costconsumer product, the designer must attain a high level of confidence thatthe actual failure rate in the field will at least reach the minimum target.

As described above, the MTTF in the datasheet does not provide thishigh level of confidence other than in the stated constant operatingconditions. So how can the power-system designer predict the real-worldfailure rate more confidently? The answer is part art, part science.

The science is in the additional data sets that will be available fromreputable PSU suppliers. Manufacturers such as Murata Power Solutions,Vicor and CUI, for instance, will provide field data: a statement about theobserved failure rate of PSUs returned to the manufacturer for repair orreplacement. The statement is based on examination of each failed unit,and provides an analysis of the cause of failures.

This statement can help potential users of a particular model of PSU to:• verify the MTTF calculation by observing the correlation between it and

the observed field failure rate, as shown in Figure 2• identify specific operating conditions, stresses or component parts that

appear to cause most failures

READ THIS ARTICLE TO FIND OUT ABOUT

• The uses of reliability data published in a power-supply’s datasheet

• The additional reliability data some power-supply manufacturers willprovide to users

• The empirical factors to take into account when estimating averagereliability in a specific application

By Paul BakerBusiness Development Manager (UK)Future Power Solutions (a division of Future Electronics)

Fig. 1: A curve showing the probability that a unit with a given MTTF is still operational after a given multipleof the MTTF. (Source: CUI, ‘Reliability Considerations in Power Supplies’)

Fig. 2: A PSU’s lifespan has three phases. ‘Infant mortality’ is high in the first phase, lasting around 24hours. Pre-shipment burn-in weeds out these infant mortality failures. (Source: CUI, ‘ReliabilityConsiderations in Power Supplies’)

For more information [email protected]:

The second additional data point is available on request to users, forexample, of Vicor power supplies: an application-specific MTTF rating,customised for the operating conditions typical in the user’s application.Even taking account of the uncertainty inherent in accelerated testingmethodology, and the uncertainty in the user’s own specification of theapplication’s operating conditions, this customised MTTF figure gives amore reliable estimate of the average failure rate across a population ofVicor PSUs in the user’s application than the standard MTTF value basedon typical operating conditions.

The third data point, again available from every reputable PSUmanufacturer, is a thermal plot, showing the unit’s safe operating curve,and the way that this is affected by changes in the application such as theaddition of a cooling airflow.

Even this expanded range of data, however, cannot provide an averagefailure rate that can be calculated with absolute certainty in any givenapplication: the range of variables affecting the operation of a PSU, andthe uncertainty inherent in the manufacturer’s testing methods, are simplytoo great. Indeed, the mind-boggling nature of the uncertainty inherent inrandom real-world events has exercised some of science’s greatest minds:Alan Turing is said to have expressed the problem to a colleague thus:

‘How best could you estimate the number of taxi cabs in a town, havingseen a random selection of their licence plates?’

Science, then, only provides part of the answer; the power-systemdesigner must also apply the art of the engineer. Experience will give thedesigner a feel for the reliability of each manufacturer’s data. By examiningtheir own products’ field failures, OEM designers can build up a picture ofthe actual failure rate, and the causes of failures, and compare it with theexpectation they had formed based only on the manufacturer’s data. Isthere a close correlation, or is actual performance better or worse thanexpected? And how far does it deviate from the predicted performance?

The engineer’s intuitions about these questions help to reinforce theconfidence he or she has in any estimate of failure rates derived frommeasurement and statistical calculations.

Predicting with confidenceWhen a datasheet expresses information about a PSU’s reliability, orunreliability, it does so with apparent mathematical certainty. The data ontheir own, however, only give a limited level of confidence in the predictedMTTF in any given application.

But design engineers can enjoy a high level of confidence in the lifetimeperformance of their chosen PSU when it is supplied by a known,reputable manufacturer, and when they can draw on their own experienceof the manufacturer’s data, or that of a trusted third party such as apower-supply distributor. Collectively, many years of knowledge is built intothe products, and surprisingly, not all come at a premium cost.

In other words, it is neither art nor science alone which help theengineer to make good judgements about the lifespan of a PSU, it is artand science combined.

Page 17: Industrial Systems · mode Bluetooth audio products Microchip has announced the IS206x dual-mode Bluetooth® audio family. Building on Microchip’s IS202x portfolio of integrated

For more information [email protected]: