ihp annual report · 2014-06-26 · dr. claudia herok vorsitzende ministerium für wissenschaft,...

154
Annual Report 2013

Upload: others

Post on 10-Jul-2020

6 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

IHP GmbH – Innovations for High Performance Microelectronics / Leibniz-Institut für innovative MikroelektronikIm Technologiepark 2515236 Frankfurt (Oder)Germany

Telefon +49 335 5625 0Fax +49 335 5625 [email protected]

Annual Report2013

IHP

Annu

al R

epor

t 20

13

Page 2: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

1A n n u A l R e p o R t 2 0 1 3

Annual Report 2013

Page 3: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

2 A n n u A l R e p o R t 2 0 1 3

Prof. Dr. Wolfgang Mehr

Liebe Leserinnen und Leser, mit dem vorliegenden Jahresbericht erhalten Sie einen Überblick über ausgewählte wissenschaftliche Ergeb-nisse des Jahres 2013.

Megatrends wie Konnektivität und Mobilität bestimmen heute das tägliche Leben und Arbeiten und führen zu immer mehr Kommunikation. Datenmengen wachsen exponentiell und sind nur durch neue Technologien zu bewältigen. Eine ältere Bevölkerung bringt neue An-forderungen im Bereich der Gesundheit, die ebenfalls nur mit Innovationen realisierbar sind. Sicherheit als Megatrend steht in engem Zusammenhang mit der zu-nehmenden, insbesondere auch drahtlosen Vernetzung und der daraus folgenden Angreifbarkeit von Daten und Infrastruktur. Schnelle siliziumbasierte Elektronik und deren Verbin-dung mit Informationstechnologien sind der Schlüssel für Innovationen, um dem neu entstehenden Bedarf zu entsprechen. Sie ermöglicht außerdem auch Miniaturi-sierung durch Integration sowie hohe Zuverlässigkeit und geringe Kosten.

Das IHP hat sich vier strategische Forschungsziele gestellt, die als langfristige Orientierung dienen: 1. 100 Gigabit pro Sekunde WLAN für schnelles drahtloses Internet 2. Sichere und energieautarke drahtlose Sensornetze 3. Terahertz Lab-on-Chip für Medizintechnik-Anwendungen4. Integrierte siliziumphotonische Terabit pro Sekunde Systeme für schnelles kabelbasiertes Internet.

V o r w o r t – F o r e w o r d

Dear Friends and Readers, With this annual report you get an insight into se-lected scientific results of the year 2013.

Megatrends such as connectivity and mobility deter-mine today’s daily life and work and lead to increasing communication. Data rates are growing exponentially and new technology is the only way to deal with this. An older population creates new requirements in the field of healthcare that can only be managed by inno-vation. Security, as a megatrend, is closely connected with the increasing networks, especially wireless net-works, and the resulting data and infrastructure sen-sitivity.

Fast silicon-based electronics and their connection with information technology are the key for innova-tion to satisfy these new requirements. It also allows miniaturization by integration as well as high reliabi-lity and low costs.

For long-term orientation, IHP has four strategic research goals:1. 100 Gigabit per second WLAN for fast wireless internet2. Secure and energy autonomous wireless sensor networks3. Terahertz lab-on-a-chip for medical applications4. Integrated silicon photonic Terabit per second systems for fast cable-based internet.

Page 4: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

3A n n u A l R e p o R t 2 0 1 3

V o r w o r t – F o r e w o r d

Diese Ziele richten sich insbesondere auf den Bedarf in den Bereichen Kommunikation, Sicherheit und Gesund-heit.

Um die strategischen Forschungsziele des IHP erreichen zu können, ist eine Vervielfachung der Geschwindigkeit der elektronischen Bauelemente mittels z.T. völlig neu-er Konzepte und Materialien erforderlich. Die BiCMOS-Technologie des IHP ermöglicht die Integration sehr schneller analoger und digitaler Transistoren auf einem Schaltkreis. Dieser wichtige Vorteil der BiCMOS wird auch in Zukunft benötigt. Für einige Anwendungen sind wei-tere Funktionen erforderlich, die eine BiCMOS üblicher-weise nicht bietet. Dafür entwickelt das IHP zusätzliche technologische Funktionen, die bei Bedarf als Module integriert werden können wie z.B. RF-MEMS, Silizium-photonik und graphenbasierte Elektronik.

Durch langjährige Konzentration auf schnelle silizium-basierte Technologien hat das IHP auf diesem Gebiet eine internationale Spitzenstellung erreicht, die sich in Weltrekordparametern und zahlreichen Technologie-überführungen zeigt. Die Erfahrung bei der Fertigung von Prototypen und Kleinserien in seiner Pilotlinie ist Grundlage für eine schnelle Überleitung von Forschungs-ergebnissen in innovative Produkte. Das IHP arbeitet intern mit einem vertikalen Konzept der Einheit von Sys-tem-, Schaltkreis-, Technologie- und Materialforschung, und damit enger Verbindung von Elektronik und Infor-mationstechnologie.

An dieser Stelle möchten wir unseren Mitarbeiterinnen und Mitarbeitern ganz herzlich für die engagierte Arbeit im Jahr 2013 danken. Ebenso danken wir der Branden-burgischen Landesregierung und der Bundesregierung für die außerordentliche Unterstützung unserer Arbei-ten.

Wolfgang Mehr Manfred StöckerWiss.-Techn. Geschäftsführer Adm. Geschäftsführer

These objectives are especially targeted towards the needs in the fields of communication, security and healthcare.

Speed multiplication of electronics components by means of partly completely new concepts and mate-rials is necessary to reach IHP`s strategic research goals. IHP`s BiCMOS technology allows integration of very fast analogue and digital technologies in a circuit. This important advantage will also be used in the future. Some applications need further functions that are normally not provided by BiCMOS. For these cases, IHP develops additional technological func-tions that can be integrated, e.g. RF-MEMS, silicon photonics and graphene-based electronics.

With a long-term focus on silicon-based technolo-gies, IHP has already reached international top per-formance that can be seen in world-record parame-ters and numerous technology transfers. Basis for fast transition from research results to innovative products is the experience with prototype and small series production in IHP`s pilot line. The institute works internally with the vertical concept of a unit of System and Circuit Design, Technology and Materials Research and thus a close link between electronics and information technology.

At this point we would like to thank our employees very much for the dedicated work in 2013. We also thank the Federal State Government of Brandenburg and the Federal Government of Germany for the extra-ordinary support of our work.

Page 5: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

4 A n n u A l R e p o R t 2 0 1 3

Contents

Page 6: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

5A n n u A l R e p o R t 2 0 1 3

I n h a l t S V e r Z e I C h n I S – C o n t e n t S

Vorwort

Aufsichtsrat

Wissenschaftlicher Beirat

Forschung des IHP

Das Jahr 2013

Ausgewählte Projekte

Gemeinsame Labore

Zusammenarbeit und Partner

Gastwissenschaftler und Seminare

Publikationen

Angebote und Leistungen

Wegbeschreibung zum IHP

Foreword

Supervisory Board

Scientific Advisory Board

IHP‘s Research

Update 2013

Selected Projects

Joint Labs

Collaboration and Partners

Guest Scientists and Seminars

Publications

Deliverables and Services

Directions to IHP

2

6

7

8

18

32

68

78

82

86

142

150

Page 7: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

6 A n n u A l R e p o R t 2 0 1 3

a u F S I C h t S r a t – S u p e r V I S o r y B o a r d

Aufsichtsrat

Dr. Claudia HerokVorsitzendeMinisterium für Wissenschaft, Forschung und Kultur Land Brandenburg

RD Dr. Ulf Lange Stellvertretender VorsitzenderBundesministerium für Bildung und Forschung

Dr.-Ing. Peter DraheimKaustik solar GmbH, Hamburg

Antje Fischer (seit 1. Januar 2013)Ministerium der Finanzen Land Brandenburg

Dr. Gunter FischerIHP GmbH

Prof. Dr. Christoph Kutter(bis 11. Januar 2013)Fraunhofer EMFT, München

Dr. Harald RichterIHP GmbH

Prof. Dr. Jörg SteinbachTechnische Universität Berlin

Prof. Dr. Eicke R. WeberFraunhofer ISE, Freiburg

Supervisory Board

dr. Claudia herokChairMinistry of Science, Research and CultureState of Brandenburg

rd dr. ulf lange Deputy ChairFederal Ministry of Education and Research

dr.-Ing. peter draheimKaustik solar GmbH, Hamburg

antje Fischer(since January 1, 2013)Ministry of FinanceState of Brandenburg

dr. Gunter FischerIHP GmbH

prof. Christoph Kutter(until January 11, 2013)Fraunhofer EMFT, Munich

dr. harald richterIHP GmbH

prof. Jörg SteinbachTechnical University of Berlin

prof. eicke r. weberFraunhofer ISE, Freiburg

Page 8: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

7A n n u A l R e p o R t 2 0 1 3

w I S S e n S C h a F t l I C h e r B e I r a t – S C I e n t I F I C a d V I S o r y B o a r d

Wissenschaftlicher Beirat

Prof. Dr. Hermann RohlingVorsitzenderTechnische Universität Hamburg-Harburg

Prof. Dr. Jörg WeberStellvertretender VorsitzenderTechnische Universität Dresden

Dr. Volker DudekClifton GmbH, Heilbronn

Prof. Dr. Lothar FreyFraunhofer IISB, Erlangen

Prof. Dr. Richard HagelauerJohannes Kepler Universität Linz,Österreich

Prof. Dr. Robert WeigelFriedrich-Alexander-Universität Erlangen-Nürnberg

Leitung

Prof. Dr. Wolfgang MehrWissenschaftlich-Technischer Geschäftsführer

Manfred StöckerAdministrativer Geschäftsführer

Scientific Advisory Board

prof. hermann rohlingChairHamburg University of Technology

prof. Jörg weberDeputy ChairTechnical University of Dresden

dr. Volker dudekClifton GmbH, Heilbronn

prof. lothar FreyFraunhofer IISB, Erlangen

prof. richard hagelauerJohannes Kepler University Linz,Austria

prof. robert weigelFriedrich-Alexander-University of Erlangen-Nuremberg

Management

prof. wolfgang MehrScientific Director

Manfred StöckerAdministrative Director

Page 9: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

8 A n n u A l R e p o R t 2 0 1 3

IHP‘s Research

Page 10: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

9A n n u A l R e p o R t 2 0 1 3

F o r S C h u n G d e S I h p – I h p ‘ S r e S e a r C h

Forschung des IHP

Das IHP konzentriert sich auf die Erforschung und Ent-wicklung von siliziumbasierten Systemen, Höchstfre-quenz-Schaltungen und -Technologien einschließlich neuer Materialien. Es erarbeitet innovative Lösungenfür Anwendungsbereiche wie die drahtlose und Breit-bandkommunikation, Sicherheit, Medizintechnik, Luft- und Raumfahrt, Automobilindustrie und Industrieauto-matisierung.

Das Institut arbeitet an den folgenden vier eng mitei-nander verbundenen Forschungsprogrammen:

1. Drahtlose Systeme und Anwendungen2. Hochfrequenz-Schaltkreise3. Technologieplattform für drahtlose und Breitbandkommunikation4. Materialien für die Mikro- und Nanoelektronik.

Die Forschungsprogramme nutzen die besonderen Mög-lichkeiten des IHP. So verfügt das Institut über eine Pilotlinie für technologische Forschungen und Ent-wicklungen sowie die Präparation von Prototypen und Kleinserien. Eine weitere Besonderheit ist das vertikale Forschungskonzept unter Nutzung der zusammenhän-genden und aufeinander abgestimmten Kompetenzen des Institutes auf den Gebieten Systementwicklung, Schaltungsentwurf, Technologie und Materialforschung.

Die Forschung des IHP setzt auf die typischen Stärken eines Leibniz-Institutes: Sie ist charakterisiert durch eine langfristige und komplexe Arbeit, welche Grund-lagenforschung mit anwendungsorientierter Forschung verbindet.

Die Realisierung der Forschungsprogramme erfolgt mit Hilfe eines regelmäßig aktualisierten Portfolios von Pro-jekten auf Basis einer mittelfristigen Roadmap. Die Ak-tualisierung geschieht aufgrund inhaltlicher Erforder-nisse sowie der Möglichkeiten für Kooperationen und Finanzierung. Drittmittelprojekte werden im Einklang mit den strategischen Zielen des IHP eingeworben.

IHP‘s Research

IHP is focused on research and development of silicon- based systems, high-frequency circuits and techno-logies including new materials. It creates innovative solutions for application areas such as wireless and broadband communication, security, medical techno-logy, aerospace, automotive industry and industrial automation.

The institute is working on the following four closelyconnected research programs:

1. Wireless Systems and Applications2. RF Circuits3. Technology Platform for Wireless and Broadband Communication4. Materials for Micro- and Nanoelectronics.

The research programs make use of the special oppor-tunities provided by IHP. For instance, the institute has a pilot line for technological research and de-velopments as well as for manufacturing prototypes and small series. An additional feature is the verti-cal research concept employing the associated and harmonized expertise of the institute in the fields of system development, circuit design, technology, and materials research.

The research of IHP is based on the typical strengths of a Leibniz Institute: it is dominated by long-term and complex efforts which connect basic research with application-oriented research.

The realization of the research programs is accomp-lished utilizing a project portfolio based on a medi-um-term roadmap. The project portfolio is regularly updated according to content requirements as well as through opportunities for cooperations and outside funding. Grant projects are acquired in accordance with the strategic goals of IHP.

Page 11: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

10 A n n u A l R e p o R t 2 0 1 3

F o r S C h u n G d e S I h p – I h p ‘ S r e S e a r C h

Die Forschungsprogramme des IHP verfolgen derzeit dienachfolgend genannten Ziele:

Drahtlose Systeme und Anwendungen

Im Programm „Drahtlose Systeme und Anwendungen“ werden komplexe Systeme für die drahtlose Kommuni-kation und deren Anwendungen untersucht und entwi-ckelt. Ziel sind Hardware- / Software-Systemlösungen auf hochintegrierten Single-Chips, Systeme-on-Chip (SoC) oder Systeme-in-Packages (SiP). Die Arbeiten werden in drei Forschungsgruppen durchgeführt.

Für WLANs hoher Performance sollen Datenraten bis 100 Gbps bei Trägerfrequenzen bis zu 300 GHz erreicht werden. Dazu wird insbesondere untersucht, inwieweit die Grenze zwischen analogem und digitalem Design eine wesentliche Rolle für die Geschwindigkeit und den Ener-gieverbrauch des Systems spielt. Weitere wichtige For-schungsthemen sind Untersuchungen, die die „Quality of Service“ im Hochlastbereich von drahtlosen Netzen verbes-sern sowie für die Erhöhung der Zuverlässigkeit von WLANs zur Verwendung in sicherheitskritischen Anwendungen, wie in der Fahrzeug-zu-Fahrzeug-Kommunikation, beitra-gen. Bei hohen Übertragungsraten werden Zusatzfeatures wie Abstandsmessung und Augmented Reality (computer-gestützte Erweiterung der Realitätswahrnehmung) immer wichtiger. Es wird an Lösungen zur sehr genauen Messung von Abständen als integriertes Feature eines 60-GHz- Übertragungssystems gearbeitet. Bisher konnten bereits Systeme mit 1-Gbps-Übertragungsrate und gleichzeitiger Abstandsmessung von < 1cm gezeigt werden.

Die Forschung zu Systemen mit geringem Energiever-brauch hat zum Ziel, Sensornetze auf Basis hochinte- grierter Chips oder SoC zu realisieren. In diesem Zu-sammenhang werden neue Netzarchitekturen, verteilte, ressourcenarme Middleware-Ansätze, neue energieef-fiziente Medienzugriffsprotokolle sowie energieeffizi-ente Transceiver erforscht und realisiert. Insbesondere sind Fragen der Zuverlässigkeit zu beantworten, die in Anwendungen mit sehr kurzen Latenzzeiten eine große Rolle spielen. Bis heute ist es weltweit nicht gelungen, für die Fabriksteuerung drahtlose Systeme zu realisieren,

Current goals of IHP’s research programs are specified below:

wireless Systems and applications

This program investigates and develops complex sys-tems for wireless communication and their applica-tions. The objective is finding solutions for hardware / software systems on highly integrated single chips, Systems on a Chip (SoC) or Systems in a Package (SiP). The activities are executed in three different scientific groups.

The target of high performance WLAN research is to achieve a data rate of up to 100 Gbps at carrier fre-quencies of up to 300 GHz. For this, in particular, it must be examined whether the boundary between analog and digital design plays an essential role for the speed and power consumption of the system. Additional important fields of research include the improvement of “Quality of Service” in the high load region of wireless networks as well as investigations to increase the reliability of WLANs for security-sensi-tive applications such as car-to-car communication. At higher transmission rates additional features, like ranging and augmented reality become more rele-vant. We work on solutions for very precise ranging as an integrated feature of a 60 GHz transmission system. Systems with 1 Gbps transmission rate and simultaneous ranging < 1cm have already been de-monstrated.

The research on systems with low energy consump-tion is directed towards sensor networks on single chips or SoC. In this context new network architec-tures, distributed low resource middleware concepts, new energy-efficient protocols for media access as well as energy-efficient transceivers are investigated and realized. In particular it is important to answer questions of reliability which play an important role in applications with very short latency times. To this day worldwide, it has not been possible to realize wireless systems for factory control that reach laten-

Page 12: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

11A n n u A l R e p o R t 2 0 1 3

F o r S C h u n G d e S I h p – I h p ‘ S r e S e a r C h

die Latenzzeiten unter 1 ms mit Bitfehlerraten von < 10-9 erreichen. Hier liegt eine große Herausforderung, da für Industrie 4.0 solche Systeme unbedingt gebraucht wer-den. Mittels eines neuartigen Ansatzes zur Parallelisie-rung versucht das IHP hier in den Bereich von 10-100 µs Latenzzeit zu gelangen. Die Sicherheit von drahtlosen Komponenten im Bereich der Sensornetze spielt eine immer wichtigere Rolle. Das Institut arbeitet schon seit einigen Jahren sehr erfolgreich auf dem Gebiet der ein-gebetteten sicheren Systeme und der Kryptoprozessoren und wird dies im Rahmen von Forschungsprojekten für Industrie 4.0 noch verstärken. UWB-Technologien auf der Basis des Standards IEEE 802.15.4a sind Beispiele für drahtlose Kommunikation im Nahbereich und zu- sätzlich hohe Ortsauflösungs-Eigenschaften. Weiterhin arbeitet das IHP an neuartigen drahtlosen „WakeUp“-Systemen und -Technologien. Diese dienen dazu, einen Sensorknoten nur dann zu aktivieren, wenn ein äußeres Ereignis eintritt und der Knoten aktiv werden muss. Da-mit passt sich der Knoten an die asynchrone Realität an und kann äußerst energieeffizient aufgebaut werden.

Die Forschung zu kontextabhängigen Middleware-Syste-men betrifft insbesondere auch die Erhaltung der Privat-sphäre und die Sicherheit bei der Nutzung mobiler End-geräte. Dazu werden modulare Kryptoprozessoren sowohl für AES (Advanced Encryption Standard) – als auch für unterschiedliche ECC (Elliptic Curve Cryptography)-Ver-fahren untersucht und entwickelt. Zusätzlich werden unterschiedliche Verfahren für die digitale Signatur zur Überprüfung der Authentizität von drahtlosen Nach-richten untersucht. Neuartige Radarsysteme werden für verschiedene Anwendungsszenarios wie Umweltsensorik und altersgerechte Assistenzsysteme (AAL) entwickelt.

Bei der Entwicklung von Methoden zur Erhöhung der Zuverlässigkeit und Testbarkeit von Schaltungen wer-den Bibliotheken für CMOS-Technologien untersucht und realisiert, die die Strahlungsfestigkeit von Schal-tungen erhöhen. Im Bereich des Logikdesigns werden unterschiedliche Verfahren zur Redundanzerhöhung kri-tischer Pfade untersucht. Die Hardware wird für spezielle Signalkonfigurationen optimiert. Darüber hinaus wer-den Speichergeneratoren entwickelt, die unterschiedliche

cy times under 1 ms with bit error rates < 10-9. This is a major challenge, because these systems are absolu-tely necessary for industry 4.0. By means of an inno-vative approach of parallelization IHP tries to reach a latency time of 10-100 µs. The security of wireless systems in the field of sensor networks becomes more and more important. For some years, IHP has been working in the fields of embedded systems and cryp-to processors and will intensify this work within the framework of research projects for industry 4.0. UWB technologies based on the standard IEEE 802.15.4a are examples of short-range wireless communication with an additional high spatial resolution. Further-more, IHP is working on new wireless “wake-up“ sys-tems and technologies. These are used to activate a sensor node only when triggered by an external event and the node must be active. Thus, the node adjusts to the asynchronous reality and can be set up in an extremely energy-efficient manner.

Research in context-sensitive middleware systems especially addresses privacy and security matters in using mobile devices. In this context, modular crypto processors for AES (Advanced Encryption Standard) as well as for different ECC (Elliptic Curve Crypto-graphy) techniques are investigated and developed. Additionally, different techniques for the digital signature for authenticity checks of wireless mes-sages are investigated. New radar systems are de- veloped for use in different application scena-rios such as environmental sensing and age-based assisted living.

CMOS libraries for higher radiation hardness are in- vestigated and realized in the context of higher reli-ability and testability of circuits. For digital designs different procedures for obtaining higher redundancy in critical paths are investigated. Hardware will be optimized for special signal configurations. Further-more, memory generators for different memory types are developed. Additional tasks are EDAC (Error De-tection And Correction) techniques for data correc-

Page 13: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

12 A n n u A l R e p o R t 2 0 1 3

Speichertypen unterstützen. EDAC (Error Detection And Correction)-Techniken zur Datenkorrektur in Speichern gehören zum Portfolio. Der Test aller im IHP entwickelten digitalen Schaltungen wird als Dienstleistung angebo-ten. Neue, GALS (global asynchron, lokal synchron)-basierte Methoden zum Design von Schaltungen mit geringer Eigenstrahlung für den Einsatz im Weltraum oder in Fahrzeugen werden entwickelt. Die Unter- suchungen der zuverlässigen Systeme erstrecken sich auch auf die Zuverlässigkeit von Speichern und SoCs. Hierzu arbeitet das IHP an innovativen Konzepten für Speicherkontroller, die dynamisch fehlerhafte Daten reparieren, Speicherblöcke austauschen und Speicher-sequenzen reorganisieren, sowie an Multiprozessorstruk-turen, die dynamisch unterschiedliche Verhaltensmuster bezüglich der Zuverlässigkeitsanforderungen annehmen können.

Ein neues Arbeitsgebiet ist das 3D-Stacking. Es wird ein Labor zur Vorbereitung der Fertigung von prototypischen Systemen aufgebaut, das alle Prozessschritte von der Ver-einzelung, dem Pick-and-Place bis hin zum Bonding, der SMD (oberflächenmontierte Bauelemente)-Bestückung und dem Flow-Löten unterstützt. Sobald die technolo-gischen Voraussetzungen für das Stacking (die Silizium-Durchkontaktierung) abgeschlossen sind, werden auch diese Prozessschritte im Labor mit aufgenommen. Damit wird die Möglichkeit der Integration von heterogenen Technologien in ein System geschaffen und die Flexibi-lität der IHP Systemrealisierungen erhöht.

Hochfrequenz-Schaltkreise

Im Programm „Hochfrequenz-Schaltkreise“ werden in-tegrierte mm-Wellen-Schaltkreise und Frequenz-Synthe-sizer, Breitband-Mischsignal-Schaltkreise sowie Schalt-kreise für drahtlose Anwendungen mit sehr geringem Energieverbrauch entwickelt und als Prototypen reali-siert.

Integrierte Millimeterwellen-HF-Schaltkreise, wie bei-spielsweise Sende- und Empfangsschaltungen und Fre-quenz-Synthesizer zum Einsatz in der drahtlosen Kommu-nikation, sind weiterhin ein Arbeitsschwerpunkt. Derzeit

tion in memories and testing of all digital IHP-cir-cuits as a service. New GALS (Globally Asynchronous Locally Synchronous) based design methods are de-veloped for low EMR applications in space or in auto-motive environments. The investigations of reliable systems extend to the reliability of memory and SoCs. For this, the group is working on innovative concepts for memory controllers that dynamically repair faulty data, replace memory blocks and reorganize memory sequences as well as on multiprocessor structures that can take on the dynamically different behavior patterns with respect to the reliability requirements.

A new field of activity for IHP is 3D stacking. A new laboratory for the preparation of manufacturing of prototype systems will be built up, which will support all process steps from dicing, pick and place to bon-ding, but also SMD (Surface Mounted Device) place-ment and flow-soldering. As soon as the technologi-cal conditions for stacking (Through Silicon Vias) are fulfilled, these process steps will also be established in the laboratory. This allows integrating heteroge-neous technologies in a system and increases the flexibility of IHP system realizations.

rF Circuits

In this program integrated mm-wave circuits and fre-quency synthesizers, broadband mixed-signal circuits and circuits for ultra-low-power wireless applications will be designed and realized as prototypes.

Integrated millimeter-wave RF circuits such as trans-mitter and receiver circuits and frequency synthesi-zers for wireless communication at roughly 60 to 720 GHz are still a key area. In the future they should

F o r S C h u n G d e S I h p – I h p ‘ S r e S e a r C h

Page 14: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

13A n n u A l R e p o R t 2 0 1 3

werden Schaltungen bei Frequenzen von etwa 60 bis 720 GHz entwickelt. Sie sollen in Zukunft Anwendungen im Bereich der drahtlosen Kommunikation mit Bandbrei-ten von über 25 GHz und Datenraten bis zu 100 Gbps ermöglichen. Die Erschließung des sub-THz-Bereiches in der Schaltungstechnik ermöglicht zunehmend auch An-wendungen im Bereich der Spektroskopie und der Nah-feld-Sensorik zur Stoffanalyse. Damit können auch für solche Anwendungsfelder preiswerte elektronische Schal-tungen auf Si-Basis bereitgestellt werden. Ähnliches gilt auch für sub-THz Radar- und Bildgebungsanwendungen für Sicherheitstechnik und zerstörungsfreie Materialprü-fung. Die Entwicklung der On-Chip-Mikrofluidik wird in Kombination mit den Schaltungen und Sensorstrukturen zu Fortschritten in Richtung Lab-on-Chip führen.

Für die glasfasergestützte Breitbandkommunikation werden Konzepte und elektronische Komponenten für höchste Datenraten entwickelt. Mit Einzelschaltungen, die Datenraten ≥56 Gbps pro Faser und Wellenlänge be-dienen können, werden Systemübertragungsraten von 400 Gbps und mehr möglich. Dabei werden zunehmend komplexe optische Modulationsverfahren eingesetzt, die die Linearitätsanforderungen an die Schaltungen erheb-lich verschärfen. Auch die Anforderungen an A / D- und D / A-Wandler werden dadurch immer größer. Eine neue Qualität kann durch die Kombination von Siliziumpho-tonik und Ansteuerelektronik auf einem Chip erreicht werden, weil dann heute noch übliche signifikante para-sitäre Elemente vermeidbar sind.

Extrem energieeffiziente Sende- und Empfangsschal-tungen und HF-Komponenten spielen in drahtlosen Sensornetzen und generell in mobilen Anwendungen eine immer wichtigere Rolle. Hierzu werden innovative Impuls-Radio UWB-Transceiver und Schaltungen für Wake-Up-Empfänger entwickelt, mit deren Hilfe die ge-forderte Batterie-Lebensdauer von zehn Jahren erreicht werden soll. Dabei spielt die Einbeziehung von passiven Bauelementen mit sehr hoher Güte in die Schaltungen sowie deren mögliche On-Chip-Integration eine ent-scheidende Rolle. Weitere schaltungstechnische Heraus-forderungen sind die systematische Unterstützung von Power-Management-Fähigkeiten und die Robustheit ge-

enable applications in wireless communication with data rates up to 100 Gbps at a bandwidth of more than 25 GHz. The development of the sub-THz region in the circuit design increasingly also enables applica-tions in spectroscopy and short-range sensor systems for material analysis. It might be possible for such fields of application to provide low-cost electronic silicon-based circuits. The same applies to sub-THz radar- and imaging applications for security systems and nondestructive testing of materials. The deve-lopment of on-chip microfluidics in combination with circuits and sensor structures will lead to advances in the direction of lab-on-a-chip.

Concepts and electronic components for fiber-optical broadband communication systems will be develo-ped for maximum data rates. With single circuits that can operate with data rates ≥56 Gbps per fibre and wavelength, system transmission rates of 400 Gbps and more will become realistic. Increasingly, complex optical modulation procedures will be used, which se-riously intensify the linearity demands on circuits. By this, the requirements on A / D- and D / A- converters will also increase. A new quality can be reached by the combination of silicon photonics and electronics on one chip, because significant parasitic elements, that are still common, can be avoided.

Extremely energy-efficient transmitter and receiver circuits and RF components play an increasingly im-portant role in wireless sensor networks and in general in mobile applications. Ultra-low-power RF frontends and components are developed for wireless sensor networks. For this, innovative impulse UWB transcei-vers and circuits for wake-up-receivers are investiga-ted that should help to achieve the required ten years battery lifetime. At the same time the inclusion of passive components with a very high quality in the circuits and their possible on-chip integration play a crucial role. Other circuit technology challenges are the systematic support of power-management-abi-

F o r S C h u n G d e S I h p – I h p ‘ S r e S e a r C h

Page 15: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

14 A n n u A l R e p o R t 2 0 1 3

genüber widrigen Spannungsversorgungsbedingungen (z.B. schwächer werdende Batterie, wechselnde Bedin-gungen beim Energy Harvesting).

Technologieplattform für drahtlose und Breitband-kommunikation

Siliziumbasierte Technologien für integrierte Schal-tungen zielen auf kleinere Transistoren, eine höhere An-zahl Transistoren und höhere Arbeitsfrequenzen ab. Mit Erreichen des Nanometer-Bereiches müssen laterale und vertikale Strukturen prozessiert werden, die fast atoma-re Abmessungen haben („More Moore“-Ansatz der ITRS, der International Technology Roadmap for Semiconduc-tors). Außerdem werden neuartige Bauelemente und Technologien entwickelt, um CMOS-Technologien noch weiter zu skalieren bzw. um Lösungen jenseits von Silizi-um-CMOS-Technologien zu erarbeiten.

Entsprechend der ITRS zielt der alternative „More than Moore“-Ansatz auf Diversifikation durch die Kombina-tion verschiedener Technologien mit angemessenem Skalierungsniveau. Das hier beschriebene Forschungs-programm des IHP basiert auf einer „More than Moore“-Strategie der modularen Erweiterung von BiCMOS-Tech-nologie für die drahtlose und Breitbandkommunikation und Sensorik. SiGe-BiCMOS-Technologien kombinieren schnelle SiGe-HBTs mit der Rechenleistung von CMOS auf einem Schaltkreis.

Die Hochfrequenzeigenschaften von HBTs konnten in den letzten Jahren erheblich verbessert werden und haben jetzt 500 GHz erreicht. Damit ermöglichen sie Anwen-dungen im Millimeterwellen-Bereich wie beispielsweise Fahrzeugradar (77 GHz), Glasfaserverbindungen mit hohen Datenraten (>100 Gbps) und drahtlose Verbin-dungen im Gbps-Bereich (60 GHz, 122 GHz). Das nächs-te Forschungsziel ist eine HBT-Generation mit 700-GHz- Grenzfrequenz.

In einem „More than Moore“-Ansatz wird die Funktio-nalität der BiCMOS-Technologie durch die Integration optischer Komponenten (Siliziumphotonik) und MEMS-Strukturen erweitert. Darüber hinaus wird die monoli-

lities and the robustness against unfavorable power supply conditions (e.g. a weakening battery, chan-ging conditions during energy harvesting).

technology platform for wireless and Broadband Communication

Future silicon-based integrated circuits technology is targeting at reduced transistor dimensions, an in- creasing number of transistors and higher operating frequencies. By reaching the nanometer scale region, lateral and vertical structures which are close to ato-mic dimensions have to be processed (“More Moore” approach of the International Technology Roadmap for Semiconductors, ITRS). Moreover, emerging re-search devices and technologies are under investi-gation to further extend the CMOS technology or to evaluate solutions beyond Si CMOS technologies.

According to the ITRS, the alternative “More than Moore” approach is targeting diversification by com-bining different technologies based on a reasonable scaling level. This IHP research program is based on a “More than Moore” strategy targeting a modu-lar extension of BiCMOS technology for wireless and broadband communication and sensor systems. SiGe BiCMOS technologies combine high speed SiGe HBTs and computing power of CMOS on a single chip.

RF performance of HBTs has been significantly im-proved over the years, reaching 500 GHz now and enabling mm-wave applications such as automotive radar (77 GHz), high data rate fiber links (>100 Gbps) and Gbps wireless links (60 GHz, 122 GHz). The next research goal is a generation of HBTs with 700 GHz cut-off frequency.

In a “More than Moore” approach the functionality of the BiCMOS technology is extended by integrating optical components (silicon photonics) and MEMS structures. Moreover, the monolithic or hybrid hete-

F o r S C h u n G d e S I h p – I h p ‘ S r e S e a r C h

Page 16: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

15A n n u A l R e p o R t 2 0 1 3

thische bzw. hybride Heterointegration von Silizium- und III / V-Verbindungshalbleitern untersucht, die neuartige System-on-Chip-Lösungen ermöglichen.

Die in diesem Forschungsprogramm entwickelten Tech-nologien werden Designern als Multi-Projekt-Wafer-Ser-vice für innovative Schaltungsentwürfe angeboten. Der Zeitplan der technologischen Durchläufe in der Pilotlinie ist auf der Homepage des IHP verfügbar.

Materialien für die Mikro- und Nanoelektronik

Im Forschungsprogramm „Materialien für die Mikro- und Nanoelektronik“ wird zur längerfristigen Sicherung der technologischen Innovationskraft des Institutes an der Integration neuer funktioneller Modulkonzepte in moderne Silizium-BiCMOS-Technologien gearbeitet. Materialien haben einerseits hohes Potential für „dis-ruptive approaches“ in der weiteren Entwicklung der Si-Mikroelektronik, bedürfen aber andererseits einer be-sonders langfristig orientierten Entwicklungsarbeit zur Erreichung der erforderlichen Kontrolle für zuverlässige Technologien. Von besonderer Bedeutung sind hierbei am IHP „More than Moore“-Materialansätze für die Ge-biete künftiger Terahertz-, Photonik- und Biomedizin-Anwendungen.

Bei der erkundenden Untersuchung innovativer Mate-rialien werden neuartige Materialien mit einem hohen Potential für zukünftige Anwendungen in den Bereichen THz und Photonik in der Silizium-Mikroelektronik in einem frühen Stadium bewertet. Im Fokus steht heu-te das Materialsystem Graphen, das aufgrund seiner beeindruckenden Eigenschaften (Stabilität, Leitfähig-keit etc.) verspricht, derzeitige Grenzen der Silizium-Mikroelektronik zu überwinden. Spezifisch widmet sich die Arbeitsgruppe dem vom IHP patentierten Graphen-Basis-Transistor (GBT) im Hinblick auf potentielle THz-Anwendungen. Zentrale Forschungsaufgaben der Si- CMOS-kompatiblen Graphen-Prozessintegration werden hierbei in Angriff genommen wie z. B. die kontrollierte Herstellung von Graphen hoher Qualität mittels selek-tiver Verfahren der Gasphasenabscheidung in definierten Isolator-Fenstern des späteren Bauteils oder die Abschei-

ro-integration of Si and III / V compound semicon-ductor technologies are under investigation enabling new System on Chip solutions.

The technologies developed within this program are offered to designers in a Multi Project Wafer Ser-vice for innovative circuits solutions. The schedule for technological runs in the pilot line in Frankfurt (Oder) can be found on IHP‘s website.

Materials for Micro- and nanoelectronics

Focus of the research program “Materials for Micro- and Nanoelectronics” is to secure the institute’s longterm technological innovation power by the inte-gration of new functional module concepts in modern silicon BiCMOS technologies. Materials have on the one hand a high potential for “disruptive approaches” in the further development of Si microelectronics, but on the other hand need a particular long-term deve-lopment work to achieve the necessary control for reliable technologies. Of particular importance here at IHP are “More than Moore” material-approaches on the fields of future terahertz, photonic and biomedi-cal applications.

The “Exploratory Materials Research” is concerned with the evaluation of new materials with a high po-tential for future terahertz and photonic applications in silicon microelectronics at a very early stage. In the focus today is the material graphene that, because of its impressive properties (stability, conductivity, etc.), promises to overcome current limitations of silicon microelectronics. Specifically, the IHP is wor-king on the patented graphene transistor with regard to potential THz applications. Main research tasks of the Si CMOS compatible graphene process integration are tackled, like the controlled production of high-quality graphene by selective methods of chemical vapor deposition in defined isolator-windows of the later device or the deposition of insulating layers with high electrical performance on graphene. Thus, IHP plays an essential bridge-building role between

F o r S C h u n G d e S I h p – I h p ‘ S r e S e a r C h

Page 17: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

16 A n n u A l R e p o R t 2 0 1 3

F o r S C h u n G d e S I h p – I h p ‘ S r e S e a r C h

dung von Isolationsschichten hoher elektrischer Güte auf Graphen. Das IHP übernimmt somit in der europäischen Graphen-Forschung eine wichtige Brückenfunktion zwi-schen Universitäten und der Industrie in Bezug auf die Erforschung der Si-CMOS-kompatiblen-Prozessintegrati-on.

Die Forschungsarbeiten im Bereich „Front-End-of-Line“ (FEOL) zielen auf die Integration qualitativ hochwer-tiger alternativer Halbleiterstrukturen in die Silizium-Wafer-Plattform ab. Diese Arbeiten dienen folglich dazu, fundamentale Grenzen der Siliziumtechnologie aufgrund der physikalischen Materialparameter des Siliziums zu überwinden. Im Fokus stehen hierbei Germanium Mikro- und Nano-Halbleiterstrukturen auf Si mit maßgeschnei-derten Verspannungseigenschaften zur Optimierung nicht nur der heteroepitaktischen, sondern insbesondere der optoelektronischen Eigenschaften. Germanium als Silizium-CMOS-kompatibler Halbleiter ist von hohem Forschungsinteresse für die Siliziumphotonik, um mittels neuartiger Konzepte nicht nur Detektoren und Modula-toren effizienter zu gestalten, sondern um sogar Silizi-um-CMOS-kompatible Laserlichtquellen zu integrieren.

Die Integration eingebetteter nichtflüchtiger Speicher-module in das „Back-End-of-Line“ (BEOL) ist von zen-traler Bedeutung für die Leistungsfähigkeit und Vielsei-tigkeit komplexer, drahtloser Sensornetze. Das IHP ist aufgrund der Verknüpfung des Know-how von Material-, Technologie- und Systemabteilung unter den führenden europäischen Instituten bei der Erforschung und Bewer-tung des Potentials HfO

2-basierter, widerstandsgeschal-teter RRAM-Speicheransätze. Neben der Grundlagenphy-sik zum Verständnis und zur Optimierung des resistiven Schaltens erforscht das IHP komplexe 4-kbit-RRAM-Arrays als Testmodule zur statistischen Bewertung der Speichermodule. Das IHP liefert somit einen zentralen Beitrag in der europäischen RRAM-Technologieforschung im Hinblick auf eingebettete Speichermodule, die in ei-ner Vielzahl von Anwendungen eine tragende Rolle spie-len.

universities and industry in the field of graphene re-search, especially in respect of the investigation of Si CMOS compatible process integration.

The research in “front-end of line” (FEOL) targets the integration of high quality alternative semiconductor structures on the mainstream Si wafer platform. This work thus intends to overcome fundamental limita-tions of silicon technology due to the physical para-meters of the silicon material. The focus is currently on Germanium (Ge) micro- and nano-semiconductor-structures on Si with tailored strain properties regar-ding the optimization not only of heteroepitaxial, but especially of optoelectronic properties. Germanium, as a silicon CMOS compatible semiconductor, is of high research interest for silicon photonics by means of novel concepts, not only to improve efficiency of detectors and modulators, but to integrate Si CMOS compatible laser light sources.

Embedded non-volatile memory module integration in the “back-end of line” (BEOL) is of high importance to build up efficient complex wireless sensor net-works. The linking of know-how between materials research, technology and system design characterizes the IHP as a leading European institute in the fields of research and evaluation of the potential of HfO

2-based resistive switching RRAM memory approaches. Besides basic research to understand and optimize resistive switching, IHP furthermore explores com-plex 4 kbit RRAM-arrays as test modules for statistical evaluation of the memory modules. IHP provides a key contribution in European RRAM technology re-search with regard to “embedded memory” modules that have a supporting role for a large number of ap-plications.

Page 18: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

17A n n u A l R e p o R t 2 0 1 3

F o r S C h u n G d e S I h p – I h p ‘ S r e S e a r C h

Ein weiteres BEOL-Projekt erforscht das „interfacing“ zwischen Mikroelektronik und Biomedizin: Mittels eines am IHP entwickelten Integrationsansatzes werden alu-miniumnitridbasierte, akustische Oberflächenwellen-Filter Silizium-CMOS-kompatibel integriert und können mittels geeigneter Oberflächenfunktionalisierung in der Biomolekül-Sensorik neue Anwendungen für die IHP-BiCMOS-Technologie erschließen. Weitere biomedi-zinische Sensorkonzepte werden zurzeit durch die Ma-terial-, Technologie- und Schaltkreisabteilung bewertet.

Um die hohen Anforderungen moderner Silizium-Schalt-kreise zu erfüllen ist eine Materialcharakterisierung mit hoher Auflösung und Sensitivität bis hinab in den Nano-bereich unabdingbar. Neben den laborbasierten Verfah-ren stellt daher die Nutzung des Potentials moderner Synchrotron-Quellen der 3. Generation in Europa (Petra III in Hamburg, ESRF in Grenoble, MaxLab in Schweden) ein wesentliches Standbein der Materialforschung am IHP dar. Hierbei führt das IHP z. B. in-operando Studi-en direkt an Bauteilsystemen mittels zerstörungsfreier Synchrotron-Verfahren durch, um möglichst realistische Einblicke in die Materialphysik des Bauteils zu erlan-gen. Ferner eröffnet das IHP als Partner Industrieunter-nehmen Zugang zu spezifischen Synchrotron-Diagnos-tikverfahren, die die heutigen Möglichkeiten mittels Laborverfahren bei Weitem übertreffen und zentrale Fragestellungen bei der Materialentwicklung der Unter-nehmen lösen.

Gegenstand der Arbeiten im Gemeinsamen Labor mit der BTU Cottbus-Senftenberg ist die Silizium-Materialfor-schung. Dabei sollen die Eigenschaften des Si-Materials maßgeschneidert werden, um neue Anwendungen zu er-möglichen und um bestehende zu verbessern.

Another BEOL project explores the “interfacing” bet-ween microelectronics and biomedicine: by means of an IHP-developed integrative approach, aluminum nitride based, surface acoustic wave filters are in-tegrated Si CMOS compatible and can be applied as sensors for biomolecules for IHP BiCMOS technology after functionalizing the surface in a suitable way. Further biomedical sensor concepts are currently being evaluated by the departments materials re-search, technology and circuit design.

To meet the high demands of modern silicon circuits, a material characterization with high resolution and sensitivity down to the nanoscale is essential. In ad-dition to laboratory-based techniques, the use of the potential of modern 3rd generation European synchro-tron sources (Petra III in Hamburg, ESRF in Grenoble, MaxLab in Sweden) is an essential pillar of materials research at IHP. Here, the IHP carries out for example, “in-operando” studies directly at component systems with the help of non-destructive synchrotron tech-niques, to get an as realistic as possible insight into the components materials physics. Furthermore IHP as a partner of industrial enterprises opens up ac-cess to specific synchrotron diagnostic procedures, which far exceeds today’s possibilities of laboratory methods and solves central questions of materials development.

Silicon materials research is the subject matter of the Joint Lab IHP / BTU Cottbus-Senftenberg. Silicon pro-perties are tailored to enable new applications and to improve existing ones.

Page 19: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

18 A n n u A l R e p o R t 2 0 1 3

Update 2013

Page 20: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

19A n n u A l R e p o R t 2 0 1 3

d a S J a h r 2 0 1 3 – u p d a t e 2 0 1 3

Das Jahr 2013

Im Dezember 2013 feierte das IHP sein 30-jähriges Bestehen. Was 1983 als Institut für Halbleiterphysik (IHP) begann, hat sich zu einem international renom-mierten Forschungsinstitut entwickelt. Gemeinsam mit zahlreichen Wegbegleitern und Gästen aus den Be-reichen Wissenschaft, Industrie und Politik beging das IHP im Rahmen einer Festveranstaltung dieses Jubilä-um. Der Brandenburger Ministerpräsident Dr. Dietmar Woidke betonte in seinem Grußwort, dass das IHP be-reits „seit vielen Jahren ein Hightech-Flaggschiff“ sei und „Hightech made in Brandenburg“ produziere. Prof. Dr. Wolf-Dieter Lukas vom Bundesministerium für Bil-dung und Forschung unterstrich die Bedeutung des IHP für die deutsche Forschungslandschaft. Prof. Dr. Her-mann Grimmeiss (Mitglied der Königlich-Schwedischen Akademie der Wissenschaften) verwies auf die Rolle des IHP als Brücke zwischen den Universitäten und der Industrie und seine Vision, signifikante Beiträge zur Erhöhung der Innovationskraft Deutschlands und Europas auf gesellschaftlich und wirtschaftlich beson-ders relevanten Gebieten zu leisten. Deshalb betrachtet er das IHP als ein Musterbeispiel der Leibniz-Gemein-schaft. Einer der Gründe für die Weltspitzenleistungen des IHP ist die „Tatsache, dass im IHP in einer verti-kalen Struktur in einer in Deutschland herausragenden Konstellation Materialforschung, Prozesstechnologie, Schaltungsdesign und Systementwurf zusammenwir-ken“. Ein Beispiel für die erfolgreiche Zusammenarbeit mit der Industrie im Rahmen der Kleinserienfertigung von Spezialschaltkreisen präsentierte Gerhard Kahmen von Rohde & Schwarz in seinem Vortrag „Spitzentech-nologie Made in Germany“. Im Anschluss an die Festveranstaltung erfolgten die feierliche Übergabe und Eröffnung des Erweiterungs-baus. Dieser, vom Land Brandenburg und dem Bund mit 8,7 Mio. € finanzierte Bau, bietet Platz für über 70 Mitarbeiter / -innen sowie für zusätzliche Seminar- und Konferenzflächen.

Update 2013

In December 2013, IHP celebrated its 30th anniversa-ry. Started in 1983 as the Institute for Semiconduc-tors Physics/ Institut für Halbleiterphysik (IHP), it has developed into an internationally renowned re-search institute. Together with numerous partners and guests from science, industry and politics, the IHP celebrated this anniversary within the scope of a festive event. Dr. Dietmar Woidke, Prime Minister of Brandenburg, highlighted in his word of welcome that IHP has “for many years been a high-tech flagship” and produces “High-tech made in Brandenburg”. Prof. Dr. Wolf-Dieter Lukas (Federal Ministry of Edu-cation and Research) emphasized the importance of IHP for the German research landscape. Prof. Dr. Her-mann Grimmeiss (Member of the Royal Swedish Aca-demy of Sciences) referred to IHP’s role as a “bridge between universities and industry” and its vision “to make a significant contribution to enhance the in-novative strength of Germany and Europe in socially and economicly especially relevant fields”. Therefore, Grimmeiss sees IHP as a perfect example within the Leibniz Association. One of the reasons for IHP’s world class performance is “the fact, that at IHP Mate-rials Research, Process Technology, Circuit Design and System Design interact in a vertical structure which is an outstanding constellation in Germany.” Gerhard Kahmen (Rohde & Schwarz) presented an example of the successful cooperation with industry in the frame of small series production of special circuits in his talk “Leading-edge technology ’Made in Germany’”.

The event was followed by the ceremonial opening of the extension building. This building, financed with 8.7 Million Euro by the State of Brandenburg and the German Government, provides space for more than 70 employees as well as additional seminar and con-ference rooms.

Page 21: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

20 A n n u A l R e p o R t 2 0 1 3

Mit diesen beiden Festakten beendete des IHP wieder ein besonders erfolgreiches Jahr. So konnten 2013 die Drittmitteleinnahmen auf 13,8 Mio. € gesteigert wer-den. Damit gehört das Institut zu den drittmittelstärk-sten Forschungsinstituten der Leibniz-Gemeinschaft. Erreicht wurde dies durch die erfolgreiche nationale und internationale Zusammenarbeit in über 70 ver-schiedenen Forschungsprojekten. Die Ergebnisse der Forschungsarbeit wurden 2013 in 236 Publikationen sowie 296 Vorträgen veröffentlicht und es wurden 19 Patente eingereicht. Die inhaltlichen Ergebnisse und Erfolge dieser Arbeit können den weiteren Ausfüh-rungen und Projektbeschreibungen dieses Jahresbe-richtes entnommen werden.

In diesem Jahr gelang es verstärkt, Projekttreffen und Meetings direkt im IHP durchzuführen und zahlreiche internationale Besuchergruppen von Wissenschaftlern und Studierenden am Institut zu begrüßen. Dies reichte von der DLR-Bauteilekonferenz im Februar über die In-stitutsbesichtigung der internationalen Konferenzteil-nehmer der vom IHP und der TU Berlin organisierten MEMSWAVE 2013, den am IHP durchgeführten 12. Bi-CMOS-Workshop & Tutorial im Oktober, dem 5. Bran-

These two ceremonies completed another particularly successful year. Thus, in 2013, it was possible to in-crease the third-party funds to 13.8 Million Euro. As such the IHP is one of the most successful institutes in generating external funding within the Leibniz As-sociation. This was achieved by successful national and international cooperation in more than 70 dif-ferent research projects. The research results in 2013 were presented in 236 publications and 296 talks. Furthermore, 19 patent applications were filed. The substantive results and success of these activities can be gathered from the following project descrip-tions of this annual report.

This year, the institute succeeded in arranging pro-ject meetings directly at IHP and in welcoming an increasing number of international visitors groups of scientists and students. These ranged from the Com-ponent Conference of the DLR in February to institute visits of international conference attendees of MEMS-WAVE 2013, which was jointly organized by IHP and TU Berlin, to the 12th BiCMOS-Workshop & Tutorial, held at IHP, to the 5th Brandenburg sensor network

d a S J a h r 2 0 1 3 – u p d a t e 2 0 1 3

„Hightech made in Brandenburg“: Grußworte des Brandenburger Ministerpräsidenten Dr. Dietmar Woidke.“Hightech made in Brandenburg”: Welcoming speech for the 30th anniversary of IHP by the Prime Minister of Brandenburg, Dr. Dietmar Woidke.

Von links: Architekt Prof. Dr. G. Henn, Oberbürgermeister Dr. M. Wilke, Wissenschaftsministerin Prof. Dr. S. Kunst, Prof. Dr. W. Mehr (IHP), Prof. Dr. W.-D. Lukas (BMBF).From left: Architect Prof. G. Henn, Mayor Dr. M. Wilke, Minister of Science Prof. S. Kunst, Prof. W. Mehr (IHP), Prof. W.-D. Lukas (BMBF).

Page 22: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

21A n n u A l R e p o R t 2 0 1 3

denburger Sensornetztag „Sensorik meets Medizin“ bis zum EU-Projektmeeting TAMPRES im Dezember.

Motivierte und qualifizierte Mitarbeiterinnen und Mit-arbeiter sind Voraussetzung für die erfolgreiche Arbeit im IHP. Deshalb macht das Institut zahlreiche Ange-bote sowohl zur Vereinbarkeit von Familie und Beruf, als auch zur weiteren Qualifikation der Beschäftigten. Die Erfolge geben uns Recht. So erhielt das IHP 2013 zum zweiten Mal für die Dauer von drei Jahren das „TOTAL-E-QUALITy“-Prädikat für vorbildliche, an Chan-cengleichheit orientierte Personalpolitik. Zwei IHP-Auszubildende von insgesamt neun schlossen diesen Bildungsweg mit jeweils dem Prädikat „Bundesweit bester Auszubildender“ ab. Ein Gemeinschaftspro-jekt von IHP-Auszubildenden und Schülern des Carl-Friedrich-Gauß-Gymnasiums erreichte den 5. Platz im Bereich Physik im 38. Bundeswettbewerb „Jugend forscht“ in Leverkusen.

day “Sensors meet medicine” and to the EU project meeting TAMPRES in December.

Motivated and qualified employees are the pre-con-dition for successful work at IHP. Therefore, the in-stitute provides a range of offers for compatibility of family and career, as well as for further qualifications of the employees. Our success proves us right. For instance, in 2013, IHP received the TOTAL E QUALITY Award for exemplary personal policy for the second time, for a period of three years, which is orientated to equal opportunities for men and women. Two of nine IHP-apprentices completed their education each with the rating “Germany’s best trainee”. A joint pro-ject of IHP-trainees and pupils of the Carl-Friedrich-Gauß-Gymnasium achieved the 5th place in the field of physics at the federal contest “Jugend forscht” in Leverkusen.

d a S J a h r 2 0 1 3 – u p d a t e 2 0 1 3

Empfang der „Jugend forscht“-Teilnehmer Pascal Berthold, Florian Berthold (C.-F. Gauß-Gymnasium Frankfurt (Oder)) und Niklas Kroh (IHP) im Bundeskanzleramt durch Bundeskanzlerin Dr. Angela Merkel (von links).Welcome of “Jugend-forscht”-participants Pascal Berthold and Florian Berthold (C.-F. Gauß-Gymnasium Frankfurt (Oder)) and Niklas Kroh (IHP) at the Federal Chancellery by Chancellor Dr. Angela Merkel (from left).

Page 23: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

22 A n n u A l R e p o R t 2 0 1 3

Um wissenschaftlichen Nachwuchs frühzeitig an das IHP zu binden, wurde die Zusammenarbeit mit Hoch-schulen u. a. durch die Arbeit in den Joint Labs verstärkt und weiter ausgebaut. 19 Studierende schrieben ihre Abschlussarbeiten im Bachelor- oder Master- bzw. Diplomstudiengang zu Forschungsthemen des Insti-tutes. Mit der vom IHP organisierten Sommerschule Mikroelektronik bietet das IHP darüber hinaus Studie-renden in der vorlesungsfreien Zeit die Möglichkeit, sich am IHP sowohl über Grundlagen der Mikroelektronik, als auch über die neuesten Entwicklungen auf diesem Gebiet zu informieren und mit IHP-Forschenden ins Ge-spräch zu kommen. Zur 12. Sommerschule kamen ins-gesamt 27 Bachelor- und Masterstudierende aus ganz Deutschland nach Frankfurt (Oder). Wissenschaftliche ErgebnisseIm Folgenden wird auf ausgewählte wissenschaftliche Fort-schritte in den Forschungsprogrammen des IHP hinge-wiesen.

Drahtlose Systeme und AnwendungenIm Rahmen des BMBF-Projektes PreLocate (Präzise Lo-kalisierung und Breitband-Kommunikation im 60-GHz-Band) wurde ein Demonstrator entwickelt, der erstmals eine Datenübertragung im 60-GHz-Band sowie eine Ab-standsmessung gleichzeitig erlaubt. Dabei werden Daten-raten von mehr als 1 Gbps unterstützt und die Genauigkeit der Abstandsmessung beträgt etwa 1,5 cm. Damit sind z.B. Anwendungen im Logistikbereich, wie die Navigation von Gabelstaplern in Lagerhallen möglich oder auch die drahtlose Anbindung von hochauflösenden Rückfahrka-meras von LKW-Anhängern, verbunden mit einer Lagebe-stimmung des Anhängers relativ zur Zugmaschine.

Im Rahmen des DFG-Schwerpunktprogrammes SPP1655 – „100 Gbps and beyond“, wurde durch das Joint Lab mit der Humboldt-Universität zu Berlin das Projekt ma-ximumMIMO eingeworben. In diesem Projekt werden MIMO (Multiple Input, Multiple Output)-Systeme mit 3D-Antennenkonfigurationen entwickelt, die höchste spektrale Effizienz unterstützen und so Datenraten bis zu 100 Gbps erlauben. Darüber hinaus wurden über den

In order to bind highly qualified young scientists to IHP, the collaboration with universities was intensi-fied and further expanded, e.g. with research projects at IHP’s Joint Labs. 19 students finished their theses on research activities of IHP in bachelor, master and diploma studies programs. Furthermore, the Summer School Microelectronics, organized by IHP, offers stu-dents the opportunity to gather information about basics in microelectronics and the latest develop-ments in this field at IHP during their non-lecture period. Additionally, they have the chance to get into a conversation with IHP-Scientists. All in all, IHP welcomed 27 bachelor and master students from all over Germany to its 12th Summer School in Frankfurt (Oder).

Scientific resultsIn the following selected scientific advances in the research programs of IHP will be pointed out.

wireless Systems and applicationsWithin the BMBF-project PreLocate (Precise Localisa-tion and Broadband Communication in 60 GHz Band) a demonstrator was developed and shown, that for the first time allows data transfer in the 60 GHz Band as well as distance measurements simultaneously. Data rates of up to 1 Gbps are supported and the accura-cy of distance measurements is about 1.5 cm. This makes applications in the logistics area possible, like the navigation of lift trucks in warehouses or the wireless connection of high-resolution reversing ca-meras of truck trailers in connection with the deter-mination of the truck trailer location relative to the truck.

Within the DFG priority programme SPP1655 – “100 Gbps and beyond” the project maximumMIMO has been acquired by the Joint Lab with HU Berlin. This project comprises the development of MIMO (Multiple Input, Multiple Output) Systems with 3D-antenna configura-tion that support highest spectral efficiency and allow data rates up to 100 Gbps. Moreover, it was possible to acquire two further projects via the chair of Prof.

d a S J a h r 2 0 1 3 – u p d a t e 2 0 1 3

Page 24: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

23A n n u A l R e p o R t 2 0 1 3

Lehrstuhl von Prof. Kraemer an der BTU Cottbus-Senf-tenberg zwei weitere Projekte im Rahmen des Schwer-punktprogramms SPP1655, Real100Gb.com und End-2-End100 eingeworben. Während im ersten Projekt ein 100 Gbps Übertragungssystem bei 250 GHz und einer Bandbreiteneffizienz > 2 untersucht wird, soll in End-2-End100 untersucht werden, wie diese extrem hohen Datenraten vom Network-Interface-Controller (NIC) in den Anwendungsbereich des Empfängerrechners ge-langen. Dazu wird möglichst viel Intelligenz in die NIC verlagert um den Host-Prozessor nicht mit Protokollar-beit zu belasten. Neben den drei Forschungsprojekten im Rahmen von SPP1655 wurde auch noch das Koor-dinationsprojekt für die Gesamtkoordination im IHP gestartet.

Auf Basis der Ergebnisse des Projektes OMEGA (Home Gigabit Access) wurde ein ZIM (Zentrales Innovati-onsprogramm Mittelstand)-Projekt eingereicht und bewilligt, welches gemeinsam mit der Firma devolo AG durchgeführt wird. Dieses Projekt mit der Bezeichnung AutoConfig2.5 zielt darauf ab, die Installation und Einrichtung heterogener Heimnetze zu vereinfachen und hinsichtlich Robustheit, Datenrate und Energiever-brauch zu optimieren.

Das Projekt UltraSpread konnte eine erste FPGA (Field Programmable Gate Array)-basierte Implementierung eines PSSS 31 Systems zeigen. Das PSSS (Parallel Se-quence Spread Spectrum)-Verfahren erlaubt eine be-sonders robuste Übertragung von Daten. Es wurden erste Prototypen realisiert, die nun auch mit potenti-ellen Kunden getestet werden. Letztlich soll ein Single- Chip-ASIC (anwendungsspezifische integrierte Schal-tung) realisiert werden, das alle Komponenten des Funksystems vereint und sehr kostengünstig produziert werden kann. Dazu wurde eine Vereinbarung zwischen dem IHP, dem IMST und der Dr. Wolf Wireless GmbH ab-geschlossen.

Im ersten Halbjahr 2013 wurden 2 nationale und 3 europäische Projekte im Bereich Sicherheit und Sen-sornetze neu eingeworben. Die nationalen Projekte adressieren vorrangig den Bereich Sicherheit, wobei

Kraemer at BTU Cottbus-Senftenberg, REAL100Gb.com and End-2-End100, within the frame of SPP1655. Whereas the first project is an investigation of a 100 Gbps transmission system at 250 GHz and a band-width efficiency > 2, the project End-2-End100 aims to investigate how these extremely high data rates of the network interface controller (NIC) reach the application area of the receiver’s computer. For that reason, as much intelligence as possible will be shif-ted to the NIC as not to burden the host processor with too much protocol work. Apart from the three research projects within the program SPP1655, the coordination project for the overall coordination at IHP was also started.

Based on the results of project OMEGA (Home Giga-bit Access), a ZIM project (Central Innovation Pro-gram SME), which will be carried out together with the company devolo AG, was submitted and appro-ved. This project, named AutoConfig2.5 intends to simplify the installation and setup of heterogeneous home area networks and to optimize them in terms of robustness, data rate and energy consumption.

The project UltraSpread was able to show a first FPGA (Field Programmable Gate Array) based implementa-tion of a PSSS31 system. The PSSS (Parallel Sequence Spread Spectrum) procedure allows a particularly ro-bust data transmission. First prototypes were realized and will be tested with prospective clients. The ulti-mate goal is the realization of a single chip ASIC (Ap-plication Specific Integrated Circuit) that unites all components of the sensor network and can be cost-effectively produced. In this respect an agreement between IHP, IMST and Dr. Wolf Wireless GmbH has been signed.

During the first half of 2013 two national and three European projects have been newly acquired both in the area of security and the area sensor networks. The national projects are primarily focused on security,

d a S J a h r 2 0 1 3 – u p d a t e 2 0 1 3

Page 25: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

24 A n n u A l R e p o R t 2 0 1 3

das Projekt UNICOPS auf die Sicherung des korrekten Systemverhaltens im Betrieb zielt, während die Nach-wuchsforschergruppe MaSch zum Ziel hat, Methoden für den Entwurf manipulationssicherer Schaltkreise zu untersuchen. In dieser Nachwuchsforschergruppe ist ins-besondere die direkte Kooperation der Sensornetzgruppe mit der Abteilung Materialforschung hervorzuheben.

Die EU-Projekte SMARTIE und e-Balance adressieren den Bereich Smart-Cities bzw. Smart-Home und wer-den vom IHP koordiniert. Das Projekt SAID untersucht den Einsatz von Sensornetzen zur Verbesserung von Wassermanagementsystemen und passt somit sehr gut zu dem bereits laufenden Projekt Sens4U. In Sens4U konnte ein erster Demonstrator aufgebaut werden und in einem Rekultivierungsgebiet des Lausitzer Braun-kohletagebaus getestet werden.

Im Projekt ESCI wurde eine Architektur zum Schutz von Industrieanlagen entwickelt, die erfolgreich auf der Hannover-Messe präsentiert werden konnte. Hierzu ge-hören ein Topologie-Editor und ein Expertensystem zur Erkennung von Abweichungen im Systemverhalten.

Im Bereich der zuverlässigen und fehlertoleranten ASIC-Design-Methoden wurden folgende zwei neue Pro-jekte eingeworben. Das IC-NAO-Projekt zielt auf die Entwicklung innovativer Methoden zur Reduzierung des Schaltrauschens digitaler Schaltungen ab. Dazu greift das Projekt die im IHP entwickelte Low-Noise Design-Methodik auf, welche eine Reduzierung des Rauschens um mehr als 20 dB erreichen kann, wie es bereits in den EU-Projekten GALAXy und SUCCESS demonstriert wurde. Dieses Projekt wird vom renommierten Eurostars-Pro-gramm finanziert, wobei gemeinsam mit europäischen (deutschen und dänischen) KMUs CAD-Werkzeuge zur Minimierung des Schaltrauschens in synchronen digi-talen Schaltungen entwickelt werden sollen.

Darüber hinaus wurde gemeinsam mit deutschen In-dustriepartnern im Rahmen einer Finanzierung durch die DLR mit der Entwicklung eines ASIC-Chips für Sa-tellitenanwendungen begonnen. Dieser Chip verfügt über ein LEON-basiertes Mikroprozessorsystem mit Di-

while the project UNICOPS aims at the security of the practical system behavior at work. The research group MaSch is targeting the investigation of methods for the design of tamper-resistant circuits. Worthy of mention is the direct cooperation between the sen-sor network group and the department Materials Re-search.

The EU projects SMARTIE and e-Balance address the field of Smart Cities or Smart Home and are coordi-nated by IHP. The project SAID investigates the use of sensor networks for the improvement of water ma-nagement systems and therefore ideally fits the al-ready running project Sens4U. A first demonstrator was built and tested in a recultivation area of the Lausitz brown coal open-pit mining.

Architecture for the security of industrial plants has been developed in the project ESCI and has been suc-cessfully shown at the Hannover Messe. This includes a topology editor and an expert system to identify deviations of system behavior.

In the field of reliable and error-tolerant ASIC design-methods two new projects have been acquired. The project IC-NAO aims at the development of innova-tive methods to reduce the switching noise of digital circuits. The project uses the low-noise-methodology developed by IHP, which reaches a noise-reduction of more than 20 dB as it has already been demons-trated in the EU projects GALAXY and SUCCESS. This project is funded by the reputed eurostars program, while CAD-tools to minimize the switching noise in synchronous digital circuits will be developed toge-ther with European (German and Danish) SMEs.

Moreover, the development of an ASIC chip for satel-lite application has been started together with Ger-man industrial partners within the frame of an exter-nal DLR-funding. The ASIC implements a LEON-based microprocessor system with digital and mixed-signal

d a S J a h r 2 0 1 3 – u p d a t e 2 0 1 3

Page 26: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

25A n n u A l R e p o R t 2 0 1 3

gital- und Mixed-Signal-Schnittstellen. Der Chip soll als Remote Terminal Unit (RTU) verwendet werden und ver-bindet Plattform-Computer mit Sensoren und Aktoren.

Im EU-Projekt VHiSSi wird die Implementierung von strahlungsresistenten Mikrochips für die Raumfahrt zusammen mit einem internationalen Konsortium er-forscht. Bislang konnten bereits erste strahlungshar-te „Very High Speed Serial Interface“-Chips gefertigt werden, welche viel versprechende Ergebnisse lieferten. Ferner sollen weitere Chips in der IHP-Technologie um-gesetzt und getestet werden.

Zwei weitere DFG-Projekte MOTARO und GASEBO konn-ten erfolgreich über den Lehrstuhl von Prof. Kraemer eingeworben werden. Während in MOTARO auf der Basis der IHP Flash-Technologie Untersuchungen zur Verbes-serung der FIT (Failure in Time)-Werte und zum Manage-ment von nichtflüchtigen Speichern durchführt werden, werden in GASEBO-Untersuchungen zur Auswirkung von GALS-Design auf das Substratrauschen durchgeführt. Beide Projekte werden in enger Zusammenarbeit mit der Abteilung Technologie bzw. der Materialforschung durchgeführt. MOTARO-Ansätze können darüber hinaus als Basis für die in Entwicklung befindlichen RRAMs (Resistive Random Access Memories) dienen.

In 2013 konnten 4 Promotionen erfolgreich abge-schlossen werden. Zwei davon wurde mit dem Prädikat „Summa Cum Laude“ bewertet.

Hochfrequenz-SchaltkreiseWeiterhin wird an Sende- und Empfangsschaltungen für die Datenkommunikation mit sehr hohen Datenra-ten gearbeitet. Für 60-GHz-WLAN-Anwendungen wur-de erstmalig ein 8-Port-Beamforming-Transceiver in 0,13-µm-SiGe-BiCMOS-Technologie entwickelt und ge-fertigt. Erste Messungen bestätigen die erwartete Funk-tionalität des Chips. Weitere ausführliche Messungen sowie der Aufbau von Demonstrationsplatinen zum Test des kompletten Systems sind die nächsten Schritte.

Im Frequenzbereich um 245 GHz konnte die MMIC (integrierte Millimeterwellen-Schaltungen)-Entwick-

interfaces. The chip shall be used as a Remote Termi-nal Unit (RTU) and connects platform computers with sensors and actuators.

Together with an international consortium the pro-ject VHiSSi examines the implementation of radiati-on-tolerant microchips for aerospace. Up to now, first radiation-tolerant “Very High Speed Serial Interface” chips with promising results have been produced. Furthermore, additional chips in IHP-Technology are to be realized and tested.

MOTARO and GASEBO, two further DFG-projects, have successfully been acquired by the chair of Prof. Krae-mer. Based on IHP-technology, the project MOTARO will investigate the increase of FIT (Failure in Time) values and the management of non-volatile memo-ries, whereas GASEBO aims to research the impact of GALS design on the substrate noise. Both projects will be executed in close cooperation between the departments technology and materials research. MOTARO approaches can further be used as a base for RRAMs (Resistive Random Access Memories) under development.

In 2013, four PhD theses were finished successfully. Two of these were passed with summa cum laude.

rF CircuitsIHP continues to work on transceiver circuits for data communication with high data rates. An 8-Port beamforming transceiver in 0.13 µm SiGe BiCMOS technology has been developed and produced for 60 GHz WLAN applications for the first time. First measurements confirm the chip’s expected function-ality. Next steps are detailed measurements as well as the design of demonstration boards for testing of the complete system.

The MMIC (Millimeter Wave Integrated Circuits) deve-lopment has made sufficient progress in the frequen-

d a S J a h r 2 0 1 3 – u p d a t e 2 0 1 3

Page 27: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

26 A n n u A l R e p o R t 2 0 1 3

lung so weit vorangetrieben werden, dass erstmals eine spektroskopische Gasanalyse von zwei verschiedenen Stoffen in einem Versuchsaufbau demonstriert werden konnte. Die Ergebnisse sind sehr ermutigend und las-sen auf eine große Anwendungsbreite hoffen. Die näch-sten Entwicklungsschritte dienen der systematischen Miniaturisierung des Systems.

Im Frequenzbereich oberhalb von 100 GHz wird wei-terhin der Einsatz von On-Chip-Antennen konsequent verfolgt. Diese werden den Integrationsgrad der Schal-tungen deutlich erhöhen und den Aufbau kompletter Systeme signifikant vereinfachen. Auch hier wird der Trend zu Antennen-Arrays verfolgt. Die Designmethodik soll in Richtung Antennen-Elektronik-Co-Design weiter-entwickelt werden.

Die Entwicklung von Millimeterwellen-Schaltungen für die Nahfeld-Sensorik zur Stoffanalyse wird weiter vorangetrieben. Es konnten erste Ergebnisse in ver-schiedenen Frequenzbereichen veröffentlicht werden. Zukünftig sollen die evaluierten Konzepte auf konkrete Anwendungen übertragen werden. Dabei ist vorgesehen auch technologische Möglichkeiten der On-Chip-Mikro-fluidik einzubeziehen.

In der Kategorie Breitband- und Mischsignal-Schal-tungen geht ein Trend weiter in Richtung strahlungshar-ter Schaltungen und Systeme für Missionen außerhalb des erdnahen Raumes. Mehrere Schaltkreisentwurfspro-jekte laufen in diesem Feld.

Schwerpunkt der Arbeit dieser Gruppe bleiben aber die auf Silizium-Technologie basierten Empfänger- und Trei-ber-Schaltungen für Glasfaser-Übertragungssysteme mit dem Fokus auf höchste Datenraten (≥56 Gbps pro optischen Link). Im Rahmen des SASER (Safe and Se-cure European Routing)-Projektes werden spezielle Schaltungen für segmentierte optische Modulatoren entwickelt, die langfristig Vorteile bei der Energieeffi-zienz solcher Systeme versprechen. Weiterhin werden in enger Zusammenarbeit mit der Technologieentwicklung optisch-elektronische Co-Designs vorangetrieben. Eine erste Schaltung konnte bereits veröffentlicht werden.

cy range of 245 GHz to demonstrate a spectroscopic gas analysis of two different materials in a test setup for the first time. The results were very encouraging and give reason to hope for a large range of appli-cations. The next steps will serve to miniaturize the system systematically.

The use of on-chip-antennas in the frequency range above 100 GHz will be further pursued. These anten-nas will significantly increase the circuit‘s degree of integration and simplify the design of complete systems. Here, too, the trend towards antenna arrays will be followed up. The design methodology shall be developed in the direction of antenna electronics co-design.

The development of millimeter-wave-RF circuits for short-range sensors for material analysis will be fur-ther promoted. First results in different frequency ranges have already been published. For the future, the evaluated concepts shall be applied to specific applications. This will also include technological op-portunities for on-chip microfluidics.

For broadband- and mixed-signal-circuits there is a trend towards radiation hard circuits and systems for missions beyond low earth orbit space. Several circuit design projects are running in this field of research.

The main research focus of this group still concen-trates on silicon-technology based transmitter and driver circuits and on fiber optic transmission sys-tems with the focus on highest data rates (≥ 56 Gbps per optical link). In the context of the project SASER (Safe and Secure European Routing), special circuits for segmented optical modulators are developed, which promise long-term benefits of energy effici-ency in these systems. Furthermore, optoelectronic co-designs are pushed forwards in close cooperation with the technology department. A first circuit has already been published.

d a S J a h r 2 0 1 3 – u p d a t e 2 0 1 3

Page 28: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

27A n n u A l R e p o R t 2 0 1 3

In diesem Zusammenhang werden auch weiterhin Schaltungen für die Radio-over-Fiber-Technik entwi-ckelt. Nach der Entwicklung des Klasse-S-Leistungs-verstärkers liegt jetzt der Schwerpunkt beim Entwurf der Empfänger-Eingangsschaltung (Transimpedanz-Verstärker).

Auf dem Gebiet der UWB-Technik wird die erfolgreiche Entwicklung eines Single-Chip-Transceivers (konform zum Standard IEEE 802.15.4a) weiter fortgeführt. Die nächste Generation dieses Chips kann nun 4 Kanäle ad-ressieren und verschiedene Datenraten bis 27 MBps be-dienen. Die Power-Management Fähigkeiten des Chips wurden weiter verfeinert, um die Batterie-Lebensdauer in drahtlosen Sensornetzwerken weiter zu erhöhen.

Für den Einsatz in sogenannten WakeUp-Empfängern werden HF-Schaltungen mit extrem niedrigem Leis-tungsverbrauch entwickelt. Nachdem verschiedene Kernschaltungen im Prinzip demonstriert werden konn-ten, geht es nun darum, die Robustheit weiter zu er-höhen. Die Empfängerkonzepte werden bezüglich des Einsatzes passiver Bauelemente mit sehr hoher Güte und von bei niedrigen Arbeitspunkten betriebenen SiGe-HBTs weiter verfeinert. Technologieplattform für drahtlose und Breitband-kommunikationDie Forschungsarbeiten in der Technologie verfolgen eine „More-than-Moore“-Strategie, d.h. es werden Module mit zusätzlicher Funktionalität in die BiCMOS-Technologien integriert. Schwerpunkte der Arbeiten sind THz-Bauelemente, integrierte MEMS (Micro-Elec-tro-Mechanical Systems) für Anwendungen bei höchs-ten Frequenzen und die Verbindung von Photonik und Elektronik (Siliziumphotonik).

Die Forschung zu THz Bauelementen erfolgt im EU-Pro-jekt DOTSEVEN mit der Zielstellung, HBTs mit 0,7 THz zu entwickeln. Die Arbeiten laufen planmäßig. Sie wer-den durch eine Promotionsarbeit, die im Rahmen einer Helmholtz-Doktorandenschule über die TU Berlin einge-worben werden konnte, und ein 2014 beginnendes DFG- Projekt (gemeinsam mit der TU Dresden) unterstützt.

In this regard, the development of circuits for Radio-over-Fiber technique will continue. After the de-velopment of class-S power amplifiers, the focus is currently on the design of a receiver-input-circuit (transimpedance amplifier).

In the field of UWB-technique the successful deve-lopment of a single-chip-transceiver (conform to standard IEEE 802.15.4a) will be continued. The next generation of this chip is now able to address four channels and to support different data rates up to 27 MBps. The chip‘s power management skills have been further refined to increase battery lifetime in wireless sensor networks.

RF circuits with extremely low power consumption are developed for the use in so-called “wake-up-re-ceivers”. After the principal feasibility of different core circuits has been demonstrated it is now the aim to increase the robustness. Regarding the use of very high quality passive components and of SiGe HBTs operated at low operating points, the receiver con-cepts will be further refined.

technology platform for wireless and Broadband CommunicationResearch in technology pursues a “More-than-Moore” strategy, i.e. modules with additional functionali-ty are integrated in BiCMOS technologies. Work is focused on THz devices, integrated MEMS (Micro-Electro-Mechanical Systems) for applications at high frequencies and combining photonics and electronics (Si Photonics).

Research on THz components is conducted in the con-text of the EU project DOTSEVEN that pursues the de-velopment of HBTs with 0.7 THz. Work is on schedule. The project is supported by a PhD thesis, acquired within the Helmholtz Graduate School via TU Berlin, and a DFG project that starts in 2014 in cooperation with TU Dresden.

d a S J a h r 2 0 1 3 – u p d a t e 2 0 1 3

Page 29: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

28 A n n u A l R e p o R t 2 0 1 3

d a S J a h r 2 0 1 3 – u p d a t e 2 0 1 3

Im Rahmen der Zusammenarbeit mit der Gruppe von John Cressler (Georgia Institute of Technology) konn-te ein neuer Geschwindigkeitsrekord für Si-basierte Bauelemente gemessen bei tiefen Temperaturen de-monstriert werden. An IHP-SiGe-HBTs wurden bei 4,3 K 798 GHz gemessen.

Die Arbeiten zum Thema MEMS Integration in eine BiCMOS-Technologie laufen innerhalb der EU-Projekte FLEXWIN (Flexible Microsystem Technology for Micro-and Millimetre-Wave Antenna Arrays with Intelligent Pixels) und Nanotec (Nanostructured materials and RFMEMS RFIC / MMIC technologies for highly adaptive and reliable RF systems). Die Projektziele wurden plan-mäßig erreicht. Zum Thema MEMS wurde vom IHP zu-sammen mit dem ENAS und der TU Berlin im Juli 2013 in Potsdam die internationale Konferenz „MEMSWAVE“ sehr erfolgreich organisiert. Diese wichtige Fachtagung wurde dazu genutzt, die schon sehr guten internationa-len Kooperationsbeziehungen weiter auszubauen und damit die internationale Sichtbarkeit des IHP weiter zu erhöhen.

Der Forschungsschwerpunkt Siliziumphotonik hat sich im Berichtszeitraum sowohl hinsichtlich der erreichten wissenschaftlichen Ergebnisse als auch der eingewor-benen Förderprojekte weiterhin außerordentlich positiv entwickelt. Zusätzlich zu den schon laufenden Projekten GALACTICO (Blending Various Photonics and Electronics on Silicon for Integrated and Fully Functional Coherent Tb Ethernet), ESSENTIAL (Epixfab services specifically targeting (SME) industrial take-up of advanced silicon photonics), RF2THzSiSoC, SILIMOD (Ultra Small High-Speed Modulator for Photonic-Integrated-Circuits), MINIMUM (Miniaturized Multi-Sensor Platform for Fast Label-Free Optical Detection Molecule) und dem DFG-Sonderforschungsbereich 787 (über die TU Ber-lin) konnten die Projekte MOSAIC (Monolithic, electro-optical co-integration for the realization of high end sampler ASICs), PHOIBOS (Photonische Wirebonds für optische Multi-Chip-Systeme), SASER (Safe and Secure European Routing) und MERMIG (Modular CMOS Photo-nic Integrated Micro-Gyroscope) eingeworben und ge-startet werden. Als technisches Highlight soll erwähnt

As part of the research collaboration with the group of John Cressler (Georgia Institute of Technology), it was possible to demonstrate a new speed record for Si based components, achieved at extremely low tem-peratures. 798 GHz were measured on IHP SiGe HBTs at 4.3 K.

Work on integration of MEMS components in BiCMOS technology are running within the EU projects FLEX-WIN (Flexible Microsystem Technology for Micro- and Millimetre-Wave Antenna Arrays with Intelligent Pi-xels) and Nanotec (Nanostructured materials and RF-MEMS RFIC / MMIC technologies for highly adap-tive and reliable RF systems). The project goals were achieved as scheduled. Concerning MEMS, there was the very successful international conference “MEMS-WAVE” in July 2013 in Potsdam, which was jointly or-ganized by IHP, ENAS and TU Berlin. This important specialist conference was used to expand existing international cooperation and to enhance IHP‘s in-ternational visibility.

The research focus Si Photonics has, within the re-porting period, witnessed significant further deve-lopment, both in terms of achieved scientific results and in terms of funded projects. In addition to al-ready running projects, like HELIOS (EU), GALACTICO (EU), ESSENTIAL (EU), F2THzSiSoC (BMBF within the project Catrene), SILIMOD (BMBF), MINIMUM (ILB) and SFB 787 (DFG via TU Berlin), the projects MOSAIC (BMBF), PHOIBOS (BMBF), SASER (BMBF) and MER-MIG (EU) were acquired and started successfully. A technical highlight which should be mentioned is the demonstration of photonic circuits combining opti-cal and electronic devices, realized in the photonic BiCMOS technology which is recently under develop-ment.

Page 30: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

29A n n u A l R e p o R t 2 0 1 3

d a S J a h r 2 0 1 3 – u p d a t e 2 0 1 3

werden, dass erstmalig mit der sich in Entwicklung befindenden photonischen BiCMOS-Technologie Schalt-kreise demonstriert werden konnten, die optische und elektronische Komponenten kombinieren. Innerhalb des MPW-Services werden die entwickelten Technolo-gien Partnern und Kunden zur Verfügung gestellt. 2013 wurden vier 0,25 µm und drei 0,13 µm BiCMOS-Techno-logieshuttle gestartet. Dieser Service trägt signifikant zu den Drittmitteleinnahmen der Technologie bei und ist Basis für nationale und internationale Forschungsko-operation in geförderten Projekten.

Materialien für die Mikro- und NanoelektronikDie erkundende Materialforschungsgruppe („Explo-ratory Materials Research“) konzentriert ihre Arbeit auf innovative graphenbasierte Bauelemente für die künftige Hochfrequenz-Silizium-Mikroelektronik. Eine theoretische Simulationsarbeit wurde im Jahre 2012 in der Zeitschrift „IEEE Electron Device Letters“ veröf-fentlicht und belegt das hohe Potential des vom IHP patentierten „Graphene Base Transistor (GBT)“-Kon-zepts für Terahertz-Anwendungen. Erste experimentel-le Messergebnisse wurden gemeinsam mit europäischen Partnern in dem „high impact“ Journal „Nano Letter“ in 2013 veröffentlicht. Der GBT besitzt somit ein ho-hes Innovationspotential und bildet die Grundlage für ein erfolgreich eingeworbenes EU-STREP-Projekt, das gemeinsam mit Infineon und weiteren akademischen Partnern aus Europa das Potential dieses Bauteils in der Praxis erforscht.

Ein zentraler Prozessschritt bei der Etablierung von Graphen in der Silizium-Mikroelektronik ist das selek-tive Graphen-Wachstum hoher Qualität auf Isolatoren. Zu dieser Thematik wurde erfolgreich ein BMWi-Projekt gemeinsam mit der Firma Sentech aus Berlin sowie ein DFG-Projekt mit der TU Dresden zur Graphen / Oxide Wechselwirkung eingeworben; ferner bestehen enge Forschungskooperationen mit Partnern in der Region (wie z.B. dem Gemeinsamen Labor IHP / TH Wildau). In Zusammenarbeit mit der University of California Los Angeles (UCLA) aus den USA werden mit Hilfe von Nanostrukturen auf Silizium Möglichkeiten untersucht, Graphen in Kombination mit plasmonischen Effekten

The developed technologies are offered to partners and customers through the MPW service. In 2013 four 0.25 µm and three 0.13 µm BiCMOS technolo-gy shuttles were processed. This service contributes significantly to the third party funding of the tech-nology and is the basis for national and international research collaboration in funded projects.

Materials for Micro- and nanoelectronics The exploratory materials research group concentra-tes its work on innovative graphene-based devices for future high-frequency silicon microelectronics. A theoretical simulation work was published in 2012 in the journal “IEEE Electron Device Letters”, and shows the high potential of the IHP patented “Graphene base transistor” (GBT) concept for terahertz applica-tions. In 2013, together with European partners, first experimental measurement results were published in the “high impact” journal “Nano Letter”. The GBT has a high innovation potential and is the basis for the successfully acquired EU STREP project, which will research the potential of this device in practice together with Infineon and other academic partners in Europe.

A key process step for the establishment of graphene in silicon microelectronics is the selective growth of high quality graphene on insulators. On this issue both a BMWi project with the company Sentech from Berlin, and a DFG project with TU Dresden concer-ning graphene-oxide interaction were successfully acquired. There is also close research cooperation with regional partners such as Joint Lab IHP / TUAS Wildau. In collaboration with the University of Cali-fornia, Los Angeles (UCLA) in the U.S.A., options are examined with the help of nanostructures on silicon, to use graphene in combination with plasmonic ef-fects for sensor technology with biomolecules. Two

Page 31: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

30 A n n u A l R e p o R t 2 0 1 3

für die Biomolekülsensorik zu nutzen. Zwei Artikel in den „high-impact“ Journalen „ACS Nano“ und „Advan-ced Materials“ konnten publiziert werden. Die „Front-End-of-Line“ (FEOL)-Gruppe untersucht die Integration alternativer Halbleiter auf der Silizi-um-Plattform zur Leistungssteigerung bzw. Funkti-onserweiterung. Im Bereich der Photonik arbeitet die IHP-Materialforschung gemeinsam mit der Abteilung Technologie in der SiGe-Forschung an verspannten Germanium-Bauteilen, denen ein hohes Potential als Si-CMOS-kompatible Laserquellen zugeordnet wird. Die Verspannung wird am IHP mittels mikromechanischer Brückenstrukturen aufgebaut, um einen Si-CMOS-kom-patiblen Prozessfluss zu erarbeiten. Gemeinsam mit dem Weierstraß-Institut für Angewandte Analysis und Stochastik (WIAS) in Berlin werden numerische 3D-Simulationen für die Optimierung des Laser-Designs erstellt, um die technologische Integration anzuleiten.

Gemeinsam mit der Siltronic AG hat das IHP einen flex-iblen Oxidpufferansatz zur Integration einkristalliner Galliumnitridschichten auf Si(111) patentiert. Ein ge-meinsamer Forschungsvertrag für die Jahre 2013-2015 wurde unterzeichnet. Die Zusammenarbeit wird darin auf strukturierte Waferansätze zur GaN-Integration ausgeweitet. Die Firma LayTec aus Berlin, ein Welt-marktführer auf dem Gebiet der in-situ-GaN-Wachs-tumsmetrologie, erprobt gemeinsam mit dem IHP die in-situ 3D-Metrologie zur Optimierung komplexer 3D-Nanostrukturen auf Silizium-Wafern und ein BMBF-Pro-jekt ist im Bereich „Photonische Prozesstechnologie“ eingereicht. Ein Marie-Curie-Projekt zu GaN-basierten UV-Detektoren auf Si als Demonstrator sowie ein Ale-xander von Humboldt-Fellowship Projekt zu Oxidpuf-fern auf Si wurden in 2013 erfolgreich abgeschlossen. Darüber hinaus arbeitet eine Gruppe seit vielen Jahren erfolgreich im Rahmen des „Future Silicon“-Projektes gemeinsam mit der Siltronic AG an der Silizium-Wafer-entwicklung; eine Promotionsarbeit wurde in 2013 er-folgreich abgeschlossen.

scientific articles in the “high impact” journals “ACS Nano” and “Advanced Materials” were published.

The “front-end-of-line” (FEOL) group investigates the integration of alternative semiconductors on the si-licon platform to improve performance or to add new features. In photonics the IHP materials research works together with the technology department in the SiGe research on strained Ge-devices, which have a high potential as a Si-CMOS-compatible light sour-ce. At IHP the tension is built up by micromechanical bridge structures to develop a Si-CMOS-compatible process flow. Numerical 3D simulations for the opti-mization of the laser-design are developed together with the Weierstraß Institute for Applied Analysis and Stochastics (WIAS) from Berlin, to guide the techno-logical integration.

Together with Siltronic, IHP has patented a flexible oxide buffer approach for the integration of single-crystal gallium nitride on Si (111). A research con-tract for the years 2013-2015 was signed. The co-operation is extended to structured wafer approaches for the integration of GaN wafers. The company Lay-Tec from Berlin, which is a worldwide market leader in the field of in-situ GaN growth metrology, tests together with IHP the in-situ 3D metrology for op-timization of complex 3D nanostructures on silicon wafers. Furthermore, a BMBF project in the area of “photonic process technology” has been submitted. A Marie Curie project on GaN-based UV detector on Si as a demonstrator and an Alexander von Humboldt Fellowship project concerning oxide puffer on Si have been successfully completed in 2013. Moreover, within the project “Future Silicon” a research group is working successfully together with Siltronic since years on silicon-wafer development; a PhD thesis has been successfully completed in 2013.

d a S J a h r 2 0 1 3 – u p d a t e 2 0 1 3

Page 32: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

31A n n u A l R e p o R t 2 0 1 3

Die „Back-End-of-Line“ (BEOL)-Gruppe arbeitet inten-siv im Sinne der „More than Moore“-Strategie des IHP an der Modulintegration in die Si-BiCMOS-Technologie. Einen Schwerpunkt bilden sogenannte „Embedded Nonvolatile Memory“ (e-NVM)-Module in der BiCMOS-Technologie des IHP für künftige Sensornetzanwen-dungen. Der sogenannte RRAM (Resistive Random Access Memories)-Speicheransatz des IHP beruht auf HfO

2-basierten Metall-Isolator-Metall-Speicherzellen, deren Widerstand durch elektrische Impulse reversibel schaltbar ist. Im September 2012 startete gemeinsam mit der TU Darmstadt ein DFG-Projekt und im Febru-ar 2014 ein Alexander von Humboldt - Forschungssti-pendium für Dr. Pauline Calka, um die Materialphysik des reversiblen Schaltens im Detail zu verstehen und damit zu optimieren. Darüber hinaus befindet sich der Aufbau einer Nachwuchsgruppe im Rahmen des BMBF- NanoMatFutur-Verfahrens 2014 in der zweiten und fi-nalen Runde der Begutachtung. Zur Förderung der stets kostenintensiven Technologie-Integration wurde er-folgreich mit europäischen und nationalen Indus-trie- und Forschungspartnern ein ENIAC-Förderprojekt eingeworben, das im Juli 2014 startet. Wichtige technologische Fortschritte bei der statistischen elek-trischen Bewertung von komplett am IHP gefertigten 4-kbit-RRAM-Arrays wurden gemeinsam mit der Univer-sity of Ferrara (Italien) erzielt.

Im Rahmen der vom Land Brandenburg geförderten biomedizinischen Projekte gelang die BEOL-Integrati-on von Aluminiumnitrid (AlN)-Schichten, die aufgrund der hohen akustischen Geschwindigkeit von hohem Interesse für „Surface Acoustic Wave (SAW)“-Sensoren sind. Komplette SAW-Bauteile für Frequenzen von etwa 4 GHz konnten in einen konventionellen Si-CMOS-Pro-zess integriert werden. Derartige Bauelemente werden häufig zur Messung von Druck und Temperatur oder für mikrofluidische Anwendungen benötigt. Zurzeit werden ferner spezifische Oberflächenfunktionalisierungen für die Biomolekülsensorik erarbeitet, die die Grundlage für weitere SAW-Projekte bilden werden.

The “back-end-of-line” (BEOL) group is working in the sense of the “More than Moore” strategy of IHP on the integration of modules into the Si BiCMOS technology. One focus is on so-called “embedded-non-volatile memory” (e-NVM) modules in the IHP BiCMOS technology for future sensor network appli-cations. The so-called RRAM approach of the IHP is based on HfO

2-based metal-insulator-metal memory cells whose resistance is reversibly switchable by electric pulses. In September 2012, together with the Technical University of Darmstadt, a new DFG pro-ject was started and in February 2014 an Alexander von Humboldt-Fellowship will start for Dr. Pauline Calka, to achieve a deep understanding of the mate-rials physics of reversible switching and to optimize it. Furthermore, the development of a research group in the frame of BMBF NanoMatFutur procedure 2014 is in the second and final round of review. For the promotion of the always cost-intensive technology-integration, a ENIAC-research project has been suc-cessfully acquired with European and national indus-trial and research partners, which starts in July 2014. Important technological improvements of statistical electrical evaluation of 4 kbit RRAM Arrays, complete-ly manufactured at IHP, were reached in cooperation with the University of Ferrara (Italy).

As part of the federal state of Brandenburg funded biomedical projects, the BEOL integration of alumi-num nitride (AlN)-layers was successful, which is of highest interest for “surface acoustic wave“ (SAW) sensors due to the high acoustic velocity. Complete SAW devices for frequencies of about 4 GHz were in-tegrated into a conventional silicon CMOS process. Such devices are often required for the measurement of pressure and temperature, or for microfluidic appli-cations. Currently, further specific surface functio- nalization for biomolecule sensors are being develo-ped, which will form the basis for further SAW pro-jects.

d a S J a h r 2 0 1 3 – u p d a t e 2 0 1 3

Page 33: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

32 A n n u A l R e p o R t 2 0 1 3

Selected Projects

Page 34: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

33A n n u A l R e p o R t 2 0 1 3

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

PreLocate – Präzise Lokalisierung und Breitband-Kommunikation im 60-GHz-Band

Wesentliches Ziel des PreLocate-Projektes ist es, Kom-munikationssysteme im 60-GHz-Band um eine Ab-standsmessung und Lokalisierung für mobile Terminals zu erweitern. In diesem, vom BMBF geförderten Projekt, arbeitet das IHP gemeinsam mit der Firma InnoSenT GmbH und dem Institut für Informatik der Humboldt-Universität zu Berlin.

Da die Anforderungen an die Datenrate für drahtlose Netze (WLAN) immer höher werden, müssen neben den bekannten Frequenzbändern bei 2,4 GHz und 5 GHz neue Frequenzbereiche genutzt werden. Insbesondere das 60-GHz-Band bietet für zukünftige Multi-Gigabit-Systeme ein enormes Potential. In diesem Frequenz-bereich ergibt sich die Möglichkeit, drahtlose Kommu-nikation mit höchsten Datenraten und eine präzise zentimetergenaue Lokalisierung zu verbinden. Darüber hinaus wird auch die Möglichkeit geschaffen, zukünf-tige integrierte 60-GHz-HF-Frontends für reine Lokali-sierungsaufgaben einzusetzen. Diese Baugruppen kön-nen dann auch als aktive oder passive Radarsysteme im 60-GHz-Band arbeiten. Durch den Einsatz von Beam-forming in diesem Frequenzband kann mit Hilfe von Patcharrayantennen der Raumwinkel von mobilen Ter-minals ermittelt werden. Damit ist eine präzise Lokali-sierung im Raum mit minimaler Infrastruktur möglich.

PreLocate – Precise Localization and Broadband Wireless Communication in the 60 GHz Band

The main objective of the PreLocate Project is to ex-tend 60 GHz high data rate communication systems with localization and ranging capabilities for mobile terminals. In the project, IHP works together with the company InnoSenT GmbH and the Institute of Com-puter Science at Humboldt-University Berlin.

Since the requirements for data rates in WLAN net-works are continuously increasing, besides the known frequency bands around 2.4 GHz and 5 GHz, new frequency bands have to be used. In particular, the 60 GHz band has enormous potential for future multi-Gigabit communication systems. Furthermo-re, in this frequency band, it is possible to combine high data rate wireless communication with precise localization exact to the centimeter. It is also con-ceivable to develop specific 60 GHz tags which are dedicated for localization only. These units can also work as active or even passive radar systems. Using beamforming technology in this frequency band, it is possible to determine the angle of mobile terminals from an access point. In this way, precise localization of mobile terminals can be facilitated with very little infrastructure.

Abb. 1: Blockdiagramm des PreLocate 60-GHz-Demonstrators.Fig. 1: Block diagram of the PreLocate 60 GHz demonstrator.

Page 35: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

34 A n n u A l R e p o R t 2 0 1 3

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Mitte 2013 wurde am IHP erstmals eine Datenübertra-gung mit einer Datenrate von > 1 Gbps mit gleichzeitiger Abstandsmessung mit einer Genauigkeit im Bereich von 1 cm demonstriert. Im Demonstrator-Setup, dargestellt in Abb. 2, wird ein hochaufgelöstes Kamerabild über-tragen und gleichzeitig ein Rangingverfahren effizient kombiniert. Die Datenratenverringerung aufgrund der Rangingintervalle beträgt weniger als 1%. Die gesamte Basisbandverarbeitung ist auf einer leistungsfähigen FPGA-Plattform implementiert.

Abb. 3 zeigt die Ergebnisse der Abstandsmessung im Vergleich zum tatsächlichen Abstand, sowie die Stan-dardabweichung der Messungen. Aus der Literatur ist uns kein System mit vergleichbarer Leistungsfähigkeit bekannt.

Mid-2013, a high data rate wireless communication with a data rate > 1 Gbps combined with a simulta-neous ranging with an accuracy of about 1 cm was demonstrated at the IHP for the first time. In the de-monstrator shown in Fig. 2, the transmission of a high resolution camera stream is efficiently combined with a ranging algorithm. The reduction of the data rate due to the ranging process is less than 1%. The complete baseband processor including ranging is implemented on a high performance FPGA platform.

Fig. 3 shows the results of the distance measure-ments plotted against the real distance. Furthermore the standard deviation of the distance measurements is shown. In the literature, there is currently no sys-tem reported that shows similar performance.

Abb. 3: Abstandsmessung Vergleich Ranging-Messergebnis mit realem Abstand. Fig. 3: Distance measurements plotted against real distance.

Abb. 2: Aufbau des Demonstrators. Fig. 2: Demonstrator Setup.

Page 36: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

35A n n u A l R e p o R t 2 0 1 3

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t Sa u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Secure Wake-Up für drahtlose Sensorknoten

Drahtlose Sensorknoten bilden Funknetzwerke, welche unter anderem zum Sammeln von Umweltinformation in diversen Anwendungsgebieten eingesetzt werden. So untersucht Airbus z.B. Möglichkeiten des Einsatzes von drahtlosen Sensorknoten zur Steigerung der Wartbarkeit von Flugzeugen. Beispielsweise sollen Stöße, die durch Fahrgasttreppen bzw. -brücken verursacht werden, von Sensorknoten erkannt werden (Abb. 4). Die Knoten wer-den in der Außenhaut integriert und durch ein Energy-Harvesting-Modul versorgt. Die gesammelten Informati-onen erlauben eine stetige Überwachung des Zustandes der CFK-Außenhaut und vermeiden damit kostenintensive Untersuchungen. Die Lösung dieser Aufgabe benötigt vorgeschaltete „Wake-up“-Empfänger, welche die rele-vanten Sicherheitsanforderungen in der Luftfahrt er-füllen. Im Projekt AETERNITAS werden solche Systeme entwickelt, wobei ein Schwerpunkt des IHP auf den Sicherheitsaspekten liegt.

In nahezu allen Anwendungsfällen ist eine Reduzierung des Energieverbrauchs der Sensorknoten eine zentrale Aufgabe. Der Energieverbrauch bestimmt wesentlich die Lebensdauer und damit die Nutzbarkeit des Sen-sornetzes. Auf einem Sensorknoten ist der Transceiver ein dominierender Verbraucher. Insbesondere bei einer stetigen Funkbereitschaft, bei der der Funkkanal für eingehende Botschaften durchgängig überwacht werden muss, ist der Energieverbrauch des Transceivers maßgeb-lich. Aus diesem Grund ist die Untersuchung von energie- effizienten „Wake-up“-Empfängern (WuRx) ein stetig aktives Forschungsgebiet. Bei einem WuRx handelt es sich um einen speziellen Empfänger, der bei extrem kleinem Energieverbrauch eine stetige Überwachung des Funkkanals ermöglicht. Im durch das BMBF geförderten Projekt AETERNITAS entwickelt das IHP in Zusammen-arbeit mit Partnern aus der Forschung und der Industrie ein sicheres und energie-effizientes WuRx. Für Airbus als Partner im Projekt bietet der WuRx entscheidende Vorteile gegenüber klassischen Verfahren, da er eine stetige Funk-bereitschaft des Knotens ermöglicht, ohne den Energiever-brauch signifikant zu erhöhen. Damit werden Lösungen, wie sie einleitend beschrieben wurden, erst zuverlässig möglich.

Secure Wake-Up for Wireless Sensor Nodes

Wireless sensor nodes are employed in wireless net-works to collect information about the environment in a multitude of applications. For instance, Airbus is investigating the feasibility of employing wireless sensor networks to enhance the maintainability of airplanes. One application aims to recognize struc-tural damage from impacts by movable passenger strairways and bridges (Fig. 4). The sensor nodes are distributed in the outer layer of the hull and powered by energy harvesting. The acquired data allows conti-nuous monitoring of the carbon-fiber skin and avoids expensive investigations by other means. This task requires so-called “wake-up receivers” which must satisfy the security requirements relevant in aero-space applications. In the project AETERNITAS such a system is under development, whereby a main focus of the IHP is directed towards security aspects.

In almost all applications of wireless sensor nodes, it is of central importance to reduce the energy con-sumption. This property determines the lifetime of the nodes and the usability of the whole network. The transceiver is a dominant consumer, in particular, if it is continually active in order to detect incoming messages. For this reason, investigations on “wake-up receivers” (WuRx) have become an active research area. A WuRx is a dedicated additional receiver which can monitor the radio channel continually while consuming minimum power. In the BMBF-financed project AETERNITAS the IHP is developing a secure and efficient WuRx in cooperation with partners from research and industry. Airbus, as an application part-ner, benefits from the continuous availability of the sensor nodes without a significant increase of their energy consumption. This feature is critical to enable applications such as the one presented above.

Page 37: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

36 A n n u A l R e p o R t 2 0 1 3

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Im Projekt AETERNITAS erforschen das Fraunhofer IIS und die TU Dresden Methoden und Technologien zur Steigerung der Reichweite und zur Senkung des Energie-verbrauchs. Das IHP befasst sich mit den Aspekten der Sicherheit von WuRx-Systemen, welche bei einem Einsatz in der Luftfahrt zwingend sind. Hierbei soll eine Lösung zum Schutz vor sogenannten Depletion-Angriffen, bei denen ein Angreifer die Energie des Knotens absicht-lich abschöpft, gefunden werden. Ziel ist die Entwick-lung eines sicheren WuRx, welcher eine Überprüfung des Wake-Up-Signals durchführt, so dass ein unauthorisier-tes Aufwecken verhindert werden kann. Ein wesentliches Problem stellt hierbei die asynchrone Struktur der Kom-munikation dar. Sie verhindert eine Synchronisation der Kommunikationspartner bzw. ein gegenseitiges Bestäti-gen des Signals.

Das vom IHP entwickelte System basiert auf dem Time-based One-Time Password (TOTP)-Algorithmus und wurde als kombinierte Hardware-Software-Lösung umgesetzt. Der Algorithmus basiert auf kryptographisch gesicherten Hash-Summen, welche effizient und energiesparend in Hardware umgesetzt wurden. Darüberhinaus wurde ein Symbolde-coder zum Empfang der Wake-Up-Sequenz als Hardware-Lösung entwickelt. Der Decoder ist mittels Software kon-figurierbar und ermöglicht eine einstellbare Sicherheit. Das Management des Algorithmus und die Ansteuerung der Hardware wurde in ein bestehendes Betriebssystem für Sensorknoten integriert. Dies ermöglicht den Einsatz des sicheren WuRx-Systems in verschiedenen Anwendungssze-narien, die unter anderem auch den Einsatz von energie-effizienten Multi-Hop-Netzwerken erfordern.

In the project AETERNITAS, Fraunhofer IIS and TU Dresden are developing new methods and technolo-gies to increase the range and to reduce energy con-sumption of the WuRx system. The IHP is researching the security aspects of such systems, which are man-datory for aerospace applications. One task is to pre-vent energy-depletion attacks, in which a wake-up signal is sent repeatedly, leading to a drain of the node power supply. The goal is to develop a secure WuRx which is resistant against unauthorized wake-up signals. A significant issue is the asynchronous nature of the communication which prevents a straightforward synchronisation of the stations and the use of acknowledgements.

The solution under development at the IHP is based on the time-based one-time-password (TOTP) algo-rithm and was implemented using a hardware/soft-ware co-design. The algorithm employs encrypted hashes which are processed efficiently in hardware. In addition, a symbol decoder to identify the wake-up sequence was realized in hardware. The decoder can be configured to the required degree of security with the software. The management of the algorithm and control of the hardware blocks was integrated into an existing operating system for sensor nodes. This ap-proach allows the use of the secure wake-up receiver in different application scenarios, including future energy-efficient multi-hop sensor networks.

Abb. 4: Geplanter Einsatz von Sensorknoten zur Überwachung von Stößen in der Außenhaut von Flugzeugen (Quelle: Airbus).Fig. 4: Planned use of sensor nodes to monitor damage to the outer skin of airplanes (Source: Airbus).

Page 38: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

37A n n u A l R e p o R t 2 0 1 3

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

UltraSpread – Voll integrierter Transceiver mit PSSS-Modulation

Das vom BMWi geförderte ZIM-Projekt „UltraSpread“ hat das Ziel, erstmalig eine zuverlässige, hochinte-grierte Funklösung mit Datenraten von 250 kbps im Sub-GHz Band basierend auf der PSSS (Parallel Sequen-ce Spread Spectrum)-Technologie für Wireless Sensor Networks (WSN) zu realisieren. Angestrebt wird eine Marktführerschaft, indem für professionelle Anwen-dungen eine sehr hohe Funkabdeckung bei gleichzeitig (für Sensornetze) hoher Datenrate und sehr niedrigem Energiebedarf pro erfolgreich übertragenes Bit reali-siert werden.

Das UltraSpread-Projekt implementiert das in Abb. 5 gezeigte System mit den beiden Teilen „Radio“ (ana-log) und „Basisband-Prozessor“ (digital) in einer mixed-signal Single-Chip-Lösung. Die Zieltechnologie ist dabei eine 130-nm-Technologie, bei der sich sehr kleine Ruhestromwerte erreichen lassen. Im Block-diagramm erkennt man links den digitalen Basisband-Prozessor und rechts das 868 / 915 MHz-Radioteil mit ihren jeweiligen Funktionsblöcken. Das System wurde für den Standard IEEE 802.15.4 entworfen, auf dem weltweit der größte Teil der drahtlosen Sensornetz-werke basiert. Eine besondere Herausforderung ist dabei die Einhaltung der spektralen Sendemaske bei 868 MHz, wobei gleichzeitig eine hohe Sendeleistung und damit eine gute Reichweite erzielt werden sollen. Als Besonderheit verfügt das „UltraSpread“-Chip über eine integrierte AES-Ver- bzw. -Entschlüsselung, so dass der Datentransfer abhörsicher gestaltet werden kann.

UltraSpread – Fully integrated Transceiver based on PSSS Modulation

The ZIM Project „UltraSpread“ is funded by the Fe-deral Ministry of Economic Affairs. It aims at develo-ping the first reliable, fully integrated radio solution for Wireless Sensor Networks (WSN) in the sub-GHz frequency band, supporting data rates of 250 kbps based on PSSS (Parallel Sequence Spread Spectrum) technology. The goal is to achieve market leadership for professional applications by providing high radio coverage in conjunction with high data rates (for sensor networks) and very low energy consumption per transferred bit.

In the UltraSpread project we will realize the system shown in Fig. 5 as a mixed-signal single chip soluti-on. Its main parts are the analog Radio transceiver and the digital baseband processor. The target tech-nology is a 130 nm CMOS technology that allows for extremely low power consumption in the inactive state. The block diagram shows the baseband pro-cessor (on the left hand side) and the 868 / 915 MHz radio module (right), each with its sub-components. The system complies with the standard IEEE 802.15.4, which is the basis for the great majority of wireless sensor networks worldwide. A particular challenge is to stick to the tight spectral transmit mask at 868 MHz while achieving a high transmit power and thus a good radio coverage at the same time. A spe-cial feature of the “UltraSpread” chip is an integrated AES crypto unit, allowing to prevent eavesdropping of the wirelessly transferred data.

Page 39: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

38 A n n u A l R e p o R t 2 0 1 3

Am IHP wurden Teile des Basisband-Prozessors ent-wickelt, z.B. der AES-Krypto-Prozessor und das SPI-Interface zum Anschluss des Transceivers an einen Mikrocontroller. PSSS-Encoder und -Decoder sowie die zugehörige Signalverarbeitung wie Filter, Costas-Loop etc. wurden vom Projektpartner Dr. Wolf Wireless GmbH Teltow beigesteuert. Die Gesamtintegration des Digi-talteils erfolgte ebenfalls am IHP. Das Radio-Frontend für 868 und 915 MHz einschließlich A / D- und D / A-Wandlern wurde vom Projektpartner IMST Kamp-Lint-fort entwickelt. Dort ist auch die Gesamtintegration von Digital- und Analog-Teil einschließlich Chip-Layout erfolgt. Gesamtkonzept und Verwertung liegen in der Verantwortung der Dr. Wolf Wireless GmbH.

At the IHP, parts of the baseband processor have been developed, e.g. the AES crypto core and the SPI in-terface to connect the device to a micro-controller. PSSS encoder and decoder as well as all signal pro-cessing units like filters and Costas loop have been contributed by the project partner Dr. Wolf Wireless GmbH in Teltow. Final integration of the digital part has also been carried out at IHP. The radio frontend for 868 and 915 MHz including A / D and D / A con-verters was developed by the project partner IMST at Kamp-Lintfort. They also performed final integration and layout for the mixed-signal chip. System concept and marketing are under the responsibility of Dr. Wolf Wireless.

Abb. 5: Blockdiagramm des UltraSpread-Chips.Fig. 5: Block diagram of the UltraSpread Chip.

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Page 40: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

39A n n u A l R e p o R t 2 0 1 3

Abb. 6: Foto des FPGA-basierten Testsystems für UltraSpread.Fig. 6: Photo of the FPGA-based test setup for UltraSpread.

Abb. 6 zeigt das zur Erprobung des Digitalteils ver-wendete Testsystem mit FPGA (Xilinx Virtex5). Dieses befindet sich unter dem Lüfter auf der großen Lei-terplatte. Es enthält den gesamten Digitalteil, d.h. PSSS-Basisband-Prozessor, SPI-Interface, AES-Krypto-Block usw. Als Radio-Frontend wird ein kommerzielles 868-MHz-Modem, Semtech SX-1257, verwendet. Es ist rechts unten zu sehen. In der Mitte rechts sieht man den Aardvark SPI-Adapter zum Anschluss an einen PC. Die-ses System ist ein voll funktionsfähiges Modem, auf dem der Digitalteil des „UltraSpread“-Chips bereits vor Produktion des voll integrierten Funkmoduls gründlich erprobt werden konnte.

Dieser digitale Basisband-Prozessor ist auch bereits als separater Chip in IHP 0,25 µm Technologie verfügbar.

Fig. 6 shows the FPGA-based test system that has been used during the development phase of the digital part. The Xilinx Virtex 5 FPGA is behind the cooler fan on the large PCB. It contains the whole digital part, i.e. PSSS baseband processor, SPI interface, AES unit, crypto etc. The radio frontend is a commercial 868 MHz modem, the Semtech SX-1257. It can be seen in the lower right corner. Mid on the right hand side the Aardvark SPI adapter to attach to a PC is visible. This system is a fully functional modem, which allowed us to comprehensively test and debug the digital part of the “UltraSpread” chip before the mixed-signal chip is being produced. This way the risk of design failures is considerably reduced.

This digital baseband processor is also available as a separate digital ASIC in IHP 0.25 µm technology.

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Page 41: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

40 A n n u A l R e p o R t 2 0 1 3

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Sens4U

Das Sens4U-Projekt bietet eine Lösung zur Beschleu-nigung der Entwicklung von Sensor-Netzwerken für Umweltmonitoring. Das generierte Monitoring-System ist durch die Anforderungen des Kunden definiert und beinhaltet alle notwendigen Komponenten für die Er-fassung, Bearbeitung und Darstellung der Daten.

Das wichtigste Alleinstellungsmerkmal der Sens4U-Lö-sung ist das Baukastenprinzip. Mit diesem Baukasten kann sich der Anwender ohne tiefe Fachkenntnisse ein effizientes Sensornetzwerk konzipieren und selbst aufbauen (Abb. 7). Er braucht sich keine Gedanken um Schnittstellen, Anpassungen oder Formfaktoren zu machen. Dem Konfigurationswerkzeug werden wesentliche Parameter wie Reichweite in freiem Ge-lände bzw. in einem Wald, Sensortypen, Standzeiten u. a. angegeben. Das System erstellt damit die güns-tigste Konfiguration und schlägt die Module aus dem Baukasten vor. Danach kann das System zusammenge-fügt, ausgebracht, angeschaltet und die Daten einge-lesen werden. Das ist das ideale Szenario des absolut neuartigen Systems.

Sens4U

The Sens4U project provides a solution for reducing the development time of sensor networks for environ-ment monitoring. The generated monitoring system is defined by the requirements of the customer and consists of all components necessary for data acqui-sition, processing and presentation.

The concept of modularity together with the provided toolbox makes the Sens4U solution unique. The user can use the toolbox to generate a monitoring net-work, without deep technical knowledge (Fig. 7). It is not necessary to know all the details of the interfaces and modules. The tools are provided with important parameters of the network, like the distances between measurement points, the communication parameters (e.g. line of sight, forest) and the required network lifetime (or time between maintenance). The Sens4U system chooses the right modules and generates the most efficient configuration. The monitoring system can now be assembled, deployed and is ready to de-liver the necessary data. This is how we imagine the ideal scenario for the new Sens4U solution.

Abb. 7: Das Sens4U-Baukastenprinzip.Fig. 7: The Sens4U concept of modularity.

Page 42: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

41A n n u A l R e p o R t 2 0 1 3

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

The project goal is to develop a prototype solution that is capable of generating a configuration of mo-dules based on a set of non-technical requirements provided by the user. The monitoring system assem-bled according to the configuration shall realize the defined measurement task. The Sens4U solution con-sists of tools that support the user from the start of the concept phase, during the deployment, as well as during the monitoring system run-time (Fig. 8).

The role of IHP in this project is to realize the Expert System that processes the user requirements gathe-red by the Planning Tool and generates the configura-tion of hardware and software modules. Additionally, IHP is responsible for the Deployment Tool that sup-ports the user while placing the sensor nodes in the area to be monitored. IHP also develops the hardware and software modules that are available in the Modu-le Pool.

Projektziel ist es, eine prototypische Lösung zu entwi-ckeln, die in der Lage ist, aus den Anforderungen von Nutzern ohne technische Kenntnisse eine Konfigurati-on von Modulen zu generieren, die die definierte Aufga-be des Umweltmonitorings erfüllt. Die Sens4U-Lösung besteht aus sich ergänzenden Teillösungen, die in Form von Werkzeugen realisiert werden sollen. Diese unter-stützen den Nutzer von der Idee bis zur Installation und dem Betrieb des fertigen Monitoring-Systems (Abb. 8).

Die Aufgabe des IHP ist die Entwicklung des Experten-systems, das die mit dem Planungstool erfassten Anfor-derungen des Nutzers in eine Konfiguration von Hard- und Softwaremodulen umwandelt. Zusätzlich wird das Installationstool, welches den Nutzer beim Aufbau des Netzwerkes unterstützt, vom IHP realisiert. Das IHP entwickelt auch die Hard- und Softwaremodule, die in dem Pool von Modulen zu Auswahl stehen.

Abb. 8: Die Architektur des Systems.Fig. 8: The architecture of the system.

Page 43: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

42 A n n u A l R e p o R t 2 0 1 3

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

245-GHz-SiGe-Gas-Sensor

Das Ziel des Projektes ist es, mm-Wellen / sub-THz- Biosensoren unter Verwendung der modernsten IHP 0,13-µm-SiGe-BiCMOS zu entwickeln. In diesem Zu-sammenhang besteht ein erster Meilenstein darin, ein Gasspektroskopie-Sensorsystem basierend auf einem 245-GHz-SiGe-Sender und -Empfänger zu demonstrieren.

Unlängst wurden Gasspektroskopie-Sensorsysteme für den mm-Wellen-Bereich vorgestellt, die aus kommer-ziell verfügbaren mm-Wellen-Komponenten aufgebaut sind und eine Frequenzsynthese im Bereich von 10 GHz mit nachfolgender Frequenzmultiplikation in den Be-reich von 210 – 270 GHz durchführen [1]. Die Kosten für ein derartiges System werden gegenwärtig durch die Aufwendungen für die mm-Wellen-Komponenten dominiert. Die Herausforderung besteht deshalb darin, ein wesentlich preisgünstigeres Sensorsystem auf Basis einer SiGe-BiCMOS-Technologie zu entwickeln. Am IHP konnte inzwischen ein System für Gasspektroskopie im Bereich um 245 GHz realisiert werden, welches aus einem 245-GHz-SiGe-Sender- und einem Empfänger-Chip besteht, deren beide Lokaloszillatorfrequenzen sich mittels zweier PLL mit konstanter Frequenzdiffe-renz ändern lassen.

245 GHz SiGe Gas Sensor

The goal of the project is to develop mm-wave / sub-THz biosensors using the advanced IHP 0.13 µm SiGe BiCMOS technology. In this context the first mile-stone is to demonstrate a gas spectroscopy sensor system based on a 245 GHz SiGe transmitter and re-ceiver.

Recently, sensor systems for gas spectroscopy in the mm-wave region, which are based on commercially available components, have been reported. They use frequency synthesis techniques in the region around 10 GHz, with frequency multiplication to 210 – 270 GHz [1]. The cost is currently dominated by the mm-wave components. The challenge is to realize a low-cost mm-wave system using advanced SiGe BiCMOS tech-nology. We implemented a system for gas spectros-copy at about 245 GHz, which consists of 245 GHz SiGe transmitter- and receiver-chips, whose local oscillator frequencies are swept by two external PLLs with constant frequency offset.

Abb. 9: Laboraufbau des 245-GHz-Gasspektroskopie-Systems. Fig. 9: Labory setup of the 245 GHz gas spectroscopy system.

Page 44: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

43A n n u A l R e p o R t 2 0 1 3

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Der 245-GHz-Sender (TX) [2] und -Empfänger (RX) [3] wurden in der fortgeschrittenen IHP 0,13-µm-SiGe-BiCMOS-Technologie mit fT / fmax von 300 GHz / 500 GHz gefertigt.

Der effektive Antennengewinn des Senders und auch des Empfängers, die jeweils eine integrierte On-Chip-Antenne besitzen, wurde durch eine zusätzliche dielektrische Linse erhöht, um die Platzierung einer ca. 1 m langen Gasabsorptionszelle zwischen Sender und Empfänger zu ermöglichen. Die Leistungsfähigkeit die-ses Sensorsystems konnte anhand eines gemessenen Absorptionsspektrums für Methanol nachgewiesen werden. Ein integrierter Lokaloszillator wurde sowohl für den Sender als auch für den Empfänger verwen-det, wobei seine Frequenz mittels eines externen PLL-Bausteins stabilisiert wurde. Die beiden PLL-Bausteine verwenden hierbei zwei Referenzfrequenzen mit kon-stantem Frequenzversatz, um für den Empfänger eine konstante Zwischenfrequenz von ca. 100 MHz während eines Frequenzdurchlaufes zu erreichen.

The 245 GHz transmitter (TX) [2] and receiver (RX) [3] are fabricated in the advanced IHP 0.13 µm SiGe BiCMOS technology with fT / fmax of 300 GHz / 500 GHz.

The effective antenna gain of the TX and RX with on-chip antenna, respectively, is increased by a dielec-tric lens to allow the implementation of a 1 m long gas absorption cell. The performance of the sensor system is demonstrated by the absorption spectrum of methanol. An integrated local oscillator is used for the transmitter TX as well as for the receiver RX, whose frequency is tuned by an external PLL. The two PLLs of the system are controlled by two external re-ference frequencies with frequency offset to realize a constant IF frequency in the range up to about 100 MHz during a frequency sweep.

Abb. 10: ZF-Spektrum des empfangenen Signals: 2 m Abstand zwischen Sender- und Empfänger-Modulen.Fig. 10: IF-spectrum of received signal: 2 m distance between transmitter- and receiver modules.

Page 45: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

44 A n n u A l R e p o R t 2 0 1 3

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Eine geringe Amplitudenänderung des Empfänger-signals infolge Gasabsorption kann hierbei detektiert und in Abhängigkeit von der Senderfrequenz für eine Gasspektroskopie abgespeichert werden. Der inte-grierte Lokaloszillator, der für den Sender und Empfän-ger verwendet wurde, besteht aus einem 120-GHz-Push-Push spannungsgesteuerten Oszillator (VCO) mit einem 1 / 64-Frequenzteiler für die Fundamentalfrequenz des Oszillators und einem einstufigen 120-GHz-Leistungs-verstärker.

Der Demonstrator verwendet eine optische Bank, auf der das Sender- und Empfängermodul beweglich mon-tiert wurden (auf der rechten bzw. linken Seite), siehe Abb. 9. Der effektive Antennengewinn für den Sender bzw. Empfänger wird durch die Linsen erhöht. Abb. 10 zeigt das gemessene Zwischenfrequenzspektrum des Empfängers für einen Abstand von 2 m zwischen Sender und Empfänger. Für gasspektroskopische Messungen von Methanol wurde eine Gasabsorptionszelle zwischen das Sender- und den Empfängermodul gestellt. Das Zwischenfrequenzsignal wurde dann in Abhängigkeit von der Frequenz des Senders mittels kommerzieller Labormesstechnik aufgezeichnet.

The very small change in the IF-signal amplitude due to gas absorption is used for gas spectroscopy. The local oscillator of the TX and the RX, respectively, consists of a 120 GHz push-push voltage controlled oscillator (VCO) with a 1 / 64 frequency divider for the fundamental frequency, and a 120 GHz differential one-stage power amplifier.

The demonstrator uses an optical bench for movable mounting of the TX and RX modules (at the right and left sides, respectively), see Fig. 9. The effective an-tenna gain of the TX and RX, respectively, is increased by the lens. Fig. 10 shows the received IF spectrum for a 2 m distance between TX and RX. For gas spec-troscopy of methanol a gas absorption cell is placed between the TX- and RX-modules. Then, the IF-signal as a function of the transmitter frequency is recorded by commercial measurement equipment.

[1] I. R. Medvedev, C. Neese, G. M. Plummer, and F. C. De Lucia, “Submillimeter spectroscopy for chemical analysis with absolute specificity,” Opt. Lett. 35(10), 1533-1535 (2010).[2] K. Schmalz et al. ,“245 GHz SiGe transmitter with integrated antenna and external PLL,” IEEE MTT-S IMS Symp.Proc., June 2013.[3] K. Schmalz et al., “Subharmonic 245 GHz SiGe Receiver with Antenna,” IEEE Proc. European Microw. Int. Circuits Conf. (EuMiC), pp. 121-124, October 2013.

Page 46: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

45A n n u A l R e p o R t 2 0 1 3

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

LiDAR-Empfänger mit extrem hohem Dynamikbereich (TACTICON)

Das Ziel des Projektes ist es, einen für LiDAR (Light De-tecting and Ranging) optimierten Empfängerchip zu entwickeln, welcher eine hohe Bandbreite und einen extrem hohen Dynamikbereich aufweist.

Eines der Hauptprobleme auf der Empfängerseite von LiDAR-Systemen ist die hohe Amplitudenvariation des empfangenen optischen Impulses. Diese ist abhängig vom Abstand, vom Reflexionsgrad und auch vom Re-flexionswinkel. Der Dynamikbereich des reflektierten Signals hängt stark von der Anwendung ab und kann sich über mehr als sieben Größenordnungen erstrecken. Solch ein optisches Signal kann durchaus konventio-nelle optische Empfänger, welche auf linearen Transim-pedanzverstärkern basieren, sättigen oder zerstören.

Der hier vorgestellte hybride optische Empfänger löst dieses Problem, indem dieser den Eingangsstrom loga-rithmisch komprimiert (Abb. 11).

LiDAR Receiver with High Dynamic Range (TACTICON)

The goal of the project is to develop a LiDAR (Light Detecting and Ranging) receiver chip with high band-width and high dynamic range.

One of the main problems at the receiver side of Li-DAR systems is the high amplitude variation of the re-flected optical pulse, depending on the measurement distance, reflectivity, and the angle of the reflection. The dynamic range of the reflected signal depends on the application and can reach seven or even more orders of magnitude. Such an optical signal can ea-sily saturate or even destroy conventional optical receivers based on a linear transimpedance amplifier (TIA), thus making it insensitive for incoming optical signals.

The presented hybrid optical receiver overcomes this problem by using a logarithmic compression for the input-current. The concept is shown in Fig. 11.

Tab. 1: Wichtigste Parameter der ersten und zweiten Generation der Empfängerschaltkreise.Tab. 1: Main parameters achieved by 1st and 2nd generation receiver chips.

Abb. 11: Vergleich herkömmlicher Konzepte und des IHP- Konzeptes für LiDAR-optimierte Empfänger.Fig. 11: Concept of high dynamic range optical receiver optimized for LiDAR applications in comparison to conventional receiver concepts.

Abb. 12: Demonstrator mit LiDAR-Empfängerchip und Fotodiode.Fig. 12: Demonstrator with LiDAR receiver chip and photodiode.

Page 47: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

46 A n n u A l R e p o R t 2 0 1 3

Bisher wurden zwei Generationen von Prototypen ent-wickelt. Die erste Generation war ein Machbarkeits-nachweis und beinhaltete vier Prototypen, wobei jeder für eine bestimmte Bandbreite optimiert wurde. Bei der zweiten Generation wurden alle Eigenschaften der vier ersten Chips in einem einzelnen integriert (Tabelle 1). Abb. 12 zeigt die Integration eines der vier LiDAR-Empfängerchips und einer Lawinenfotodiode auf einem Demonstratorboard (Größe: 4 x 4,2 cm). Die Trans-fercharakteristik dieses optischen Empfängers ist in Abb. 13 dargestellt. Es gibt einen zusätzlichen unab-hängigen Hochfrequenzkanal, um hohe Eingangsströ-me zu überwachen. Dieser kann dazu verwendet werden, die Auflösung der Ausgangsspannung zu verbessern. Die Transfercharakteristiken der verschiedenen LiDAR-Empfänger sind über den gesamten Bereich des Ein-gangsfotostroms strikt monoton.

Das Konzept der Eingangsstromkompression wurde in der zweiten Generation um einen sogenannten Limi-ting-Mode erweitert (Abb. 14). Dieser ermöglicht eine höhere Empfindlichkeit im linearen Arbeitsbereich des Empfängerchips.

Up to now we have developed two generations of pro-totypes. The first generation constitutes the proof of concept and contains four different LiDAR receiver chips, each optimized for a specific bandwidth. The second generation integrates all the features of the four chips into one single chip (see Table 1). Fig. 12 depicts the integration of one of our LiDAR receiver chips and an avalanche photodiode on a demonstra-tor board (size: 4 x 4.2 cm). The transfer characteris-tics of the optical receivers of the first generation are shown in Fig. 13. There is an additional independent high-frequency channel for monitoring high input photocurrents that can be used to enhance the out-put voltage range of the receiver. The transfer cha-racteristics of the receivers are strictly monotonic over the full input photocurrent range.

The concept of the input-current compression has been extended to a limiting mode in the second ge-neration, as seen in Fig. 14. The limiting mode allows higher sensitivity in the linear region of operation.

Abb. 13: Transfercharakteristik der Empfänger der ersten Generation. Fig. 13: Transfer characteristic of our optical receivers (1st generation).

Abb. 14: Durch Limiting-Mode erweitertes Kompressionskonzept für die zweite Generation. Fig. 14: Extension of the current-compression concept by the limiting mode (2nd generation).

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Page 48: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

47A n n u A l R e p o R t 2 0 1 3

Dielektrische Immobilisation von Nano-Parti-keln durch Mikroelektroden

Es gibt einen wachsenden Bedarf an Lab-on-a-Chip-Systemen und ähnlicher biologischer Bauelemente für die räumliche Manipulation von Nanopartikeln wie de-ren Konzentrierung, Immobilisierung, Orientierung und Ausrichtung. Die Manipulation sollte bei einer großen Anzahl von Objekten gleichzeitig durchgeführt werden können. Elektrokinetische Methoden wie die Dielek-trophorese (DEP) werden seit einigen Jahrzehnten er-folgreich durch das Anlegen elektrischer Wechselfelder zwischen Mikroelektroden untersucht. Wie in Abb. 15 illustriert, können polarisierbare Teilchen mittels inho-mogener elektrischer Felder auf der Oberfläche oder an den Kanten von Elektroden immobilisiert werden.

Bisher sind die meisten Forschungsarbeiten auf dem Gebiet der DEP mittels der Verwendung von Metallelek-troden durchgeführt worden [1, 2]. Durch die kontinu-ierliche Verkleinerung der minimalen Strukturgrößen in der CMOS-Technologie eröffnen sich neue Möglich-keiten für die DEP-Methodik. Durch die Anpassung der Elektrodenabmessungen an die Größe der zu untersu-chenden Objekte ist es möglich geworden, auch einzel-

Dielectric Immobilization of Nano-particles on Microelectrode Arrays

There is a growing need in lab-on-a-chip systems and similar biodevices for spatial manipulation of nanoparticles like concentrating, immobilizing, ori-entating and aligning. The manipulation should be able to be performed on a large number of objects si-multaneously. AC electrokinetic methods like Dielec-trophoresis (DEP) have been successfully applied for some decades by exploiting alternating electric fields between microelectrodes. In the case of non-uniform fields, polarizable particles can be immobilized on top or at the edges of the electrodes, as illustrated in Fig. 15.

So far, most of the research work performed on DEP has been done by using metal electrodes [1, 2]. The continuous downscaling of CMOS minimum feature sizes provides great opportunities. By adapting the typical electrode dimensions to the objects‘ sizes, it has become possible to manipulate even single ob-jects like viruses and proteins on metal electrodes [3-6]. Nevertheless, the dimensions of CMOS metal

Abb. 15: Dielektrophorese: Schematische Darstellung des Versuchsaufbaus. a) Nanopartikel sind in Wasser gelöst b) Bei Anlegen eines inhomogenen elektrischen Wechsel- feldes werden die Partikel durch elektrische Kräfte polarisiert. Diese Kräfte führen zu einer lokalen Akku- mulation der Teilchen. Der Abstand zwischen der Top- Elektrode und der Elektrodenoberfläche beträgt ca. 100 µm.Fig. 15: Dielectrophoresis: Schematic illustration of the experimental setup. a) Nanoobjects are suspended in water b) By applying inhomogeneous AC electric fields, electrical forces act on polarizable particles. These forces lead to a local particle accumulation. The distance between top electrode and electrode surface is about 100 µm.

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Page 49: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

48 A n n u A l R e p o R t 2 0 1 3

ne Objekte wie Viren und Proteine auf Metallelektroden [3-6] zu manipulieren. Dennoch sind die Abmessungen der CMOS-Metallelektroden noch im Bereich von ca. 100 nm (ITRS 2012 UPDATE), die immer noch zu groß für Nanopartikel wie Proteine mit Durchmessern von weniger als 10 nm sind [7]. Ein moderner Ansatz, um die Wechselwirkung zwischen den Teilchen und den Elektroden zu optimieren, ist die Verwendung von do-tierten Siliziumspitzen als Elektrodenmaterial.

Kegelförmige Nanoelektroden wurden in einem Stan-dard-CMOS-Prozessablauf unter der Verwendung von re-aktivem Ionenätzen (RIE) hergestellt [8]. Die Gesamt-zahl der Elektroden beträgt etwa 2 Millionen pro Feld (Abb. 16). Um die permanente Immobilisierung eines Biomoleküls auf einem Elektrodenfeld zu demonstrie-ren, wurde das mit Fluoreszenzmarkern versehene Rin-derserumalbumin (BSA) benutzt, welches ein Protein mit einer gestreckten ellipsoiden Form (14 nm x 4 nm x 4 nm [9]) ist. Typischerweise wurden diese Versuche bei etwa 10 kHz und bei Spannungsamplituden von 5 bis 10 V für die Dauer von einigen Sekunden bis Minu-ten durchgeführt. Wie in Abb. 17 dargestellt, ist die Immobilisierung von Nanokügelchen mit einem Durch-messer von 200 nm bei optimal gewählten Parametern innerhalb weniger Minuten abgeschlossen.

electrodes are still in the range of 100 nm (ITRS 2012 update), which doesn’t fit to nanoparticles like pro-teins with diameters of less than 10 nm [7]. A mo-dern approach to optimize the interaction between particles and electrodes is the use of doped triangular shaped silicon as electrode material.

Cone-shaped nanoelectrodes were fabricated in a standard CMOS process line by using reactive ion et-ching (RIE) process techniques [8]. The total number of electrodes amounts to about 2 million per array (Fig. 16). To demonstrate the permanent immobiliza-tion of a biomolecule on an electrode array, we used fluorescently labeled bovine serum albumin (BSA), which is a protein of prolate ellipsoidal shape (14 nm x 4 nm x 4 nm [9]). Typically, these experiments were carried out at about 10 kHz with 5 to 10 VRMS for pe-riods of several seconds to minutes. By choosing the optimum operating conditions, the immobilization of nanobeads with diameters of 200 nm is finalized within a few minutes, as illustrated in Fig. 17.

Abb. 16: REM-Aufnahme des mittels eines Plasmas geätzten Nanoelektrodenfeldes.Fig. 16: SEM image of the plasma etched nanoelectrode array.

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

2 µm

Page 50: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

49A n n u A l R e p o R t 2 0 1 3

Abb. 17: Mikroskopische Aufnahmen durch die transparente Top- Elektrode von fluoreszierenden Polysteren-Teilchen mit einem Durchmesser von 200 nm, die in Wasser über dem Nanoelektrodenfeld gelöst sind. a) vor dem Anlegen des Feldes, b) nach Anlegen des Feldes bei 17 kHz und 8 VRMS für 12 s und c) nach Anlegen des Feldes für 60 s.Fig. 17: Top view microscopic images through the transparent top electrode of 200 nm fluorescent polystyrene nanospheres in water on the nanoelectrode array. a) Before field application, b) after field application at 17 kHz and 8 VRMS for 12 s and c) after 60 s field application.

references[1] Widdershoven, R., Van Steenwinckel, D., Überfeld, J., Merelle, T., Suy H., Jedema, F., Hoofman, R., Tak, C.,A. Sedzin, B. Cobelens, E. Sterckx, R. van der Werf, K. Verheyden, M. Kengen, F. Swartjes, F. Frederix IEDM Proceedings 2010.[2] Martinez-Duarte, R., Electrophoresis 2012, 33, 3110.[3] Yamamoto, T.; Fujii, T.; Nanotechnology 2007, 18, 495503[4] Pethig, R., Biomicrofluidics 2010, 4, 022811[5] Diao, J.J., Cao Q., AIP Advances 2011, 1, 012115[6] Nakano, A., Ros, A., Electrophoresis 2013, 34, 1085.[7] Hölzel, R., Calander, N., Chiragwandi, Z., Willander, M., Bier, F., Phys. Rev. Lett. 2005, 128102.[8] Mehr, W., Wolff, A., Frankenfeld, H., Skaloud, T., Höppner, W., Bugiel, E., Lärz, J., Hunger, B., Microel. Engineering 1996, 30, 395-398.[9] Squire, P.G., Moser, P., O’Konski, C.T., Biochemistry, 1968, 7, 4261.

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Page 51: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

50 A n n u A l R e p o R t 2 0 1 3

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Siliziumphotonik – eine Technologie-plattform im Aufbau

Ein aktueller Schwerpunkt ist die Entwicklung einer photonischen Integrationsplattform auf Silizium. Ziel des kürzlich abgeschlossenen EU-Projektes GALACTICO war es, Komponenten für die optische Datenübertra-gung zu entwickeln. Hierbei sollten Lösungsansätze für Ethernet-Anwendungen bei 100 Gbps als auch für eine Kapazitätserweiterung auf 400 Gbps untersucht werden. Die integrierten photonischen Schaltkreise und Module sollen sowohl in technischer als auch wirt-schaftlicher Sicht eine Einsetzbarkeit ermöglichen.

Zusammen mit unseren Projektpartnern wurde dafür die komplette Wertschöpfungskette der Optoelektronik einbezogen. Dies beinhaltete die Expertise des IHPs und der TU Berlin in der photonischen Technologie, die Erfahrungen der Universität Valencia in der Hybridinte-gration, das Know-how der Komponentenhersteller u2t Germany und u2t UK (jetzt Finisar), die Systemtech-nik des Netzwerkausrüsters Nokia Siemens Networks Portugal (jetzt Coriant) sowie die Design- und Simu-lationsarbeiten der TU Athen, der Telekom Italien Labs (TILabs) und die Systemintegration durch die Firma Constelex in Griechenland.

Das Projekt GALACTICO untersuchte zwei Technologie-ansätze, um eine kosteneffiziente Fertigung von pho-tonisch-integrierten Schaltkreisen (PICs) zu erreichen: a) die Siliziumphotonik (SiPh) zur Implementierung einer Sender- und Empfängerplattform einschließlich Interfaces sowie b) die III-V Technologie (InP und GaAs), um die Funktionalitäten für Sender (Modula-toren) und Empfänger (Dioden) herzustellen. Dieses zweistufige Vorgehen ist notwendig, da es (noch) nicht für jede Funktionalität einen Lösungsansatz in Silizium gibt.

Silicon Photonics – an Emerging Technology Platform

Current research topics consider the development of a photonic integration platform based on silicon. In the frame of the recently completed European Pro-ject GALACTICO the aim was to develop photonic in-tegrated components for optical data transmission. The technology investigated shall disrupt the current introduction of 100 Gbps optical long haul networks and at the same time address the next capacity in-crease towards 400 Gbps. To achieve this goal, deve-lopment focused on photonic integrated circuits and modules combining technical and economic feasibili-ty as well as a broad market potential.

To foster technology development fit for real world applications, the project bundled competences of the complete value chain of opto-electronic telecom, involving the photonic technology expertise of IHP and TU Berlin, the packaging and hybrid integration expertise of UP Valencia, the expertise of component manufacturer u2t Germany and u2t UK (now Finisar), of system provider Nokia Siemens Networks Portugal (now Coriant) as well as the modelling and system competence of TU Athens, TILabs Italy, and system integration by Constelex from Greece.

GALACTICO invested in two technologies that leve-rage cost-effective PIC fabrication; a) Silicon Photo-nics (SiPh) to implement the transmitter and receiver platform and interfaces and b) III-V technology (InP and GaAs) to implement the transmitter modulation and receiver functionalities still not available on si-licon.

Page 52: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

51A n n u A l R e p o R t 2 0 1 3

objectives for the deployment of silicon and III-V semiconductor technology:- Development of a full family of silicon nano- photonic components for compact transmitter and receiver on silicon- Fabrication of single element IQ GaAs modulator suitable for multi-channel transmission and polarization multiplexing- Design of InP photodetectors for 100 Gbps hybrid integrated coherent receiver - Development of Germanium photodiodes (bandwidth of 30 GHz) for integration on SiPh- Fabrication of coherent receiver boards deploying SiPh nanometer waveguides.

opto-electronic transmitters for future data transmission networkIn recently introduced transmission systems complex coherent modulation replaces the traditional tech-nology which deployed on-off-keying. The layouts of complex modulators and receivers, as shown in Fig. 18 and 19, need to be compatible to existing optical trunk lines, and should be scalable to higher capacity.

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Abb. 18: Entwicklungspfad kohärenter Transmitter beginnend mit Aufbauten aus Einzelkomponenten bis hin zu vollintegrierten photonischen GaAs-Schaltungen. Die Auswertung der erzeugten Datensignale ist in sogenannten Konstellationsdiagrammen für ein- und mehrstufige Modulationsverfahren dargestellt.Fig. 18: Development path of coherent transmitter from assembled set-up to fully integrated photonic circuits based on GaAs. Evaluation of generated data signals using constellation diagrams for single- and multilevel-signals.

Ziele des Einsatzes der Silizium und III-V Halbleitertechnologie:- Entwicklung von Nanowellenleitern und passiven photonischen Funktionen für kompakte Sender und Empfänger auf Silizium- Herstellung von IQ-Modulatoren aus GaAs für Mehrkanal- und Polarisationsmultiplex-Anwendungen- Herstellung von Photodetektoren aus InP zum Aufbau kohärenter Empfänger für 100 Gbps- Herstellung von Photodetektoren (Bandbreite 30 GHz) aus Germanium integriert in Silizium- Design kohärenter Empfängerchips auf SiPh-Chips unter Verwendung von Nano-Wellenleitern.

Optoelektrische Sender für die DatenübertragungIn modernen Datenfernverkehrsnetzen kommt zuneh-mend die kohärente Übertragungstechnik zum Einsatz. Die hierfür benötigten Transmitter weisen im Vergleich zur traditionellen Amplitudenmodulation eine erhöhte Komplexität auf. Zudem müssen Komponenten auch für einen Aufbau von Mehrkanalsystemen geeignet sein (vgl. Abb. 18 und 19).

Page 53: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

52 A n n u A l R e p o R t 2 0 1 3

Fabricated GaAs modulator chips integrate all opti-cal and opto-electronic functionalities such as signal splitting, electro-optic modulation, polarization rota-tion and multiplexing in modules that are well smaller than the current standards.

Evaluation of the optical performance was carried out in laboratory test set-ups and finally in a field test using the TILab network. The evaluation is shown in constellation diagrams for single and multilevel for-mats shows. The capability of modulators for error free data transmission with margins (power budget, signal-to-noise-ratio, etc.) of state-of-the-art sys-tems (Fig. 18) was demonstrated. Highly promising experimental results were published at the post dead-line session of OFC in 2013.

optoelectric receiver for future data transmission networksSilicon nano-waveguide PICs that fit on a single die and integrate the optical (signal coupling, polariza-tion splitting, mixing) and opto-electronic (optical to electrical conversion) receiver functionalities have been fabricated and demonstrated in few-mm-scale chips (see Fig. 19). The toolset of a silicon foundry running regularly BiCMOS processes was deployed, opening the way for truly cost-effective fully-inte-grated “photonic BiCMOS”.

Fully packaged modules were tested in a series of lab experiments and field trials demonstrating a band-width of more than 25 GHz. This receiver performance achieves the bandwidth of existing solutions and therefore enables a deployment in 100 Gbps Ethernet applications.

Die hergestellten GaAs-Modulator-Chips integrieren optische und optoelektrische Funktionen wie Signaltei-lung, elektrooptische Modulation, Polarisations-Rotati-on und Multiplexing in Modulen, die wesentlich kleiner als herkömmliche Komponenten sind.

Die hergestellten Komponenten wurden zunächst in La-bortests untersucht. Abschließend erfolgte ein Feldein-satz im Netzwerk des Partners TILabs. Die Auswertung des Übertragungsverhaltens von Signalen im Ein- und Mehrniveau- Modulationsformat erfolgt u.a. in Konstel-lationsdiagrammen (Abb. 18). Eine fehlerfreie Daten-übertragung in den für Übertragungssysteme typischen Arbeitsbereichen (Leistung, Signal-zu-Rausch Verhält-nis, usw.) wurde nachgewiesen und die vielverspre-chenden experimentellen Ergebnisse auf der Konferenz OFC 2013 in einem Postdeadline-Beitrag präsentiert.

Optoelektrische Empfänger für die DatenübertragungFür den Signalempfang wurden optoelektrische Emp-fänger auf Silizium entwickelt, die benötigte optische Funktionen (Signal-Einkopplung über Gitter, Polarisa-tionsteilung) in Nanowellenleiter integrieren und eine hochfrequente optoelektrische Wandlung ermöglichen. Diese Grundfunktionen können dann in bestehende BiCMOS-Prozesse integriert werden, um eine kostenef-fiziente, photonische Integrations-Plattform zu bilden.

Die aufgebauten Empfänger (Abb. 19) wurden in Labor- und Feldexperimenten getestet. Eine Bandbreite von 25 GHz konnte erreicht werden. Eine Voraussetzung für die kohärente Datenübertragung z.B. in 100 Gbps Ethernet-Anwendungen wird damit erfüllt.

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Page 54: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

53A n n u A l R e p o R t 2 0 1 3

Abb. 19: Entwicklung kohärenter Empfänger im Projekt GALACTICO beginnend von aufgebauten Modulen mit InP-Photodioden und 4-µm-Wellen- leitern in Silizium bis hin zu vollintegrierten Empfängern auf einer Silizium-Integrationsplattform bestehend aus Gemanium-Photo- dioden und Nanometer-Wellenleitern.Fig 19: GALACTICO coherent receiver development starting from assembled modules deploying InP diodes and 4 µm waveguides in silicon up to an integration platform on silicon for receiver with Germanium photodetectors and nanometer waveguides.

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

outlookGALACTICO transmitter and receiver component are well aligned with requirements to optical transmitter and receiver in upcoming transport systems.

Manufactured components are assembled and tested at data rates of up to 100 Gbps and have shown the potential for the deployment in the existing Ether-net network. The developed devices will enable a pro-duction using an established technology for volume production and the integration in existing system portfolios.

The results of this project pave the way to develop and provide higher integrated and competitive solu-tions for a growing OTN market.

Ausblick Die entwickelten hybrid-integrierten optoelektrischen Komponenten sind geeignet, um in den Sende- und Empfängermodulen zukünftiger Übertragungsnetze eingesetzt werden.

Mit dem Aufbau und Test bei Datenraten von 100 Gbps konnte das Einsatzpotential für den Ethernet-Daten-verkehr nachgewiesen werden. Die Nutzung bestehen-der Halbleiter-Technologien unterstützt dabei eine schnelle und stückzahlengerechte Überführung in die Herstellung.

Die vorgestellten Forschungsergebnisse schaffen eine Grundlage für die Entwicklung höher integrierter und zugleich kostengünstiger Komponenten für die Optische Nachrichtentechnik.

Page 55: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

54 A n n u A l R e p o R t 2 0 1 3

FLEXWIN – Intelligente HF-Systeme für Satellitenverbindungen

Ziel des FLEXWIN-Projektes ist die Entwicklung von intel-ligenten Hochfrequenztechnologien und -systemen, mit denen Antennen für die Kommunikation zwischen Flug-zeugen und Satelliten hergestellt werden können, wo-bei für den Einsatz in Flugzeugen kostengünstige, pla-nare Antennen mit geringem Gewicht erforderlich sind (Abb. 20). Weiterhin sollen in einem zweiten Ansatz re-konfigurierbare Receiver für Basisstationen entwickelt werden.

Die FLEXWIN-Projektpartner entwickeln intelligente Mikrosysteme für Hochfrequenzanwendungen, welche im Vergleich zu konventionellen Systemen höhere Flexi-bilität und mehr Möglichkeiten bieten. Die Übertragung der Kommunikationssignale erfolgt im Ku-Band, welches auch für Satellitenfernsehen verwendet wird, um höhere Datenraten zu ermöglichen. Problematisch ist, dass die meisten kommerziell verfügbaren Antennen nicht-pla-nare, mechanisch steuerbare Systeme sind, welche für den Einsatz im Flugzeug ungeeignet sind.

FLEXWIN – Smarter RF Microsystems for Satellite Links

The goal of the FLEXWIN project is to develop a smart RF microsystem technology, which enables the ma-nufacturing of antennas for satellite communication links from an airplane to the satellite for use in trans-port, where there is a clear need for low-cost, planar antennas which produce low drag on the aircraft (Fig. 20). The second big aim is to develop reconfigu-rable receivers for base stations.

The FLEXWIN project partners are developing smart radio frequency (RF) microsystems, which will have greater capabilities and flexibilities than conventio-nal RF-systems. The communication signals are trans-ported in the Ku-band, which allows higher data rates and is also used for satellite television. But most of the current commercially available antennas are based on non-planar mechanically steerable systems which are not very well suited for use on planes.

Abb. 20: Satcom-Kommunikationsszenario im FLEXWIN-ProjektFig. 20: Satcom communication scenarios in the FLEXWIN project.

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Abb. 21: Explosionsansicht des Direktstrahler-Array-Boards.Fig. 21: Exploded view of the direct radiating array board.

Page 56: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

55A n n u A l R e p o R t 2 0 1 3

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Die Partner im FLEXWIN-Konsortium gehen davon aus, dass kostengünstige planare Antennen aufgrund der elektronischen Steuerbarkeit bedeutende Verbesse-rungen mit sich bringen. Außerdem sollen kleinere Antennen und höhere Datenübertragungsraten durch höhere Frequenzen ermöglicht werden. Im Projekt wer-den sowohl die Antennen als auch die verschiedenen Systemkomponenten entwickelt, wobei die Chips direkt in den Antennen integriert werden, um multifunktionelle Mikrowellenschaltungen zu realisieren (Abb. 21 & 22).

Ein neuer Ansatz im FLEXWIN-Projekt ist, dass verschie-dene Funktionalitäten monolithisch in die SiGe-BiCMOS- Technologie des IHP und damit “on-chip” integriert sind (Abb. 23). Dabei werden sowohl Digital / Mixed-Signal- Schaltkreise, Silizium-Germanium-Transistoren für analo-ge Schaltkreise und RF-MEMS (mikroelektromechanische Systeme) als Schalter und zur Rekonfigurierbarkeit ver-wendet. Durch Kombination von Digital / Mixed-Signal- Schaltungen und analogen Schaltkreisen als Verstärker, Schalter, Phasen- und Amplitudenkontrolle auf einem Chip wird ein sehr hohes Integrationslevel erreicht, wo-durch die Komplexität des gesamten Antennensystems entscheidend reduziert werden kann. Innerhalb des Projektes werden außerdem Mikro- bzw. Millimeterwellen-Schalter basierend auf der SiGe-BiCMOS- Technologie entwickelt, um rekonfigurierbare Schal-tungen und Chips für verschiedene Anwendungen her-zustellen. RF-MEMS-Schalter werden dabei verwendet, um das Signal mit minimalen Verlusten zwischen Sen-de- oder Empfangspfad der Antenne zu leiten (Abb. 23). Außerdem finden die RF-MEMS-Schalter Anwendung in rekonfigurierbaren Schaltungen für Millimeterwellen-Anwendungen.

FLEXWIN researchers believe that low-cost and active planar antennas would bring significant improve-ments, as they are electronically steerable. The Ka-band would operate at higher frequencies, allowing the transmission of more data with smaller antennas. The project is developing both the antennas and the individual key components on which the technology depends (Figs. 21 & 22). The individual chips are di-rectly integrated in the antenna, to realize the multi-functional microwave circuitry.

The new aspect of the FLEXWIN project is that the RF-microsystem is monolithically integrated in the semiconductor technology based on IHP’s silicon germanium BiCMOS process (Fig. 23). This technolo-gy enables several functionalities on one chip. These include BiCMOS for digital or mixed signal circuitry, silicon germanium transistors for the active analo-gue circuitry and RF-MEMS (micro-electromechanical system) for the switching and reconfiguration parts. This multi-functionality helps to reduce the overall complexity of the antenna system. With digital and mixed-signal circuitry on the same BiCMOS chip, it is possible to achieve the highest level of functionality.

The project is also developing micro- and millimeter-wave switches based on an advanced microsystem technology monolithically integrated with SiGe BiCMOS, so that the chips are reconfigurable and can be used for multiple applications. RF-MEMS switches are used in the FLEXWIN project in order to route the signal with the lowest possible losses between the transmitting and the receiving path of the antenna (Fig. 23). Furthermore, the FLEXWIN project is wor-king on establishing the concept of reusable chipsets for millimeter-wave frequencies.

Page 57: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

56 A n n u A l R e p o R t 2 0 1 3

There’s strong interest from industry in the project. The current exploitation plans center on specific areas; among the commercial partners, Ericsson is primarily focused on base stations, while EADS is looking more towards applications in aeronautics. The two main applications of this technology are in mobile communication antennas and base stations, but there is also great potential for the automotive and communication industries. For a long time the automotive industry has used two different frequen-cies for object recognition – 24 GHz for short range detection and 77 GHz for long-range detection. The FLEXWIN project’s research could lead to significant improvements in this area and could enable a radar chip to switch between these two bands or cover the new 77-81GHz frequency-band.

references[1] FLEXWIN project website: http://www.flexwin.eu/ [2] Volker Ziegler, EU Research Magazine, Winter 2012 Issue, pp. 72-73, http://issuu.com/euresearcher/docs/ winter_2012/74

Neben der reinen Forschung besteht auch ein großes Interesse seitens der Industrie. Erricsson wird die Er-gebnisse in die Entwicklung der Basisstationen einflie-ßen lassen, wohingegen EADS Anwendungen im Bereich Aeronautics sieht. Neben Antennen für mobile Kommuni-kationstechnik und Basisstationen besteht ebenfalls ein großes Potential, die Ergebnisse für die Automobil- und Kommunikationsindustrie verfügbar zu machen. Seit längerer Zeit verwendet die Automobilindustrie zwei ver-schiedene Frequenzbänder zur Erkennung von Objekten; 24 GHz für kurze Reichweiten und 77 GHz für hohe Reich-weiten. Mithilfe der in FLEXWIN entwickelten Radarchips kann zwischen beiden Frequenzbändern geschaltet und weiterhin das neue Frequenzband bei 77-81 GHz abgedeckt werden.

Abb. 22: Frontend-Antennen-Architektur mit SiGe-Quad-Chip.Fig. 22: Antenna Frontend architecture including the SiGe quad-chip.

Abb. 23: Chip-Foto des Ka-Band Quad-Chip mit RF-MEMS-SPDT in IHPs SG25H3 Technologie.Fig. 23: Chip photo of the Ka Band quad-chip including RF-MEMS SPDTs in IHP’s SG25H3 technology.

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Page 58: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

57A n n u A l R e p o R t 2 0 1 3

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Integration eines 50 V SiGe-HBT in eine 0,25-µm-SiGe-BiCMOS-Plattform

Es wird die modulare Integration eines Hochvolt SiGe- HBTs mit 50 V Durchbruchspannung BVCEO in den 0,25-µm- SiGe-BiCMOS-Prozess SGB25VGDA des IHP vorgestellt. Der verfolgte Ansatz eines lateralen Driftgebietes ist sehr ähnlich den Konstruktionsprinzipien, wie sie für integrierte Hochvolt-LDMOS-Transistoren Anwendung finden. Mit der Konstruktion eines lateralen Driftraums können tiefe Kollektorwannengebiete, welche sonst mittels Hochenergieimplantation oder aufgewachsener Epitaxieschichten erzeugt werden müssen, vermieden werden. Bei dem hier verfolgten Ansatz bleibt die Kon-struktion des Emitters und der Basis der Standard-SiGe- HBTs, welche in dem unterlegten Standard-BiCMOS-Pro-zess erzeugt werden, unverändert. Das BV

CEO*fT Produkt dieses neuartigen Bauelementes erreicht Werte von 200 VGHz.

Mit dem allgemeinen Ansatz eines lateralen Driftge-bietes können Bipolartransistoren mit sehr großen Durchbruchspannungen bei sehr moderaten Implan-tationsenergien < 1MeV hergestellt werden. SiGe-HBTs mit einer langen lateralen Driftregion, als einem we-sentlichen konstruktiven Detail, können mit großen Durchbruchspannungen modular in bestehende Nied-rigspannung-SiGe-HBT-Technologie integriert werden. Die modulare Integration von Hochvolt-SiGe-HBTs mit einer hohen Durchbruchspannung BV

CEO und guten Hochfrequenzeigenschaften in eine verfügbare BiCMOS- Plattform ermöglicht effiziente Lösungen für Hochvolt- Powermanagement-Anwendungen und Schutzschal-tungen als notwendige Bausteine für System-on-Chip- Lösungen. Typische Anwendungen sind Pegelwandler, Hochvolt-Operationsverstärker sowie schnelle Treiber für kapazitive RF-MEMS-Schalter mit einer hohen pull-down-Spannung und kurzen Öffnungszeiten. Der Drift-raum des präparierten 50 V BV

CEO SiGe-HBT besteht größtenteils aus einem unterhalb der Shallow-Trench- Isolationsschicht liegenden niedrig dotierten Gebiet. Eine Feldplatte, ausgeführt als eine Erweiterung der Polysilizium-Basisschicht, ermöglicht eine entspre-chende Reduktion der Feldstäke im Driftgebiet. In

Integration of a 50 V SiGe HBT into a 0.25 µm SiGe BiCMOS Platform

IHP has demonstrated the modular integration of a high-voltage SiGe HBT with 50 V breakdown vol-tage BVCEO into IHP’s 0.25 µm SiGe BICMOS process SGB25VGDA. The chosen approach of a lateral drift region is very similar to the construction principles applied to the construction of integrated high vol-tage LDMOS transistors. The construction of a lateral drift region avoids deep collector wells formed by ion implantation with very high implantation energy or epitaxial layer growth. In the chosen approach the emitter and base construction of the standard SiGe HBTs, available in the underlying standard BiCMOS process, remains unchanged. The BVCEO*fT product of the new device reaches values of 200 VGHz.

The generic approach of a lateral drift region enables the fabrication of bipolar transistors with very high break down voltages even at moderate ion implanta-tion energies < 1 MeV. SiGe HBTs with a long lateral drift region as an essential feature of their device construction (LDHBTs) enable a modular integration of high voltage devices into existing low voltage SiGe HBT technologies. The modular integration of high voltage SiGe HBTs with high BVCE0 and good RF per-formance into an available BiCMOS platform enables efficient solutions for high voltage power manage-ment and circuit protection applications as essential building blocks for system-on-chip solutions. Typical applications are level shifters, high voltage operatio-nal amplifiers and fast drivers for capacitive RF-MEMS switches with high pull-down voltages and short opening times. The drift region of the prepared 50 V BVCEO SiGe HBT consists mainly of a low doped region beneath the shallow trench insulator. A field plate as an extension of the available polysilicon base layer enables a proper reduction of the field in the drift region. Fig. 24 shows the construction of the imple-mented LDHBT. In order to obtain a sufficiently large potential drop the doping and the length of the late-

Page 59: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

58 A n n u A l R e p o R t 2 0 1 3

Abb. 24 ist das Konstruktionsschema des implemen-tierten LDHBT dargestellt. Für einen ausreichend großen Potentialabfall über dem Driftgebiet (LDC2) müssen Dotierung und Länge desselben geeignet ge-wählt werden. LDC2 ist definiert als der Abstand zwi-schen dem vertikalen Kollektorgebiet (LDC1) und dem Kollektor Kontaktgebiet. Für die Integration der LDHBT werden 2 Masken und 3 Maskenschritte benötigt. Um das vertikale Kollektordotierungsprofil der Standard- HBTs einerseits nicht zu verändern und andererseits die Dotierungsdosis für den LDHBT geeignet zu gestal-ten, wird die Dotierung der vertikalen Kollektorgebiete (LDC1) beider Bauelemente in getrennten Prozess-schritten ausgeführt. Die Herstellung des Hochvolt-LDHBT beginnt mit der Implantation des langen late-ralen Driftgebietes vor der RTA-Ausweitung der tiefen n-Wanne. Das vertikale Kollektorgebiet der Standard- HBTs wird vor Ausheilung der Standard-CMOS-Wannen implantiert.

Die wesentlichen Ergebnisse können folgendermaßen zusammengefasst werden:(1) BV

CEO|BVCBO=51 V|56 V(2) fT|fMAX 4,6|17 GHz bei VCE = 4 V(3) fT|fMAX = 3,9|17 GHz bei VCE = 8 V.

Der Transferstrom des parasitären pnp-Transistors ist die dominierende Substratstromkomponente und be-grenzt die Gleichstrom- und Hochfrequenzeigenschaf-ten des Bauelementes. Ein tiefes, niedrig dotiertes Kollektorgebiet unterhalb des Basisgebietes sowie eine optimierte Überlagerung der Kollektorimplantationen in den Gebieten LDC1 und LDC2 erscheinen als aus-sichtsreichste konstruktive Maßnahmen, um die elek-trischen Eigenschaften des LDHBT weiter zu verbessern.

ral drift region (LDC2) must be properly chosen. LDC2 is defined by the distance between the vertical coll-ector region (LDC1) edge and the collector contact region. Three extra mask steps using two additional masks are required for LDHBT integration. In order to maintain the vertical collector doping profile of the standard HBTs and to appropriately adapt the doping dose for the LDHBT, the implantations of the vertical collector regions (LDC1) for both devices are perfor-med separately. The preparation of the high voltage LDHBT begins with the implantation of the long late-ral drift region LDC2 and the vertical collector region LDC1 before deep n-well RTA. The vertical collector region of standard HBT was implanted before annea-ling the standard CMOS wells.

Our primary results can be summarized as follows: (1) BV

CEO|BVCBO=51 V|56 V (2) fT|fMAX 4.6|17 GHz at VCE = 4 V. (3) fT|fMAX = 3.9|17 GHz at VCE = 8 V.

The transfer current of the parasitic pnp transistor as a dominant substrate current component signifi-cantly still limits the DC and RF performance of the device. A deep low doped collector region beneath the base region and an optimized superposition of the collector implants in the regions LDC1 and LDC2 seem to be the most efficient constructive measures for a further improvement of the electrical properties of the LDHBT.

Abb.24: Querschnitt des implementierten Hochvolt-HBT mit lateralem Driftgebiet (LDHBT).Fig. 24: Cross section of implemented high voltage npn HBT with lateral drift region (LDHBT).

Abb. 25: Gemessene IC(VCE) Kennlinien eines LDHBT (AE=1,76 µm2).Fig. 25: Measured IC(VCE) characteristics of the single LDHBT device (AE=1.76 µm2).

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Page 60: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

59A n n u A l R e p o R t 2 0 1 3

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Vollständig kohärente, versetzungsfreie Ge / Si-Nanostrukturen auf Si(001) durch „Compliance“

Das Ziel dieser Forschungsaktivität ist die Entwicklung fortschrittlicher Heteroepitaxiekonzepte – in diesem Fall CMOS-kompatibler Ansätze – um Nanostrukturen gitterfehlangepasster Halbleiter mit ausreichender Ma-terialqualität auf Si-Substraten aufzuwachsen, um ihre überlegenen optoelektronischen Eigenschaften auszu-nutzen und so die Leistungen zukünftiger Bauelemente gegenüber der „Standard“-Siliziumtechnologie zu ver-bessern.

Obwohl integrierte Schaltkreise auf Siliziumbasis auch zukünftig klar die Technologiemärkte dominieren wer-den, werden inzwischen fundamentale Grenzen der physikalischen Eigenschaften des Si selbst erreicht und müssen überwunden werden, um die Leistung und Funktionalität zukünftiger Mikro- und Nanoelektronik weiter zu erhöhen. Die Integration alternativer Halb-leitermaterialien mit überlegenen optoelektronischen Eigenschaften ist deshalb ein wichtiger Ansatz in der Materialforschung, um die Si-basierte Schaltkreistech-nologie besser an zukünftige technologische Anforde-rungen anzupassen. Die klassische Heteroepitaxie git-terfehlangepasster planarer Filme führt unvermeidbar zu plastischer Relaxation mit Gitterversetzungen und einer Verschlechterung der Materialqualität. Dem-gegenüber verwendet das IHP Nanoheteroepitaxie

Fully Coherent, Misfit Dislocation free Ge / Si Nanostructures on Si(001) by Compliance

The goal of this research activity is to develop ad-vanced heteroepitaxy concepts – in this case Si CMOS compatible approaches – to grow nanostructures of lattice mismatched semiconductors on Si substrates with appropriate material quality to fully exploit their superior optoelectronics properties and thus to incre-ase future device performance compared with “stan-dard” Si technology.

Although Si integrated circuits (ICs) will also clear-ly dominate future information technology markets, fundamental limits in the physical properties of Si it-self are met and must be overcome to further increase the performance and functionality of future micro- and nanoelectronics. The integration of alternative semiconductor materials with superior optoelectro-nic properties is thus an important materials science approach to make Si-based circuit technology better adjusted for future technology requirements. Classi-cal heteroepitaxy of lattice mismatched planar films unavoidably leads to plastic relaxation, with dislo-cations negatively affecting the material quality. In contrast, we exploit nanoheteroepitaxy (NHE) to hin-der misfit-dislocation formation in highly lattice-mis-matched Ge on Si heterostructures. Both the enhan-

Page 61: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

60 A n n u A l R e p o R t 2 0 1 3

(NHE), um die Bildung von Gitterfehlversetzungen in extrem-fehlangepassten Ge / Si-Heterostrukturen zu verhindern. Sowohl das erhöhte Oberfläche-zu-Vo-lumen-Verhältnis als auch die Aufteilung der Gitter-verspannung zwischen Film und Substrat durch den „Compliance“-Effekt ermöglichen es, vollständig kohä-rente, defektfreie Halbleiterheterostrukturen mit einer Ge-Dicke herzustellen, die ungefähr 20 mal größer ist als die klassische Kohärenzgrenze für Filme auf Si. Die Abwesenheit des Versetzungsnetzwerkes an der Ge / Si-Grenzfläche, bekannt für seinen schädlichen Einfluss auf die elektrischen Parameter, ist ein besonderer Vor-teil dieses innovativen Ansatzes.

Die kohärenten Nanostrukturen wurden durch die Gas-phasenabscheidung von Ge aus hochreinem Germanium bei reduziertem Druck (RP-CVD) auf Si-Nanoinseln rea-lisiert, die zuvor durch lithographische Strukturierung und Trockenätzung auf einem 200 mm-SOI-Wafer her-gestellt wurden. Ein zweidimensionales Muster von zy-lindrischen Si-Inseln mit einer Weite von 100 nm und einer Periodizität von 360 nm wurde realisiert. Zwi-schen dem Ge und dem Si wurde eine dünne SiGe-Puf-ferschicht mit einem Ge-Anteil von x = 0,5 abgeschie-den, um die Aufteilung der Gitterverspannung zwischen Si-Nanoinsel und Ge-NHE zu maximieren.

ced surface / volume ratio and the compliant strain partitioning between film and substrate allow us to achieve fully coherent, defect free semiconductor heterostructures with a Ge layer thickness exceeding by about 20 times the classical planar coherent-film limit on Si. Especially the absence of a misfit disloca-tion network at the Ge / Si interface, well-known for its detrimental influence on electrical parameters, is an advantage of this innovative approach.

To realize coherent nanostructures, Ge was deposited in a reduced pressure chemical-vapour deposition (RP-CVD) reactor from high purity germane on silicon nano-islands which were fabricated on 200 mm-SOI wafers by lithographic structuring and subsequent dry etching. A two-dimensional pattern of cylindrical Si islands 100 nm wide with 360 nm periodicity was realized. Between Ge and Si, a thin SiGe buffer layer with a nominal Ge content of x = 0.5 was deposited to maximize the strain partitioning between Si nano-islands and Ge NHE.

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Page 62: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

61A n n u A l R e p o R t 2 0 1 3

Abb. 26: a) Aufsicht-TEM-Mikrograph der Ge / SiGe-Puffer / Si- Nanostrukturen im BF-Modus. Es können keine Fehl- versetzungen detektiert werden. b) Querschnitt-STEM- HAADF-Mikrograph der Nanostruktur. Die typische facettierte Geometrie ist zu sehen. Die Probe ist aus Präparationsgründen in SiO2 eingebettet.Fig. 26: a) Plan-view TEM micrograph of Ge / SiGe buffer / Si nanostructures performed in BF mode. There are no misfit dislocations detectable. b) Cross-section STEM HAADF micrograph of the nanostructure showing the typical faceted geometry. The sample is covered by SiO2 for preparation reasons.

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Die Gitterkohärenz der Nanostrukturen wurde mit Transmissionselektronenmikroskopie (TEM) (Abb. 26) bestätigt. Die Gitterverspannung und die Komposition wurden an der Beamline ID01 der Europäischen Syn-chrotronstrahlungs-Einrichtung (ESRF) mit energie-dispersiver Röntgenbeugung bei streifendem Einfall (SR-GID) untersucht. Die Methode ermöglicht eine sehr genaue Bestimmung sowohl der Kristallstruktur als auch des Ge-Gehalts in dem Ge / SiGe / Si-Nanosystem (Abb. 27). Für das theoretische Verständnis wurden in Zusammenarbeit mit der Universita di Milano-Bicocca lineare Elastizitätstheorie-Rechnungen basierend auf der Finite-Elemente-Methode (FEM) durchgeführt (Abb. 28). Geometrie und Ge-Gehalt der heteroepitak-tischen Struktur in den Simulationen wurden dem TEM-Querschnittsbild (Abb. 26) und der Röntgenanalyse entnommen.

The lattice coherence of the nanoheterostructures was confirmed by Transmission Electron Microscopy (TEM) (Fig. 26). Their lattice strain and the compo-sition were investigated by synchrotron-radiation energy dispersive grazing incidence x-ray diffraction (SR-GID) performed at the beam line ID01 of the Eu-ropean Synchrotron Radiation Facility (ESRF) which allows not only for a very sensitive determination of the crystal structure but also of the Ge content in the Ge / SiGe / Si nano-system (Fig. 27). For theoretical understanding, linear elasticity-theory calculations based on the Finite-Element Method (FEM) were ap-plied in cooperation with Universita di Milano-Bicoc-ca (Fig. 28). Geometry and Ge content of the hetero-epitaxial structure in the simulations were based on the TEM cross-section (Fig. 26) and the X-rays ana-lysis.

Page 63: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

62 A n n u A l R e p o R t 2 0 1 3

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Abb. 27: a) In-plane (400) radiale Anomale-Röntgenbeugungs- Scans der Ge / SiGe-Puffer / Si-Probe umgerechnet in Gitterkonstante, gemessen bei drei verschiedenen Rönt- genenergien unterhalb der Ge K-Kante (Ec). b) Daraus bestimmter Ge-Gehalt über der in-plane Gitterkonstante.Fig. 27: a) In-plane (400) radial anomalous x-ray diffraction scans converted to lattice parameter measured at three different beam energies below the Ge K-edge (Ec) of a Ge / SiGe buffer / Si sample. b) Determined Ge content vs. in-plane lattice parameter.

Abb. 28: a) 3D-Finite-Elemente-Simulationsmodell der Ge (blau) / SiGe-Puffer (grün) / Si (rot) Nano-Heterostruktur. b) Simulierte Verspannung in der Struktur. Die fast voll- ständige Relaxation der äußeren Ge-Kruste ist deutlich zu sehen.Fig. 28: a) Finite element 3D simulation model of the Ge (blue) / SiGe buffer (green) / Si (red) nano-heterostructure. b) Simulated strain distribution in the structure showing the almost complete relaxation of the outer Ge crust.

Sowohl die Röntgenanalyse als auch die Simulationen zeigen, dass das meiste Volumen der äußeren Ge-Kruste elastisch relaxiert ist, weil die Ge-Gitterkonstante durch die vielen freiliegenden Facetten wiedererlangt werden kann. In der Folge zieht das Ge an der inneren Si-Insel und erzeugt dort eine tensile Verspannung. Die Schlüs-selrolle, die die SiGe-Pufferschicht bei der Verhinderung von Fehlversetzungen bei so großen Strukturen spielt, wird durch die theoretische Modellierung unterstrichen: In Simulationen ohne den SiGe-Puffer ist die Verspan-nung im Si fast doppelt so groß und das Ge nahe an der inneren Si-Insel wird komprimiert. In diesem Fall würde die Energie des Systems durch Einfügen von Fehlversetzungen, die die große Verspannung des Si ab-bauen, stark abgesenkt. Dementsprechend tritt in Ex-perimenten ohne SiGe-Puffer ein Netzwerk von linearen Fehlversetzungen an der Ge/Si-Grenzfläche auf.

Both X-rays analysis and simulations show that most of the volume of the outer Ge crust is elastically re-laxed, because the abundance of free exposed facets allows for the Ge lattice parameter to be recovered. As a result, Ge pulls laterally at the inner Si island, cau-sing in it a tensile strain. The key role played by the SiGe buffer layer to avoid misfit dislocations at such large sizes is highlighted by theoretical modeling. In simulations without the SiGe buffer, the tensile strain in the Si is almost doubled and the Ge region close to the inner Si island is now compressed. Then, the injection of misfit dislocations would strongly lower the energy of the system, as it relieves the huge ten-sile strain of the Si island. Accordingly, experiments without SiGe buffer reveal an array of linear misfit de-fects at the Ge/Si interface.

Page 64: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

63A n n u A l R e p o R t 2 0 1 3

Herstellung von Graphentransistoren mittels CMOS-kompatibler Prozesse

Ziel des Projektes ist die Entwicklung von graphenba-sierten Hochfrequenzbauelementen unter Verwendung von CMOS-üblichen Materialien und Prozessen.

Graphen und graphenbasierte Bauelemente haben großes Potential, den Einsatz und die Funktionalität der Si-CMOS-Technologie enorm zu erweitern. Graphen-Module (z.B. für Kommunikation, Optoelektronik, Sensorik) können mit überlegener Leistung neuartige Anwendungen in Bereichen wie Konsumer- und Automo-bilelektronik sowie in der Medizintechnik ermöglichen. Die Kombination von graphenbasierten, nichtdigitalen Funktionalitäten mit digitaler CMOS-Technologie er-fordert die Integration dieser neuen Materialien in die existierende Si-Plattform und somit die Herstellung der Graphen-Bauelemente unter Verwendung der in der Si-Technologie üblichen Materialien und Prozesse.

Das IHP entwickelt daher Verfahren zur Herstellung von Graphen-Bauelementen, die z.B. in Transistor-, Sensor- oder optoelektronischen Technologien in einer professi-onellen Si-Produktionslinie eingesetzt werden können.Ein Prototyp für die technologische Entwicklung ist der Graphen-Basis-Transistor (GBT) – ein am IHP entwi-ckeltes Konzept für ein elektronisches Bauelement mit zu erwartender herausragender Hochfrequenzleistung.

Die Abb. 29 zeigt einen GBT , der in der IHP-Pilotlinie unter Verwendung von ausschließlich CMOS-kom-patiblen Materialien und Prozessen auf 200 mm Si-Scheiben hergestellt wurde. Abb. 29(a) zeigt in einer rasterelektronenmikroskopischen Aufnahme (REM) die Graphen-Basis die mit dem strukturierten HfO

2 / TiN- Kollektorstapel abgedeckt ist. Abb. 29(b) und 29(c) zeigen mit dem Transmissionselektronmikroskop den Querschnitt eines GBT-Bauelementes mit W-Via- und Al- Metallisierung. Erste elektrische Charakterisierungen bestätigen, dass die Leitfähigkeit der empfindlichen

Realization of Graphene Transistors with CMOS Compatible Processes

The goal of the project is the development of high frequency graphene-based electronic devices using CMOS compatible materials and processes.

Graphene and graphene-based devices have great po-tential to considerably extend the use and function-ality of the Si CMOS technology. Graphene-enhanced modules (e.g. RF communication, optoelectronics, sensing) with superior performance can provide for innovative applications in many branches such as consumer electronics, automotive electronics, me-dical applications etc, by improving the interaction between the user and the outside world. Combination of the graphene-enabled non-digital functionalities with the digital CMOS world on one chip will require integration of this new material into the existing Si platform and fabrication of graphene devices using materials and processes established in the main-stream Si integrated circuit manufacturing.

At IHP we develop a graphene device fabrication scheme which can be used to manufacture a wide range of graphene devices such as transistors, sen-sors, or optoelectronic components in a professional Si technology line. A vehicle for this technology de-velopment is the graphene base transistor (GBT) – an electronic device concept developed at IHP for which an outstanding high frequency performance is pre-dicted.

Fig. 29 shows images of first GBT devices fabricated in the IHP pilot line on 200 mm wafers using only CMOS compatible materials and processes. Fig. 29(a) is a scanning electron micrograph (SEM) taken after patterning of the graphene base and the HfO

2 / TiN collector stack. Figs. 29(b) and (c) show scanning transmission electron microscopy images illustrating the cross section of a finished GBT device with W via and Al metallization. Initial electrical characteriza-tion demonstrates that the fragile single graphene layer preserves its conducting characteristics even

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Page 65: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

64 A n n u A l R e p o R t 2 0 1 3

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

monoatomaren Graphen-Schicht auch nach mehrfach anspruchsvoller technologischer Behandlung mit etwa 2 kΩ / sq erhalten bleibt. Dies ist eine wichtige und er-mutigende Aussage für die weitere Arbeit an der Inte-gration von Graphen in die Si-Technologie.

Weiterführende Untersuchungen beziehen die an-spruchsvolle Suche nach Lösungen für den Metall / Gra-phen-Kontaktwiderstand und die homogene Beschich-tung von Graphen mit isolierenden und halbleitenden Schichten mittels Chemischer Dampfphasenabschei-dung (CVD) ein.

after many steps of harsh technological processing showing sheet resistance of about 2 kΩ / sq. This is a very important and encouraging outcome for further work towards the integration of graphene into the Si technology platform.

Ongoing further research includes the challenging search for solutions associated e.g. with the me-tal / graphene contact resistance and the uniformity of dielectric and semiconducting layers deposited onto graphene by chemical vapor deposition (CVD).

Abb. 29: Herstellung eines Graphen-Bauelementes in der IHP-Pilotlinie: (a) REM eines GBT nach Graphenbeschichtung und Strukturierung des HfO2 / TiN-Kollektorstapels (E: Emitter; B: Basis; C: Kollektor), (b) STEM-Querschnitt eines fertigen GBT mit W-Via- und Al-Metallisierung. (c) Der vergrö ßerte Bereich zeigt die Bedeckung der Basiskontaktstufe mit Graphen. Fig. 29: Fabrication of graphene devices in the IHP pilot line: (a) SEM of a GBT device after graphene base deposition and patterning of the HfO2 / TiN collector stack (E: emitter; B: base; C: collector), (b) STEM cross-section of a finished GBT with W via and Al metallization. (c) In the magnified region, the edge of the monolayer graphene covering the TiN base contact step is visible.

Page 66: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

65A n n u A l R e p o R t 2 0 1 3

Simulationen für monolithische SAW-Bau-elemente mit der Finite-Elemente-Methode (FEM)

Dieses Projekt zeigt, dass die Integration von SAW (surface acoustic wave)-Bauelementen in einem kon-ventionellen Si-CMOS-Prozess möglich ist. Aufgrund der hohen photolithographischen Auflösung der CMOS-Technologie können die SAW-Bauelemente im GHz-Be-reich arbeiten. Der CMOS-kompatible Schichtaufbau ist schematisch in Abb. 30a dargestellt. Anstatt der kon-ventionellen Bauweise, in der die Interdigital-Wandler (IDT) auf der Oberseite des piezo-elektrischen Films angeordnet sind, bestehen die IDTs in diesem Fall aus Wolframfingern, die in einer amorphen SiO

2-Schicht eingebettet sind. Die hohe lithographische Auflösung der 0,13-µm-CMOS-Technologie ermöglicht die Herstel-lung von akustischen Bauelementen für Frequenzen von bis zu 6 GHz. Die Resonanzfrequenzen der akusti-schen Moden, die durch die eingebetteten IDTs erzeugt werden, können durch ein elastisches FEM-Modell für die untersuchte Schichtstruktur beschrieben werden. Abb. 31b zeigt die vier Resonanzmoden der zwei ersten Rayleigh-Wellen R0 und R1.

SAW-Bauelemente werden häufig in modernen Kom-munikationssystemen, wie Hochfrequenz-Front-End-Modulen, sensorischen Anwendungen zur Erkennung von Druck und Temperatur oder mikrofluidischen Anwendungen benötigt. Seit wenigen Jahren ist das Interesse für die SAW-Biosensoren z. B. zum Hepati-tis-B-Antikörper-Nachweis, aufgrund der hohen Emp-findlichkeiten und schnellen Reaktionszeiten steigend. Zudem steigt das Interesse an SAW-Bauelementen mit Aluminiumnitrid (AlN) als piezo-elektrisches Material u.a. durch die Möglichkeit der Integration in die CMOS-Technologie. Zur Realisierung von kostengünstigen Sys-temen wie z.B. selektiven biologischen Sensor-Arrays mit hoher Güte ist es notwendig, SAW-Filter und die entsprechende elektronische Schaltung auf einem Chip zu kombinieren.

Simulations for Monolithic SAW-devices Using the Finite Elements Method (FEM)

This project demonstrates that the integration of SAW (surface acoustic wave) elements in a conventional Si CMOS process can be accomplished by taking full ad-vantage of the high photo-lithographic resolution to produce GHz SAW devices. The CMOS compatible layer configuration is displayed schematically in Fig. 30a. Instead of the conventional design with the trans-ducers placed on top of the piezoelectric film, the interdigital transducers (IDT) in our case consist of tungsten (W) stripes embedded in an amorphous SiO2 layer underneath a piezoelectric Aluminum-nitride (AlN) film. The high photolithographic resolution of the 0.13 µm CMOS technology allows the fabrication of acoustic delay lines for frequencies approaching 6 GHz. The resonance frequencies of the acoustic modes generated by the embedded IDTs can be de-scribed by an elastic FEM model for the investigated layer system. Fig. 31b presents the four resonance modes of the first two Rayleigh modes R0 and R1.

Surface acoustic wave devices (SAW) are commonly applied in modern commercial communication sys-tems like radio-frequency (RF) front-end modules in cell phones, sensor devices for the detection of pres-sure and temperature or microfluidic applications. Since a few years, the interest in SAW biosensors, for example for hepatitis B antibody detection, has been rising due to the high sensitivity and fast response times. In addition, SAW-devices with aluminium ni-tride (AlN) as piezoelectric material have generated a growing interest due to the integrability in CMOS technologies. For the realization of low-cost devices such as selective biological sensor arrays with high performance, it is necessary to combine SAW filters and the corresponding electronic circuit on one chip.

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Page 67: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

66 A n n u A l R e p o R t 2 0 1 3

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Für ein detailliertes Verständnis und zur Optimierung der akustischen Eigenschaften für CMOS-kompatible SAW-Filter sind detaillierte Simulationen mit den zwei CMOS-kompatiblen Elektrodenmaterialien W und Al notwendig um die Elektrodenreflektivität sowie den elektromechanischen Kopplungsfaktor K2 zu optimie-ren. Diese Parameter haben einen großen Einfluss auf die Einfügedämpfung und die Bandbreite solcher Filter.

Die Resultate zeigen, dass die Reflektivität der W-Elek-troden ein bis zwei Größenordnungen höher ist als die der Al-Elektroden (Abb. 31 links). Die größere Reflek-tivität der W-Elektroden wird durch die höhere Masse-dichte verursacht (W= 19,3 g / cm3 und Al = 2,7 g /cm3).

For a detailed understanding and for optimization of the acoustic properties for CMOS compatible SAW filters, it is necessary to make detailed simulations with the two CMOS compatible electrode materials W and Al for calculating the electrode reflectivity de-pendence and the electromechanical coupling factor K2 which have a strong influence on the insertion loss as well as the bandwidth of such filters.

The results show that the reflectivity of W-electrodes is one to two magnitudes larger than that of Al-based electrodes (Fig. 31 left). The larger reflectivity of W-electrodes is caused by its higher density of mass (W = 19.3 g / cm3 and Al = 2.7 g / cm3).

Abb.30: (a) Schematische Darstellung des Schichtaufbaus für das FEM-Modell welches für die Simulation benutzt wurde. (b) Partikelauslenkungen für eine harmonische Analyse bei 1 V Elektrodenspannung der ersten vier simulierten akustischen Moden. Fig 30: (a) Schematic drawing of the layer configuration used for FEM simulation. (b) Particle displacement by harmonic analysis at 1 V of the first four acoustic modes.

Page 68: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

67A n n u A l R e p o R t 2 0 1 3

Abb. 31 (rechts) illustriert den Kopplungsfaktor für AlN / SiO2 / Si(100)-Strukturen mit 600 nm SiO2 und ohne SiO2 . Die Struktur mit der SiO2-Schicht zeigt einen höheren Kopplungsfaktor als ohne SiO2. Die maximale Kopplung der Rayleigh-Welle R0 mit Al-Elektroden liegt bei 2 % und ist damit etwas höher als mit W-Elektroden (1,83 %). Die Mode R1 zeigt nur sehr geringe K2-Werte von maximal 0,35 %. Diese Werte decken sich mit expe-rimentellen Resultaten.

Mithilfe dieser FEM-Resultate können optimierte kos-teneffektive CMOS-integrierte SAW-Sensoren für die Multiparameteranalyse sowie integrierte SAW-Filter für die drahtlose Datenkommunikation hergestellt werden.

Fig. 31 (right) illustrates the coupling factors for AlN / SiO2 / Si(100) structures with 600 nm SiO2 and without SiO2. The stacked structures with SiO2 layers exhibit higher coupling factors than the ones with-out SiO2. The maximum value of the mode R0 with Al-electrode is 2 % and is slightly higher than the one with W-electrodes (1.83 %). Mode R1 exhibits low electromechanical coupling characteristics and has its maximum at 0.35 %. These calculated results fit well with experimental results.

Thanks to these FEM simulation results, optimized cost effective CMOS integrated sensitive SAW sensors for multiparameter analysis as well as SAW filters for wireless communication can be processed.

Abb. 31: (links) Reflektivität als Funktion der AlN-Dicke bei einer Wellenlänge von 1,68 µm und khSiO2 = 2,24 mit 100 nm dicke W/Al-Elektroden. (rechts) Elektromechanischer Kopplungsfaktor einer SAW-Strukturen mit W-Elektroden (durchgezogene Linien) und mit Al-Elektroden (gestrichelte Linien) als Funktion der AlN-Schichtdicke.Fig. 31: (left) Reflectivity as a function of AlN thickness at 1.68 µm wavelength for khSiO2 = 2.24 and 100 nm thick W/Al-electrodes. (right) Electromechanical coupling coefficient of SAW structures with W-electrodes (solid lines) and Al-electrodes (dotted lines) as function of AlN thickness.

a u S G e w ä h l t e p r o J e K t e – S e l e C t e d p r o J e C t S

Page 69: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

68 A n n u A l R e p o R t 2 0 1 3

Joint Labs

Page 70: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

69A n n u A l R e p o R t 2 0 1 3

G e M e I n S a M e l a B o r e – J o I n t l a B S

Gemeinsames Labor IHP / BTU Cottbus-Senftenberg„Materialforschung“

Das Gemeinsame Labor IHP / BTU auf dem Campus der Brandenburgischen Technischen Universität Cottbus-Senftenberg (BTU) in Cottbus besteht seit 2000. Es bündelt die Forschungspotentiale beider Partner und leistet, unter maßgeblicher Einbeziehung von Studie-renden, interdisziplinäre Forschung auf dem Gebiet der Halbleitermaterialien. Dabei bezieht es Lehrstühle der BTU wie Experimentalphysik, Theoretische Physik oder Schaltkreisentwurf in seine Forschungstätigkeit ein.

National kooperiert das Gemeinsame Labor (Joint Lab) im Rahmen seiner Projektarbeit mit einer Reihe von Forschungseinrichtungen, wie dem MPI für Mikrostruk-turphysik Halle, den Universitäten Stuttgart, Halle und Göttingen, der TU Berlin, dem HZB Berlin oder dem IKZ Berlin sowie mit Unternehmen aus der Silizium-Bran-che, wie der Siltronic AG.

Unter den bestehenden internationalen Verbindungen sind besonders die enge Kooperation mit der Univer-sität St. Petersburg (Russland) und die Kontakte zur Zhejiang Universität in Hangzhou (China) hervorzuhe-ben. Darüber hinaus war das Gemeinsame Labor an der Vorbereitung der 15. Internationalen Konferenz „Gette-ring and Defect Engineering in Semiconductor Techno-logy“ (GADEST 2013) beteiligt, die im September 2013 in Oxford (UK) abgehalten wurde.

Das Gemeinsame Labor führt Forschungsarbeiten durch, deren Ziel es ist, bisher ungenutzte Eigenschaften des Siliziums für einen künftigen Einsatz auf neuen Gebie-ten zu erschließen und hat damit begonnen, die Eigen-schaften von Germanium-Zinn-Schichten im Hinblick auf photonische Anwendungen zu bewerten. Auf Basis der Ergebnisse dieser Vorlaufforschung können für das IHP Entscheidungen zu seiner zukünftigen inhaltlichen Ausrichtung vorbereitet werden. Das BMBF-Projekt Si-GeTE, in dem die Einsetzbarkeit von Si-Schichten mit Versetzungsnetzwerken für thermoelektrische Anwen-dungen evaluiert wurde, wurde abgeschlossen. Die

Joint lab Ihp / Btu Cottbus-Senftenberg“Materials research“

The Joint Lab IHP / BTU located on the campus of the Brandenburg University of Technology Cottbus-Senftenberg (BTU) was founded in 2000. It pools the research potential of the partners IHP and BTU, conducts interdisciplinary research – with substan-tial participation of students – in the field of semi-conductor materials and actively involves chairs of BTU, such as e.g. Experimental Physics, Theoretical Physics or Circuit Design, in its research activities.

Within the framework of its research projects, the Joint Lab collaborates nation-wide with various re-search facilities such as the MPI of Microstructure Physics Halle, universities in Stuttgart, Halle and Göt-tingen, TU Berlin, HZB Berlin and IKZ Berlin as well as with silicon companies such as Siltronic AG.

Among the various international scientific contacts, particular mention deserves the close collaboration with the Institute of Physics at the St. Petersburg State University (Russia) and the long standing contacts with the Zhejiang University in Hangzhou (China). Furthermore, the Joint Lab was involved in the preparation of the 15th International Conference “Gettering and Defect Engineering in Semiconductor Technology” (GADEST 2013) which was held in Oxford (UK) in September 2013.

The Joint Lab conducts research aimed at utilizing so far unused properties of silicon for future new appli-cation areas. Recently, it has started to evaluate the properties of germanium-tin layers with respect to use in photonics. The results of this forerunning re-search will support IHP´s decision-making regarding its future research directions. The BMBF project SiGe-TE, whose aim was to evaluate whether Si layers with dislocation networks can be used for thermoelectric applications was completed in 2013. The photovol-taic research at the Joint Lab was not intensified in 2013 unlike in the years before and comprised the

Page 71: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

70 A n n u A l R e p o R t 2 0 1 3

G e M e I n S a M e l a B o r e – J o I n t l a B S

Arbeiten zur Photovoltaik wurden im Vergleich zu den Vorjahren in 2013 nicht weiter intensiviert. Es laufen noch die Mitarbeit im „Kompetenzzentrum Dünn-schicht- und Nanotechnologie für Photovoltaik Berlin“ (PVComB) und im BMU-Verbundprojekt SolarWinS, das Anfang 2014 abgeschlossen wird. Weiter wird durch das Joint Lab ein externer Doktorand der Firma SolarWorld Innovations GmbH betreut.

Die nachfolgend aufgeführten Forschungsschwerpunkte sollen Beiträge zur Weiterentwicklung der Mikroelektro-nik, zur Einführung einer Si-basierten Nanoelektronik und Photonik und zur Unterstützung der Photovoltaik liefern und werden im Rahmen von Projekten, meist in Arbeitsteilung mit externen Partnern und unter Hinzu-ziehung von BTU-Lehrstühlen, verfolgt:- Versetzungs-Engineering für Lichtemitter und andere Anwendungen, wie z.B. Si-basierte Thermoelektrik- Ge- und GeSn-Schichten für photonische Anwendungen- Elektrische Aktivität von Kristalldefekten in Solar-Si für ein Wirkungsgrad-Engineering in der waferbasierten und Dünnschicht-Photovoltaik- Si-Wafer für zukünftige Technologiegenerationen.

Im Jahr 2013 bearbeitete bzw. beendete das Gemein-same Labor fünf Drittmittelprojekte, darunter zwei BMBF-Projekte, ein BMU-Projekt, ein DFG-Projekt und ein Industrieprojekt. Durch diese Projekte standen in 2013 etwa 650.000 Euro Drittmittel zur Verfügung, die vom IHP bzw. der BTU verwaltet wurden.

Das Gemeinsame Labor unterstützt das Lehrangebot der BTU mit Seminaren, Übungen und Praktika. Im Jahr 2013 wurden zwei Promotionen und eine Diplomarbeit abgeschlossen sowie das Industriepraktikum eines tai-wanesischen Studenten betreut.

Weiterführende Informationen über das Gemeinsame Labor sind unter www.jointlab.de abrufbar.

participation in the “Competence Centre Thin Film and Nanotechnology for Photovoltaics Berlin” (PV-ComB) and in the BMU project SolarWinS. In addition, an external doctorate candidate from the company SolarWorld Innovations GmbH was supervised by the Joint Lab.

The research topics listed below aim to deliver con-tributions for the future development of microelec-tronics, for the implementation of Si-based nano-electronics and photonics, and for the support of photovoltaics. The activities are organized in the form of projects, usually carried out in collaboration with external partners and including BTU chairs:- Dislocation-engineering and Ge layers for light emitters and other applications, e.g. Si-based thermoelectric generators- Ge and GeSn layers for photonic applications- Electrical activity of crystal defects in solar silicon for support of efficiency engineering in wafer-based photovoltaics- Si wafers for future technology generations.

In 2013, the Joint Lab worked on or finished five pro-jects funded by third parties, among them two pro-jects funded by BMBF (Federal Ministry of Education and Research), one project funded by BMU (Federal Ministry for the Environment, Nature Conservation and Nuclear Safety), one DFG project and one indus-try funded project. About € 650,000 third-party funds were available for the projects running in 2013. The funds were administered by IHP and BTU, respec-tively.

The Joint Lab supports teaching at BTU Cottbus-Senftenberg by conducting tutorials, exercises and practical courses. In 2013, two PhD theses as well as one diploma thesis were finished by members of the Joint Lab. Furthermore, the industry internship of a Taiwanese student was supervised.For further information about the Joint Lab please vi-sit the website www.jointlab.de.

Page 72: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

71A n n u A l R e p o R t 2 0 1 3

G e M e I n S a M e l a B o r e – J o I n t l a B S

Gemeinsames Labor IHP / TH Wildau (FH)„Hochgeschwindigkeitsbauelemente / Photonik“

Im Zuge der engen Zusammenarbeit zwischen dem IHP und der TH Wildau (FH) im Joint Lab wurde auch im Jahr 2013 an dünnen, funktionalen Kohlenstoffschich-ten (graphenartige und graphenhaltige Schichten) geforscht. Ziel ist die Entwicklung transparenter, leit-fähiger Schichten, wie sie u.a. in Flachbildschirmen und Solarzellen zur Anwendung kommen. Hier geht es speziell um Ersatz von ITO (Indium-Zinn-Oxid). Indium zählt zur Gruppe der seltenen Erden und ist daher nur begrenzt verfügbar. Zur Herstellung der ultradünnen Kohlenstoffschichten wurde an der TH Wildau eine An-lage zur plasmagestützten Gasphasenabscheidung (PE-CVD) von Graphen und graphenartigen Schichten auf isolierenden Materialien entwickelt. Bei diesem Ver-fahren wird über einer erwärmten Probe im Vakuum ein Plasma mit unterschiedlicher Gaszusammensetzung ge-zündet (Abb. 32). Bei der Charakterisierung der dafür hergestellten Schichten konnten die TH Wildau und das IHP ihre Kompetenzen besonders im Bereich der Mess-technik bündeln. Im IHP wurden hierzu Messungen der Leitfähigkeit und Ladungsträgerbeweglichkeit mithilfe eines Hall-Messplatzes durchgeführt. Untersuchungen mittels Raman-Spektrometrie erfolgten bei beiden Ko-operationspartnern inklusive der graphischen Darstel-lung durch hochauflösendes Mapping der Probenober-fläche. Weiterhin erfolgten am IHP Untersuchungen der Oberflächenmorphologie (Rauheit, Homogenität) mittels AFM (Atomkraftmikroskopie) sowie REM-Auf-nahmen der Bruchkante (Rasterelektronenmikrosko-pie). Hier konnten wichtige Parameter wie z.B. Schichtdi-cke und Homogenität ermittelt werden. An der TH Wildau wurden darüber hinaus die Charakterisierungen durch Messungen der Transmissions- und Reflektionseigenschaf-ten der Kohlenstoffschichten mittels Spektralphotometrie und -reflektometrie vervollständigt. Die Bestimmung der optischen Konstanten (n, k) erfolgte ebenfalls an der TH Wildau durch Messungen mittels Spektralellipsometrie. Durch diese Bündelung der Kompetenzen konnten die hergestellten Schichten präzise vermessen und charakteri-siert werden, was direkt in die Prozessentwicklung bei der Optimierung der Schichteigenschaften einfloss.

Joint lab Ihp / tuaS wildau“high-speed devices / photonics”

Within the framework of the close cooperation bet-ween IHP and the University of Applied Sciences Wildau (UASW) at Joint Lab, also in 2013 research continued on thin and functional carbon layers (gra-phene-like and graphene-containing layers). The aim is the development of transparent, conductive layers as applied in flat screens or solar cells. Above all, the focus is on the substitution of ITO (indium tin oxide). Indium is a rare earth element and only available in limited quantities. To manufacture the ultrathin car-bon layers, a facility has been developed at UASW for plasma-enhanced vapor deposition of graphene and graphene-like layers on isolating materials. With this technique plasma with a different gas composition is ignited above a heated sample (Fig. 32). When cha-racterizing the produced layers, UASW and IHP were able to bundle their skills, especially in the field of measuring technology. Measurements of conducti-vity and charge carrier mobility were performed at IHP with a Hall measuring station. Investigations with Raman spectroscopy were carried out by both cooperation partners including the graphical repre-sentation of high resolution mapping of the sample surface. IHP also conducted investigations of surface morphology (roughness, homogeneity) by means of AFM (Atomic Force Microscope) and SEM (Scanning Electron Microscope) images of breaklines. Hereby important parameters such as thickness and homo-geneity were identified. Furthermore, at UASW the characterizations were completed by measurements of transmission and reflection properties with the help of spectrophotometry and spectral reflectome-try. The identification of optical constants (n, k) was also carried out at UASW by measurements of spectral ellipsometry. This bundling of competences made it possible to precisely measure and characterize the produced layers, which had a direct impact on process development for the optimization of the layer proper-ties.

Page 73: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

72 A n n u A l R e p o R t 2 0 1 3

G e M e I n S a M e l a B o r e – J o I n t l a B S

Im Rahmen des Projektes „DELTA“ wurden Untersu-chungen zu Silizium-Organik Hybrid (SOH)-Modula-toren begonnen. In diesem Projekt soll ausgehend von den technologischen Möglichkeiten des Schaltkreis-designs eine Erweiterung durch Ausnutzung nichtli-nearer Eigenschaften organischer Komponenten vor- genommen werden. Diese auf Schlitzwellenleitern ba-sierenden Komponenten wurden mittels numerischer Simulationen optimiert und in ein Design-Layout um-gesetzt. Die Modulatoren sind bis zur ersten Metalli-sierung so konzipiert, das ein späterer Ätzvorgang die Schlitzwellenleiter freilegen kann. Anschließend wird ein organisches Material mit optisch nichtlinearen Eigenschaften abgeschieden.

Das im Rahmen des Bachelorstudiums im IHP durch-geführte Praktikum hat sich etabliert. Die Studenten der TH Wildau werden mit der komplexen Arbeitsweise im IHP vertraut gemacht. Auch 2013 wurden von Stu-denten im Rahmen von Forschungsthemen interessante Bachelor- und Masterarbeiten erarbeitet. Es wurden Untersuchungen zur Terahertzspektroskopie, zum Gra-phentransfer und zu neuartigen Speicherbauelementen (ReRAMs) durchgeführt.

Within the scope of the project “DELTA”, investiga-tions of Silicon-Organic Hybrid (SOH) Modulators started. Aim of this project is, based on the techno-logical opportunities of circuit design, the extension of the investigations by utilization of non-linear pro-perties of organic components. These components, built on slotted waveguides, were optimized by means of numerical simulation and realized in a de-sign layout. The modulators are designed up to the first metallization in a way that a later etching can uncover the slotted waveguides. This step is followed by deposition of organic material which has optical non-linear properties.

The practical course conducted at IHP within the scope of the bachelor’s program has been established. Students of UASW become acquainted with the com-plex working methods at IHP. Also in 2013, interesting topics of research were the subjects of bachelor and master theses submitted by UASW students. Investi-gations focused on Terahertz Spectroscopy, graphene transfer and novel memory components (ReRAM).

Abb. 33: Querschnitt von zwei parallellaufenden Schlitzwellen- leitern. Das Layout wurde bis zur ersten Metallisierung entwickelt (nicht maßstabsgerecht).Fig. 33: Cross section of two parallel slotted waveguides. The layout was developed up to the first metallization (not to scale).

Abb. 32: Argon-Methanplasma zur Abscheidung von Graphen auf Isolatoren. Quelle: von Amsberg.Fig. 32: Argon-Methan plasma for depositing graphene on isolators. Source: von Amsberg

SchlitzwellenleiterSiO2

organisches Mantelmaterial

Metallelektroden

SiO2

n dotiertesSilizium

n+ dotiertesSilizium

Wolfram-kontakt

Silizide

Page 74: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

73A n n u A l R e p o R t 2 0 1 3

G e M e I n S a M e l a B o r e – J o I n t l a B S

Gemeinsames Labor IHP / TU Berlin„Silizium-Photonik“

Die Photonik hat sich in jüngster Zeit zu einer Schlüs-seltechnologie mit einem breiten Anwendungsspek-trum, das sich nicht mehr nur auf das Gebiet der optischen Kommunikation beschränkt, entwickelt. Optische Funktionalität ist hierbei das entscheidende Kriterium für kommerzielle Erfolge. Einen Schwerpunkt der gegenwärtigen Forschung und Entwicklung bilden integrierte photonische Technologien, beruhend auf der Vereinigung von Silizium-IC-Elektronik mit integrierter Optik (Siliziumphotonik).

Die Entwicklung einer photonischen Technologie, basie-rend auf den am IHP etablierten BiCMOS-Technologien, erfolgt in enger Kooperation mit der Technischen Uni-versität Berlin (FG Hochfrequenztechnik / Photonik). Das IHP profitiert dabei von dem an der TU Berlin ver-fügbaren photonischen Know-how, wobei andererseits die TU Berlin für ihre photonischen Forschungen und Entwicklungen Zugang zu den IHP-Technologien be-kommt. Als äußerst effektive Form der Zusammenar-beit hat sich in den letzten Jahren das im Juni 2010 gegründete Joint Lab Silicon Photonics bewährt.

Es besteht eine enge Kooperation mit international führenden Einrichtungen und Forschergruppen auf dem Gebiet der Siliziumphotonik. Ausdruck dafür ist die ak-tuelle Zusammenarbeit in zahlreichen EU-finanzierten Photonik-Projekten (ESSENTIAL, MERMIG) und der er-folgreiche Abschluss der EU-Projekte HELIOS und GA-LACTICO im Jahre 2013. Zusätzlich zu den europäischen Aktivitäten ist das Joint Lab auch an verschiedenen nationalen und regionalen Projekten zu photonischen Schwerpunkten beteiligt. Das Joint Lab arbeitet ge-genwärtig eng mit industriellen Partnern, wie z. B. U2T (Deutschland und Großbritannien), Alcatel-Lucent (Deutschland), NSN-PT , Telecom-Italia, Astrium (Fran-kreich) und Constelex (Griechenland) zusammen. Außerdem bestehen auch intensive Kooperationsbe-ziehungen zu zahlreichen Forschungsinstituten und Universitäten (IMEC, CEA-LETI, FhG HHI, FhG IZM, UP Valencia, NTU Athens, TU Wien).

Joint lab Ihp / tu Berlin“Silicon photonics”

Photonics is becoming a key technology in everyday life. The application of photonics technologies is no longer limited to optical communications. Optical functionality is a crucial criterion for commercial suc-cess. Many present developments focus on integrated photonics technologies, in particular on the conver-gence of silicon IC technology and integrated optics (silicon photonics).

In order to set up a photonics technology based on IHP’s BiCMOS toolset, a close collaboration with the TU Berlin (FG Hochfrequenztechnik / Photonik) has been established. IHP benefits from the photonics know-how available in Berlin, while Berlin gains ac-cess to the technology of IHP for photonic research purposes. The frame for this collaboration is the Joint Lab Silicon Photonics, founded in June 2010.

The work is closely linked to international top-level research in this area, which is reflected by the consi-derable number of EU-funded projects with the focus on photonics (ESSENTIAL, MERMIG). In 2013, the EU projects HELIOS and GALACTICO were finished suc-cessfully. In addition to European activities there are a number of national and regional projects. There is a close cooperation with industrial partners such as U2T (Germany & UK), Alcatel-Lucent-D, NSN-PT, Tele-com-Italia, Astrium (France) and Constelex (Greece). Similarly, the Joint Lab cooperates with numerous research institutes and universities, including IMEC, CEA-LETI, FhG HHI, FhG IZM, UP Valencia, NTU Athens and Vienna University of Technology.

Page 75: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

74 A n n u A l R e p o R t 2 0 1 3

G e M e I n S a M e l a B o r e – J o I n t l a B S

Neben den zahlreichen Forschungsaktivitäten verbes-sert das Joint Lab Silizium Photonik auch die akade-mische Anbindung des IHP. Das Joint Lab ist mit zwei Vorlesungen an der TU Berlin vertreten, die von Prof. Dr. B. Tillack und Dr. L. Zimmermann gehalten werden. Im Joint Lab werden zahlreiche Studien-, Diplom-, Master- und Doktorarbeiten bis zum erfolgreichen Abschluss betreut.

In addition to extensive research activities the Joint Lab actively links IHP to academia. Currently, two courses at TU Berlin are provided by IHP, lectured by Prof. B. Tillack and Dr. L. Zimmermann. A considera-ble number of diploma, master, and PhD theses are conducted in the frame of the Joint Lab.

Gemeinsames Labor IHP / HU Berlin „Drahtlose Kommunikationssysteme“

Auf der Basis eines Kooperationsvertrages zwischen der Humboldt-Universität zu Berlin und dem IHP, wurde zur Bündelung der Forschungskompetenzen ein Joint Lab gegründet und im Mai 2012 offiziell eröffnet. Mit dem Aufbau und der Leitung dieses Joint Lab ist Prof. Dr. Eckhard Grass betraut.

Das Fachgebiet „Drahtlose Breitbandkommunikations-systeme“, vertreten durch die gleichnamige Professur innerhalb der Technischen Informatik, befasst sich in Lehre und Forschung mit Kommunikationssystemen für höchste Datenraten. In der Lehre wird neben den Grundlagen der Nachrichtentechnik auch auf spezielle aktuelle Entwicklungen und Standards eingegangen. Der rapide wachsende Anteil an multimediafähigen Smartphones und die Einführung von HD- und 3D-Videostandards führt zu einem rasanten Anstieg der notwendigen Übertragungsraten für mobile Endgeräte. Ausgehend von den Kanaleigenschaften werden Algo-rithmen, Architekturen und Implementierungsaspekte für drahtlose Kommunikationssysteme mit höchsten Datenraten erörtert. Die Studierenden werden an den Entwurf und die Implementierung von drahtlosen Kom-munikationssystemen herangeführt. Das in der Vorle-sung vermittelte Wissen wird im Praktikum angewendet und an konkreten Beispielen in einer Übung vertieft.

Joint lab Ihp / hu Berlin“wireless Communication Systems”

Based on a cooperation agreement between Hum-boldt-University Berlin and IHP both institutions established a Joint Lab. This Joint Lab is to bundle the research expertise of both institutions. It was formally opened in May 2012. Prof. E. Grass is in charge of es-tablishing and leading this Joint Lab.

His reserach field and subject of teaching is focused on Wireless Broadband Communication Systems. In the lectured module “Wireless Broadband Commu-nications Systems”, basic wireless communication techniques are taught. Special focus is on recent and future wireless standards such as IEEE802.11ad and IEEE802.15.3c. The rapidly growing percentage of smartphones and other wireless devices in conjunc-tion with the introduction of HD- and 3D video stan-dards results in a dramatic increase of required data rates. Based on wireless channel characteristics, al-gorithms, architectures and implementation aspects of wireless communication systems with highest data rates are presented. The students are introduced to the developement and implementation of wireless systems. The material taught in the lecture is put into practice in a lab class and applied to specific problems in an exercise.

Page 76: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

75A n n u A l R e p o R t 2 0 1 3

G e M e I n S a M e l a B o r e – J o I n t l a B S

Das Joint Lab ermöglicht eine zukunftsweisende, ziel-offene und ergebnisoffene Forschungskooperation zwi-schen der HU Berlin und dem IHP. Die Kompetenzen beider Einrichtungen werden zum gegenseitigen Nut-zen gebündelt.

Die Forschungsschwerpunkte des Joint Lab liegen auf den Gebieten Breitbandkommunikation, Lokalisie-rungsverfahren, Signalverarbeitung und Codesign(www.ihp-hub-bb.jointlab.de/).

Im Jahr 2013 wurde ein neues DFG-Projekt mit dem Akronym „maximumMIMO” bewilligt. Der Fokus dieses Projektes liegt auf der Erhöhung der spektralen Effizi-enz mithilfe der massive-MIMO Technologie und unter Einsatz von hochauflösenden 3D-Antennentopologien (www.wireless100gb.de). Die Arbeit am Projekt be-gann im November 2013.

The Joint Lab facilitates a future-oriented and mu-tually beneficial cooperation between HU Berlin and IHP. For the benefit of both institutions their resour-ces and competences are bundled.

The main reserach areas pursued in the Joint Lab are Wireless Broadband Communication Systems, Locali-zation and Ranging as well as Model Based Design (www.ihp-hub-bb.jointlab.de/).

In 2013, a new DFG-Project with the acronym “ma-ximumMIMO” was granted. The focus of this project is on increasing the spectral efficiency using massive MIMO technology in conjunction with high-resoluti-on 3D antenna topologies (www.wireless100gb.de). The actual work on this project started in November 2013.

Ihp: applied researchWireless Broadband Communications, Technology, Application of Wireless Systems, Localization / Ranging … • Industry projects from Airbus to VW• BMBF projects (e.g. PreLocate)

hu Berlin: Basic researchNetwork Architectures, Model-based design, Digital Signal Processing, Distributed and Embedded Systems …• Graduation (METRIK, …)• DFG projects (e.g. maximimMIMO)

Page 77: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

76 A n n u A l R e p o R t 2 0 1 3

Gemeinsames Labor IHP / TU Berlin „Bioelektronik“

Im September 2013 fand die offizielle Eröffnungs-veranstaltung des Gemeinsamen Labors an der Tech-nischen Universität Berlin statt. Grußworte kamen u.a. vom Ersten Vizepräsidenten der TUB, Prof. P.U. Thamsen. In verschiedenen Vorträgen wurden FuE-Projekte vorge-stellt, die sich in Berlin-Brandenburg bereits mit Frage-stellungen der Bioelektronik befassen. Für wie relevant diese Entwicklungen gehalten werden, war an der ho-hen Zahl von über 100 Teilnehmern aus Mikroelektronik und Biotechnologie abzulesen.

Inhaltlich war die Arbeit des Gemeinsamen Labors mit der Überführung der am IHP entwickelten Mikrosenso-rik zum Monitoring von biotechnologischen Prozessen befasst. Für die Nutzung des affinitätsviskosimetri-schen Sensor-MEMS in der Bioprozesstechnik stellen die schwankenden Umgebungsbedingungen die we-sentlichen Randbedingungen dar. Die Untersuchungen waren damit befasst, den Effekt solch schwankender Umgebungsbedingungen auf die Funktion des Glucose-sensors zu prüfen und entsprechende Kompensations-techniken zu entwickeln. Ein Großteil der Aktivitäten war der Mikrointegration der Sensoren gewidmet, bei der der Sensorchip gemeinsam mit dem Affinitätsas-say zu integrieren ist. Eine besondere Herausforderung stellt die filigrane Struktur des BioMEMS dar, dessen Ti-tannitrid-Bügel in seinen Abmessungen im Mikro- und Nanometerbereich mit den Mikrotubuli des Zytoskeletts vergleichbar sind. Als hilfreich erwies sich die Synergie der Laborkapazitäten, in dem die mikroelektronisch ori-entierten Integrationsschritte am IHP erfolgten, wäh-rend die folgende „Nassintegration“ samt Befüllung und Inbetriebnahme an der TU Berlin, Institut für Bio-technologie stattfanden. Damit wurde eine sinnvolle Arbeitsteilung etabliert, die auch dem Grundgedanken der Einrichtung des Gemeinsamen Labors entspricht.

Joint lab Ihp / tu Berlin“Bioelectronics”

The official opening ceremony of the Joint Lab took place at the Technical University Berlin in September 2013. A short welcoming address was given by the first vice-president, Prof. P.U. Thamsen. Various R&D projects related to bioelectronics issues were presen-ted from researchers in Berlin-Brandenburg. The re-levance of these developments could be anticipated from the high number of more than 100 participants coming from microelectronics and biotechnology.

The work of the Joint Lab was focused on the trans-fer of micro-sensorics developed at IHP to monito-ring applications in biotechnological processes. The variation of conditions in bioprocesses represent the most severe constraints to the usage of the affini-ty-viscosimetric sensor MEMS. Investigations were focused on analyzing the effect of these variations on the glucose sensor operation and on the develop-ment of appropriate compensation techniques. Major activities were devoted to the micro-integration of the sensor, in which the MEMS chip and the bioche-mical assay both have to be integrated. A particular challenge was due to the filigree structure of the BioMEMS, since the micro- and nanometer dimensi-ons of the mechanical elements are comparable to those of the microtubules of the cytoskeleton. The synergy of laboratory capacities turned out to be use-ful, in which the microelectronics-related integration steps were performed at IHP while the subsequent “wet integration” including the handling of the as-say and sensor implementation was done at TU Berlin, Institute for Biotechnology. A useful division of in-tegration activities was thus achieved in accordance with the basic approach of establishing the Joint Lab.

G e M e I n S a M e l a B o r e – J o I n t l a B S

Page 78: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

77A n n u A l R e p o R t 2 0 1 3

Als weiteres Ziel hat sich das Labor die Aufgabe gestellt, Studenten der Lebenswissenschaften mit der Mikro-elektronik vertraut zu machen. Die Ausbildung von Stu-denten am Gemeinsamen Labor hat dementsprechend das Ziel, dual ausgebildete Absolventen hervorzubrin-gen, die auf beiden Fachgebieten über berufsqualifizie-rende Kenntnisse verfügen. Im Sommersemester 2013 wurde zum zweiten Mal die integrierte Lehrveranstal-tung „Einführung in die Bioelektronik“ gehalten, die inzwischen auch als Wahlpflichtveranstaltung in den Masterstudiengang Biotechnologie an der TU Berlin aufgenommen wurde.

As a further goal the Joint Lab intends to acquaint students from life sciences with microelectronics. The training at the Joint Lab thus aims at graduating students who are qualified with skills and compe-tences in both fields. The integrated course “Intro-duction to Bioelectronics” was given for the second time during the summer semester and has meanwhile been included as a compulsory optional course in the study path of the Biotechnology Master Degree at TU Berlin.

G e M e I n S a M e l a B o r e – J o I n t l a B S

Page 79: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

78 A n n u A l R e p o R t 2 0 1 3

Collaboration and Partners

Page 80: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

79A n n u A l R e p o R t 2 0 1 3

Z u S a M M e n a r B e I t u n d p a r t n e r – C o l l a B o r a t I o n a n d p a r t n e r S

Industrie / Industry*

adMOS GmbH, GermanyadvICo microelectronics GmbH, GermanyAgilent Technologies, USAAirbus Group, GermanyAlcatel-Lucent Deutschland AG, GermanyAlcatel-Thales III-V Lab, France ams AG, AustriaARQUIMEA Ingenieria S. L., SpainAstrium SAS, FranceB•R•A•H•M•S GmbH, GermanyBachmann electronic GmbH, GermanyBrandenburgklinik Berlin-Brandenburg GmbH & Co. Kg, GermanyCambridge Silicon Radio Ltd., United KingdomCanadian Microelectronics Corporation Kingston, CanadaCarl Zeiss AG, GermanyCentellax Inc., USAChengdu Alliance Vision Technologies Co./Ltd., ChinaCisco Optical GmbH, GermanyDaimler AG, GermanyDAS Photonics S. L., SpainDEVOLO Aachen, GermanyEricsson AB, SwedenErwin Kayser-Threde GmbH, GermanyEuropean Space Agency, GermanyEvatronix S. A., PolandFrance Telecom SA, FranceFGUP Pulsar, Russia Green Way Systems GmbH, GermanyHittite Microwave Corporation, USAIBM Research GmbH, SwitzerlandIMST GmbH, GermanyInfineon Technologies AG, GermanyInnoSenT GmbH, GermanyIntracom Telecom Solutions S. A, Greece

Lesswire AG, GermanyLiander N. V., The NetherlandsLIMETEC Biotechnologies GmbH, GermanyMEYTEC GmbH Informationssysteme, GermanyMicron JSC Moscow, RussiaModulight Inc., FinlandNXP Semiconductors Belgium N.V., BelgiumOMMIC, FrancePac Tech GmbH, Germany Philips, The NetherlandsPhilotech GmbH, GermanyPortugal Telecom Inovacao S. A., PortugalPrimed Medizintechnik GmbH, GermanyRamon Chips Ltd., IsraelRobert Bosch GmbH, GermanyRohde & Schwarz GmbH & Co. KG, GermanySaphyrion S. A. G. L., SwitzerlandSentech Instruments GmbH, GermanySiemens Energy Automation GmbH, GermanySilicon Radar GmbH, GermanySiltronic AG, GermanySpace Engineering S.P.A., ItalySpaceTech GmbH Immenstaad, GermanyST Microelectronics SA, FranceTeklatech A. S., DenmarkTelecom Italia S.P.A., ItalyTES Electronic Solutions GmbH, GermanyThales Air Systems A. S., France Trebax AB, Sweden Universitätsklinikum Gießen / Marburg GmbH, GermanyU2t Photonics AG, Germany UP Transfer GmbH, Germany VI Systems GmbH, GermanyX-FAB Semiconductor Foundries AG, Germany

*Ausgewählte Partner / Selected Partners

Page 81: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

80 A n n u A l R e p o R t 2 0 1 3

Z u S a M M e n a r B e I t u n d p a r t n e r – C o l l a B o r a t I o n a n d p a r t n e r S

Aalto University, Finland Brandenburg University of Applied Sciences, GermanyBrandenburg University of Technology Cottbus-Senftenberg, GermanyBuskerud and Vestfold University College, Norway California Institute of Technology, USACarleton University, CanadaCEA-LETI, FranceCERN, SwitzerlandCentre national de la recherche scientifique, FranceConsorzio Nazionale Interuniversitario per la Nanoelettronica, Italy CSIRO Astronomy and Space Science, AustraliaChemnitz University of Technology, GermanyDemocritus University of Thrace, GreeceDeutsches Elektronen-Synchrotron DESY, GermanyETH Zurich, SwitzerlandETRI- Electronics and Telecommunications Research Institute, KoreaEuropean Synchrotron Radiation Facility , FranceFederal Office for Information Security, GermanyFerdinand-Braun-Institut, Leibniz-Institut für Höchstfrequenztechnik, GermanyForschungszentrum Jülich, GermanyFORTH – Foundation for Research and Technology – Hellas, GreeceFraunhofer ENAS, GermanyFraunhofer HHI, GermanyFraunhofer IBMT, GermanyFraunhofer IIS, GermanyFraunhofer IZM, GermanyFriedrich-Alexander-Universität Erlangen-Nuremberg, Germany

Friedrich Schiller University Jena, GermanyGeorgia Institute of Technology, USAGerman Aerospace Center, GermanyHelmholtz-Centre Berlin for Materials and Energy, GermanyHumboldt-Universität zu Berlin, GermanyIMEC, BelgiumIlmenau University of Technology, GermanyInstituto de Telecomunicacoes, PortugalInstituto de Fomento de la Región de Murcia – INFO,SpainJohannes Kepler Universität Linz, Austria Karlsruhe Institute of Technology, GermanyKTH Royal Institute of Technology, SwedenKU Leuven, BelgiumLeibniz Institute for Crystal Growth, GermanyLeibniz University Hannover, GermanyLinköping University, SwedenMPI für Physik, GermanyMicroelectronics Research Institute “Progress”,RussiaNanyang University, Singapore National Institute for Research and Development inMicrotechnologies IMT, RomaniaNational Information Processing Institute, PolandNational Institute for Materials Science, JapanNational Tsing Hua University, TaiwanNational University of IrelandNetherlands Organisation for Applied Scientific Research, The NetherlandsOtto von Guericke University Magdeburg, Germany

*Ausgewählte Partner / Selected Partners

Forschungsinstitute und Universitäten / research Institutes and universities*

Page 82: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

81A n n u A l R e p o R t 2 0 1 3

Z u S a M M e n a r B e I t u n d p a r t n e r – C o l l a B o r a t I o n a n d p a r t n e r S

Paul Drude Institute for Solid State Electronics, GermanyPolytechnic University of Bari, ItalyPoznan University of Technology, PolandResearch for Science and Technology Ltd., United KingdomR.M.K. Engineering College, IndiaRuhr-University Bochum, GermanyRWTH Aachen, GermanySabanci University, TurkeySlovak Academy of Sciences, SlovakiaSouth Russian State University, RussiaStanford University, USA Technical University of Darmstadt, GermanyTechnical University of Applied Sciences Wildau, GermanyTechnical University of Berlin, GermanyTechnical University of Dresden, GermanyUniversity of Lodz, PolandTechnical University of Vienna, AustriaTechological Centre MIET, RussiaThales Alenia Space, FranceTohoku University, JapanTU Delft, The NetherlandsTyndall National Institute, IrelandUppsala Universitet , SwedenUniversitat Politècnica de València, SpainUniversité Bordeaux 1, FranceUniversité Paris-Sud, FranceUniversity College Cork, Ireland University of Bremen, GermanyUniversity of Bristol, United Kingdom

University of Calabria, ItalyUniversity of California, Los Angeles, USAUniversity of Cantabria, SpainUniversity of Crete, GreeceUniversity of Dundee, United KingdomUniversity of Kassel, GermanyUniversity of KoreaUniversity of Lisboa, PortugalUniversity of MaltaUniversity of Nis, SerbiaUniversity of Osnabrück, GermanyUniversity of Paderborn, GermanyUniversity of Pannonia, Hungary University of Perugia, ItalyUniversity of Pennsylvania, USAUniversity of Potsdam, GermanyUniversity of Roma, ItalyUniversity of Siegen, Germany University of Southhampton, United Kingdom University of Stuttgart, GermanyUniversity of Surrey, United Kingdom University of Toronto, CanadaUniversity of Trento, ItalyUniversity of Twente, The NetherlandsUniversity of Udine, ItalyUniversity of Ulm, Germany University of Wuppertal, GermanyVTT Technical Research Centre of FinlandWuhan University, ChinaYonsei University, KoreaZhejiang University, China

Page 83: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

82 A n n u A l R e p o R t 2 0 1 3

Guest Scientists and Seminars

Page 84: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

83A n n u A l R e p o R t 2 0 1 3

G a S t w I S S e n S C h a F t l e r u n d S e M I n a r e – G u e S t S C I e n t I S t S a n d S e M I n a r S

Gastwissenschaftler / Guest Scientists

Gastwissenschaftler Institution ForschungsgebietGuest Scientists Institution research area

1. Mr. Al Hadi, Richard University of Wuppertal, Germany System Design2. Mr. Ali, Umair University of Paderborn, Germany Circuit Design 3. Prof. Capellini, Giovanni University of Rome, Italy Materials Research 4. Mr. Cazzorla, Alessandro University of Perugia, Italy Technology5. Dr. Długosz, Rafał University of Technology and Life Sciences, Materials Research Bydgoszcz, Poland 6. Mr. Eisermann, René Leibniz Institute for Astrophysics Potsdam (AIP), Technology Germany7. Mr. Gajda, Andrzej Technical University of Berlin, Germany Technology8. Dr. Koczorowski, Wojciech Poznan University of Technology, Poland Materials Research 9. Mr. KrishneGowda, Karthik Brandenburg University of Technology System Design Cottbus-Senftenberg, Germany 10. Mr. Lopacinski, Lukasz Brandenburg University of Technology System Design Cottbus-Senftenberg, Germany 11. Mr. Mahlig, Michael Lesswire, Germany System Design12. Dr. Niu, Gang INL Lyon, France Materials Research13. Mrs. Opitz, Stefanie Brandenburg University of Technology System Design Cottbus-Senftenberg, Germany14. Mrs. Petousi, Despoina Technical University of Berlin, Germany Technology15. Mr. Sänn, Alexander Brandenburg University of Technology System Design Cottbus-Senftenberg, Germany 16. Mr. Sark, Vladica Humboldt-Universität zu Berlin, Germany System Design17. Mr. Savic, Nemanja German Aerospace Center (DLR) Berlin, Germany System Design18. Dr. Schofield, Steven UCL London, UK Materials Research19. Mrs. Seweryniak, Katarzyna Poznan University of Technology, Poland Materials Research20. Mr. Sharath, Ulhas Technical University of Darmstadt, Germany Materials Research21. Mr. Skoncej, Patryk Brandenburg University of Technology System Design Cottbus-Senftenberg, Germany 22. Dr. Szyszka, Adam Wroclaw University of Technology, Poland Materials Research23. Dr. Tekin, Ibrahim Sabanci University, Turkey Technology24. Mr. Tzschoppe, Christoph Technical University of Dresden, Germany System Design25. Mr. Ulbricht, Markus Brandenburg University of Technology System Design Cottbus-Senftenberg, Germany26. Dr. Virgilio, Michele University of Pisa, Italia Materials Research27. Dr. Voigt, Karsten University of Surrey, United Kingdom Technology28. Dr. Winkler, Frank Humboldt-Universität zu Berlin, Germany System Design29. Prof. Xie, Ya-Hong UCLA, USA Materials Research

Page 85: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

84 A n n u A l R e p o R t 2 0 1 3

G a S t w I S S e n S C h a F t l e r u n d S e M I n a r e – G u e S t S C I e n t I S t S a n d S e M I n a r S

Seminare / Seminars

Vortragender Institution Themapresenter Institution topic

1. Dr. Abe, Takao Shin-Etsu Handotai Co., Ltd., Japan Observations of Vacancies on Growth Interface and Interstitials Inside Crystal by Detaching Growing Crystals from Silicon Melt2. Prof. Boucaud, Philippe Université Paris Sud / CNRS, France Strain Engineering for Optical Gain in Germanium3. Dr. Brehm, Moritz Leibniz Institute for Solid State and Hybrid and Ordered SiGe Materials Research Dresden (IFW), Nanostructures Germany 4. Dr. Cartoixà, Xavier Universitat Auto noma de Barcelona, Electronic Properties of Oxygen Bellaterra, Spain Vacancies in HfO2 from First Principles5. PhD Cornet, Charles Université Européenne de Bretagne, Pseudomorphic Integration of III-V INSA, FOTON-OHM, Rennes, France Semiconductors on Silicon: Recent Results at FOTON Laboratory6. PD Dr. Fritzsche, Wolfgang Leibniz Institute of Photonic Technology Bioanalytics Using Single Plasmonic (IPHT), Jena, Germany Nanostructures7. Prof. Genzel, Christoph Helmholtz-Centre Berlin for Materials and Advanced Thin Film Characterization Energy (HZB), Germany by X-Ray and Energy-Dispersive Synchrotron Diffraction8. Dr. Grydlik, Martyna Leibniz Institute for Solid State and Perfectly Site-controlled Ge Quantum Materials Research Dresden (IFW), Germany Dots: Fabrication Conditions and Optical Properties9. Prof. Freiberg University of Mining and Synthesis and Characterization of Heitmann, Johannes Technology, Germany High-k Materials and Embedded Nanocrystals for Electronic and Photonic Applications

Page 86: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

85A n n u A l R e p o R t 2 0 1 3

G a S t w I S S e n S C h a F t l e r u n d S e M I n a r e – G u e S t S C I e n t I S t S a n d S e M I n a r S

Vortragender Institution Themapresenter Institution topic

10. PD Dr. von Känel, Hans ETH Zurich, Switzerland Three-dimensional Heteroepitaxy on Deeply Patterned Silicon Substrates11. Prof. Kuźmicz, Wiesław Warsaw University of Technology, Poland Research and Teaching Activities of the VLSI Engineering and Design Automation Division12. Prof. Neubauer, Peter Technical University of Berlin, Germany Biosensing Challenges in Modern Bioprocess Development: From High Throughput to Mobile Sensor Solutions13. Prof. Schenk, Harald Fraunhofer Institute for Photonic Working with Light: Application Microsystems Dresden (IPMS), Germany Driven MEMS Based Spatial and Temporal Modulation14. Dr. Schofield, Steven R. Imperial College London , United Kingdom Atomic and Molecular Scale Structures on Silicon Surfaces15. Prof. Suñé, Jordi Universitat Autonoma de Barcelona, Unipolar Reset and Atomic-size Effects Bellaterra, Spain in the Conducting Filament of RRAM16. Dr. Trampert, Achim Paul-Drude-Institute for Solid State Transmission Electron Microscopy Electronics, Berlin, Germany Study on Instabilities in Epitaxial Semiconductor Heterostructures17. Dr. Wang, Kevin University of Auckland, New Zealand Wireless Sensor Node Design, Customised IPv6 Protocol for Sensor Networks, Formal Language for Sensor Networks, Other Aspects, Such as Industrial Automation and Bio- instrumentation18. Prof. Yoshida, Yutaka Shizuoka Institute of Science and Direct Observation of Carrier Trapping Technology (SIST), Japan Processes on Fe Impurities in mc-Si Solar Cells

Page 87: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

86 A n n u A l R e p o R t 2 0 1 3

Publications

Page 88: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

87A n n u A l R e p o R t 2 0 1 3

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

Erschienene PublikationenPublished Papers

(1) Metal-organic Chemical Vapor deposition of high-k dielectric Ce–al–o layers from Various Metal-organic precursors for Metal–Insulator–Metal Capacitor applications

A. Abrutis, M. Lukosius, M. Skapas, S. Stanio-nyte, V. Kubilius, Ch. Wenger, A. Zauner Thin Solid Films 536, 68 (2013)

(2) a terahertz detector array in a SiGe hBt technology

R. Al Hadi, J. Grzyb, B. Heinemann, U.R. Pfeiffer IEEE Journal of Solid State Circuits 48(9), 1 (2013)

(3) performance Improvement of Silicon Micro-Cavity Modulators by Iteration of the p-i-n Intrinsic region width

A. Al-Saadi, B.A. Franke, S. Kupijai, C. Theiss, H. Rhee, S. Mahdi, L. Zimmermann, D. Stolarek, H.H. Richter, H.J. Eichler, U. Woggon, S. Meister Proc. 39th European Conference and Exhibition on Optical Communication (ECOC 2013), We.1.B.3 (2013)

(4) dislocation luminescence in highly doped degenerated Germanium at room temperature

T. Arguirov, O. Vyvenko, M. Oehme, J. Schulze, M. Kittler Physica Status Solidi C 10, 56 (2013)

(5) lead user bei der entwicklung neuer produkte

D. Baier, A. Sänn wisu – Das Wirtschaftsstudium 42(6), 799 (2013)

(6) antennas for Medical Implant applications operating in the MICS Band

T. Basmer, N. Todtenberg, F. Popiela, M. Birkholz, St. Ortmann Proc. 2013 IEEE MTT-S International Micro-wave Workshop Series on RF and Wireless Technologies for Biomedical and Healthcare Applications (IMWS-Bio 2013), (2013)

(7) extending wireless Body Sensor networks using Intelligent Implants

T. Basmer, M. Birkholz Proc. 12. GI / ITG KuVS Fachgespräch „Drahtlose Sensornetze“, 41 (2013)

(8) resistive Influence of hfo2 deposition technique on resistive Switching in MIM devices

T. Bertaud, B. Hudec, M. Lukosius, E. Hildebrandt, M. Sowinska, D. Walczyk, St. Kubotsch, P. Calka, Ch. Walczyk, L. Alff, A. Rosova, E. Dobrocka, J. Derer, J. Fedor, A. Paskaleva, K. Fröhlich, T. Schoeder Proc. 18th Conference Insulating Films on Semiconductors (INFOS 2013), abstr. book, 226 (2013)

(9) Großflächige abscheidung von Graphen – ein wichtiger Schritt für neuartige Bauelemente

H. Beyer, M. Hofmann, S. Trutz, H. Lux, S. Stissel, W. Mehr, S. Schrader, P. Siemroth Wissenschaftliche Beiträge der TH Wildau 154 (2013)

(10) oxygen-deficient oxide Growth by Subliming the oxide Source Material: the Cause of Silicide Formation in rare earth oxides on Silicon

O. Bierwagen, A. Proessdorf, M. Niehle, F. Grosse, A. Trampert, M. Klingsporn Crystal Growth & Design 13(8), 3645 (2013)

Page 89: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

88 A n n u A l R e p o R t 2 0 1 3

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(11) Biostabilität und energieversorgung eines implantierbaren Glucosemonitors

M. Birkholz, T. Basmer, K.-E. Ehwald, M. Fröhlich, D. Genschow, C. Reich Proc. 8. Deutsches Biosensor Symposium (DBS 2013), V10 (2013)

(12) Sensing Glucose Concentrations at Ghz Frequencies with a fully embedded Biomicro-electromechanical System (BioMeMS)

M. Birkholz, K.-E. Ehwald, T. Basmer, C. Reich, P. Kulse, J. Drews, D. Genschow, U. Haak, St. Marschmeyer, E. Matthus, K. Schulz, D. Wolansky, W. Winkler, T. Guschauski, R. Ehwald Journal of Applied Physics 113, 244904 (2013)

(13) Is Cross-platform protocol Stack Suitable for Sensor networks? empirical evaluation

M. Brzozowski, P. Langendörfer Proc. 6th Joint IFIP Wireless & Mobile Networ-king Conference (WMNC 2013), (2013)

(14) overview and Benchmarks of pragmatic debugging techniques for wireless Sensor networks

M. Brzozowski, P. Langendörfer Proc. of the 21st International Conference on Software, Telecommunications and Computer Networks (SoftCOM 2013), (2013)

(15) Strain analysis in Sin / Ge Microstructures obtained via Si-Complementary Metal oxide Semiconductor Compatible approach

G. Capellini, G. Kozlowski, Y. Yamamoto, M. Lisker, Ch. Wenger, G. Niu, P. Zaumseil, B. Tillack, A. Ghrib, M. de Kersauson, M. el Kurdi, P. Boucaud, T. Schroeder Journal of Applied Physics 113, 013513 (2013)

(16) Strained Germanium heterostructures for Infrared and thz light emission

G. Capellini Proc. of the 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8), 13 (2013)

(17) Continuous wave phase-Sensitive Four- wave Mixing in Silicon waveguides with reverse-Biased p-i-n Junctions

F. Da Ros, D. Vukovic, A. Gajda, L. Zimmermann, K. Petermann, C. Peucheret Proc. of the 39th European Conference and Exhibition on Optical Communication (ECOC 2013), (2013)

(18) effect of Back-Gate on Contact resistance and on Channel Conductance in Graphene- based Field-effect transistors

A. Di Bartolomeo, S. Santandrea, F. Giubileo, F. Romeo, M. Petrosino, R. Cirto, P. Barbara, G. Lupina, T. Schroeder, A. Rubino Diamond & Related Materials 38, 19 (2013)

(19) Integrator and digitizer for a non-Coherent Ir-uwB receiver

J. Digel, M. Masini, M. Grözing, M. Berroth, G. Fischer, S. Olonbayar, H. Gustat, J.C. Scheytt Proc. IEEE Radio and Wireless Week (RWW 2013), 93 (2013)

(20) Building Blocks for an X-Band SiGe BiCMoS t / r Module

T. Dinc, I. Kalyoncu, M. Kaynak, Y. Gurbuz Proc. 13th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF 2013), 201 (2013)

(21) Building Blocks for an X-Band SiGe BiCMoS t / r Module

T. Dinc, I. Kalyoncu, M. Kaynak, Y. Gurbuz Proc. 2013 IEEE Radio and Wireless Week, (RWW 2013), 301 (2013)

Page 90: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

89A n n u A l R e p o R t 2 0 1 3

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(22) Improving the Security of wireless Sensor networks by protecting the Sensor nodes against Side Channel attacks

Z. Dyka, P. LangendörferWireless Networks and Security Signals and Communication Technology, Springer, 303 (2013)

(23) 60 Ghz Broadband MaC System design for Cable replacement in Machine Vision applications

M. Ehrig, M. Petri AEU - International Journal of Electronics and Communications 67, 1118 (2013)

(24) a 220-245 Ghz Switched Beam Butler Matrix in 0.13 μm SiGe BiCMoS technology

M. Elkhouly, M. Yanfei, Ch. Meliani, F. Ellinger, J.C. Scheytt Proc. IEEE Bipolar / BiCMOS Circuits and Tech-nology Meeting (BCTM 2013), 119 (2013)

(25) a 240 Ghz direct Conversion IQ receiver in 0.13 μm SiGe BiCMoS technology

M. Elkhouly, S. Glisic, Y. Mao, Ch. Meliani, F. Ellinger, J.C. Scheytt Proc. IEEE Radio Frequency Integrated Circuits Symposium, (RFIC 2013), (2013)

(26) a 245 Ghz aSK Modulator and demodulator with 40 GBit / sec data rate in 0.13 μm SiGe BiCMoS technology

M. Elkhouly, Y. Mao, Ch. Meliani, F. Ellinger, J.C. Scheytt Proc. International Microwave Symposium (IMS 2013), (2013)

(27) 220-250 Ghz phased array Circuits in 0.13 μm SiGe BiCMoS technology

M. Elkhouly, S. Glisic, Ch. Meliani, F. Ellinger, J.C. Scheytt IEEE Transactions on Microwave Theory and Techniques 61(8), 3115 (2013)

(28) 49 Ghz 6-bit programmable divider in SiGe BiCMoS

A. Ergintav, Y. Sun, J.C. Scheytt, Y. Gurbuz Proc. IEEE Radio and Wireless Week (RWW 2013), 117 (2013)

(29) Spectral peak attenuation by GalS design on digital Switching Current

X. Fan, O. Schrape, M. Marinkovic, P. Dähnert, M. Krstic, E. Grass Proc. 19th IEEE International Symposium on Asynchronous Circuits and Systems, (2013)

(30) Cost-efficient SoI hybrid Coherent receiver

S. Fedderwitz, T. Brast, K. Voigt, G.B. Preve, I. Lazarou, S. Dris, P. Bakopoulos, H. Avramopoulos, L. Zimmermann, A.G. Steffan Proc. Asia Communications and Photonics Conference (ACP-2013), AF3C.2 (2013)

(31) electronic-photonic Integration in the helios project

J.M. Fedeli, F. Schrank, W. Bogaerts, A. Masood, L. Zimmermann, E. Augendre, S. Bernabe, J. Kraft, P. Grosse, T. Enot Proc. of the 10th International Conference on Group IV Photonics (GFP 2013), 146 (2013)

(32) Sub-Micron photonics Switches: design, Fabrication and Characterization

H.N. Fernando, A. Stoll, R. Eisermann, S.H.N. Tharanga, R. Haynes, L. Zimmermann, M.M. Roth Proc. 2013 CLEO Pacific Rim Conference, WL4-7 (2013)

(33) high-Speed SiGe BiCMoS technologies for applications beyond 100 Ghz

G.G. Fischer, B. Heinemann, M. Kaynak, H. Rücker Proc. European Microwave Integrated Circuits Conference (EuMIC 2013), 172 (2013)

Page 91: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

90 A n n u A l R e p o R t 2 0 1 3

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(34) Ir-uwB Single-Chip transceiver for high-Band operation Compliant to Ieee 802.15.4a

G. Fischer, D. Martynenko, O. Klymenko, S. Olonbayar, D. Kreiser, J. Digel, M. Masini, M. Grözing, R. Kraemer Proc. 2013 IEEE International Conference on Ultra-Wideband (ICUWB 2013), 276 (2013)

(35) Monolithic Integrated Circuits for uwB transceivers

G. Fischer, J.C. Scheytt Ultra-Wideband RF System Engineering, Cambridge University Press, 94 (2013)

(36) Comparative Study of hBt ageing in a Complementary SiGe:C BiCMoS technology

G.G. Fischer, J. Molina Proc. 2013 Bipolar / BiCMOS Circuits and Tech-nology Meeting (BCTM 2013), 167 (2013)

(37) atomic layer deposition of thin oxide Films for resistive Switching

K. Fröhlich, P. Jancovic, B. Hudec, J. Dérer, A. Paskaleva, T. Bertaud, T. Schroeder ECS Transactions 58(10), 163, (2013)

(38) Moversight: an approach to Support Mobility in Collaborative applications

J. Gäbler, H. König Proc. of the IEEE IFIP Annual Conference on Wireless on-Demand Network Systems and Services (WONS 2013), 110 (2013)

(39) high efficiency wavelength Conversion of 40 Gbps Signals at 1550 nm in SoI nano-rib waveguides using p-i-n diodes

A. Gajda, F. Da Ros, D. Vukovic, L. Zimmermann, C. Peucheret, B. Tillack, K. Petermann Proc. of the 10th International Conference on Group IV Photonics (GFP), 160 (2013)

(40) rad-hard 2.5 Gbps SpaceFibre Interface device

R. Ginosar, T. Liran, D. Alon, R. Dobkin, M. Gioldberg, G. Sokolov, G. Burdo, N. Blatt, St. Parkes, P. Rastetter, M. Krstic, A. Crescenzio Proc. of the International Space System Engineering Conference (DASIA 2013), (2013)

(41) Miniaturized 122 Ghz System-in-package (Sip) Short range radar Sensor

M.G. Girma, S. Beer, J. Hasch, W. Debski, W. Winkler, Y. Sun, T. Zwick Proc. European Microwave Week 2013, 49 (2013)

(42) Integrated dispersion Compensator Based on apodized SoI Bragg Gratings

I. Giuntoni, D. Stolarek, J. Bruns, L. Zimmermann, B. Tillack, K. Petermann IEEE Photonics Technology Letters 25(14), 1313 (2013)

(43) a high-efficiency pa with 12.7 dBm p1dB and 32% pae for 60 Ghz Beamforming applications in SiGe

S. Glisic, M. Elkhouly, Ch. Meliani Proc. European Microwave Week 2013 (EuMW 2013), 153 (2013)

(44) anwendung eines hF-Gasphasenätzpro- zesses zum Freilegen von monolithisch integrierten rF-MeMS-Schaltern

A. Göritz, M. Fraschke, J. Drews, M. Wietstruck, S. Tolunay, M. Kaynak, B. Tillack Proc. Mikrosystemtechnik-Kongress 2013, 650 (2013)

Page 92: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

91A n n u A l R e p o R t 2 0 1 3

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(45) Characterization of Cobalt Germanide nanostructures on Ge(001) Substrate: an StM Investigation on the Initial phase of Metal Contact Formation to Ge

T. Grzela, W. Koczorowski, G. Capellini, N. Cur-son, S.R. Schofield, R. Czajka, T. Schroeder Proc. 9th Interregional Workshop on Advanced Nanomaterials (IWAN 2013), abstr. book, 30 (2013)

(46) Cobalt Germanide nanostructures on Ge(001): a Combined StM, leed, XpS and teM Study on the Initial phase of Metal Contact Formation to Ge

T. Grzela, W. Koczorowski, G. Capellini, R. Czajka, N. Curson, S.R. Schofield, T. Schroeder Proc. JSPS Core-to-Core Program Workshop „Atomically Controlled Processing for Ultralarge Scale Integration“, abstr. book 15 (2013)

(47) early Growth Studies of Cobalt Germanides on Ge(001) Surface by Means of SpM technique

T. Grzela, W. Koczorowski, R. Czajka, N. Curson, G. Capellini, T. Schroeder Proc. Materials for Advanced Metallization (MAM 2013), abstr. book, P7-10 (2013)

(48) CMoS Based Sensor for dielectric Spectroscopy of Biological Cell Suspension

S. Guha, K. Schmalz, Ch. Meliani, Ch. Wenger Proc. XV. International Conference on Electrical Bio-Impedance (ICEBI 2013), abstr. (2013)

(49) CMoS Based Sensor for dielectric Spectroscopy of Biological Cell Suspension

S. Guha, K. Schmalz, Ch. Meliani, Ch. Wenger Journal of Physics: Conference Series 434, 012017 (2013)

(50) CMoS lab on a Chip device for dielectric Characterization of Cell Suspensions based on a 6 Ghz oscillator

S. Guha, F.I. Jamal, K. Schmalz, Ch. Wenger, Ch. Meliani Proc. European Microwave Conference, European Microwave Week 2013 (EuMW 2013), 471 (2013)

(51) phase noise Modelling for Integrated plls in FMCw radar

F. Herzel, A. Ergintav, Y. Sun IEEE Transactions on Circuits and Systems II 60(3), 137 (2013)

(52) Spatial Manipulation of nanoparticles and Biomolecules by aC electric Fields

R. Hoelzel, Ch. Wenger, X. Knigge, E. Laux, U.Ch. Kaletta, K. Nicklas, F.F. Bier Proc. Nanobio Europe (NBE 2013), abstr. (2013)

(53) high-efficiency low-Voltage 24 Ghz VCo in 130nm CMoS for FMCw radar applications

M. Hossain, U. Pursche, Ch. Meliani, W. Heinrich Proc. European Microwave Week 2013 (EuMW 2013), 105 (2013)

(54) radiation hard 0.13 Micron CMoS library at Ihp

U. Jagdhold Proc. Data Systems In Aerospace (DASIA 2013), (2013)

(55) timing Jitter of optical Clock distribution Induced by photodetection

K. Jamshidi, E. Krune, K. Voigt, K. Petermann, L. Zimmermann Proc. IEEE International Conference on Optical Interconnects 2013, 84 (2013)

Page 93: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

92 A n n u A l R e p o R t 2 0 1 3

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(56) a 164 Ghz hetero-Integrated Source in Inp-on-BiCMoS technology

T. Jensen, T. Al-Sawaf, M. Lisker, S. Glisic, M. Elkhouly, T. Kraemer, I. Ostermay, Ch. Meliani, B. Tillack, V. Krozer, W. Heinrich Proc. European Microwave Week (EuMW 2013), 244 (2013)

(57) Monolithic Integrated Saw-Filter based on aIn for high-Frequency applications

U.Ch. Kaletta, P.V. Santos, D. Wolansky, A. Scheit, M. Fraschke, Ch. Wipf, P. Zaumseil, Ch. Wenger Semiconductor Science and Technology 28(6), 065013 (2013)

(58) nanoelectrodes for Biomedical applications U.Ch. Kaletta, Ch. Wenger, X. Knigge, R. Hölzel Proc. Deutsches Biosensor Symposium (DBS 2013), 141 (2013)

(59) Investigation of oxide thin Films deposited by atomic layer deposition as dopant Source for ultra-shallow doping of Silicon

B. Kalkofen, A.A. Amusan, M. Lisker, E.P. Burte Microelectronic Engineering 109, 113 (2013)

(60) Investigation of oxide thin Films deposited by atomic layer deposition as dopant Source for ultra-Shallow doping of Silicon

B. Kalkofen, A.A. Amusan, M. Lisker, E.P. Burte Proc. 18th Conference of Insulating Films on Semiconductors (INFOS 2013), abstr. book, 40 (2013)

(61) a 4-Bit SiGe passive phase Shifter for X-Band phased arrays

I. Kalyoncu, E. Ozeren, M. Kaynak, Y. Gurbuz Proc. 2013 IEEE Radio and Wireless Week(RWW 2013), 310 (2013)

(62) a 4-Bit SiGe passive phase Shifter for X-Band phased arrays

I. Kalyoncu, E. Ozeren, M. Kaynak, Y. Gurbuz Proc. 13th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF 2013), 210 (2013)

(63) Germanium tin: Silicon photonics towards Mid Infrared

E. Kasper, M. Kittler, M. Oehme, T. Arguirov Photonics Research 1(2), 69 (2013)

(64) light from Germanium tin hetero- structures on Silicon

E. Kasper, M. Kittler, M. Oehme, T. Arguirov Proc. Photonics West 2013, SPIE Proceedings, 8628, 8628OJ (2013)

(65) a CMoS Based Fast high-Voltage Generation Circuit for BiCMoS embedded rF-MeMS applications

M. Kaynak, M. Purdy, M. Wietstruck, W. Zhang, B. Tillack Proc. 13th Topical Meeting on Silicon Monoli-thic Integrated Circuits in RF Systems (SiRF 2013), 21 (2013)

(66) a 94 Ghz Flip-Chip packaged SiGe BiCMoS lna on an lCp Substrate

W.T. Khan, C.A. Ulusoy, M. Kaynak, H. Schumacher, J. Papapolymerou Proc. International Microwave Symposium (IMS 2013), (2013)

(67) Intrinsic point defect Generation, oxygen precipitation, and dislocation propagation during Flash lamp annealing

G. Kissinger, D. Kot, M.A. Schubert, A. Sattler Proc. of the 27th International Conference on Defects in Semiconductors (ICDS 27), abstr. book, 132 (2013)

Page 94: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

93A n n u A l R e p o R t 2 0 1 3

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(68) Simulation of Vacancy agglomeration Based on ab Initio Calculations and Comparison with experimental results

G. Kissinger, J. Dabrowski, D. Kot Proc. 2013 JSAP-MRS Joint Symposia, Symposium H Smart Materials Design for Ultimate Functional Materials: Functional Core Concept, abstr. book 18p-M4-14 (2013)

(69) enhanced dnS Message Compression – optimizing mdnS / dnS-Sd for the use in 6lowpans

R. Klauck, M. Kirsche Proc. 9th IEEE International Workshop on Sensor Networks and Systems for Pervasive Computing, (2013)

(70) Characterization of thin-Film a-Si:h / μc-Si:h tandem Solar Cells on Glass Substrates

A. Klossek, Ch. Krause, T. Arguirov, H.-M. Krause, W. Seifert, F. Friedrich, O. Gabriel, B. Stannowski, M. Kittler Crystal Research and Technology 48, 279 (2013)

(71) Growth process of Microcrystalline Silicon Studied by Combined 2 photoluminescence and raman Investigations

A. Klossek, T. Arguirov, M. Ratzke, D. Mankovics, S. Kirner, F. Friedrich, O. Gabriel, B. Stannowski, R. Schlatmann, M. Kittler Journal of Applied Physics 114, 223511 (2013)

(72) dielektrophoretische Immobilisierung von nanopartikeln und proteinen an nano- elektroden

X. Knigge, E. Laux, K. Nicklas, U.Ch. Kaletta, Ch. Wenger, R. Hölzel, F. Bier Proc. Deutsches Biosensor Symposium (DBS 2013), (2013)

(73) BiCMoS Integration of photonic Components D. Knoll Proc. Open Bipolar Workshop at BCTM 2013, (2013)

(74) Silicon photonics-wireless Interface ICs for Micro- / Millimeter-wave Fiber-wireless networks

M. Ko, M.-J. Lee, H. Rücker, W.-Y. Choi Optics Express 21(19), 22962 (2013)

(75) Formation and Characterization of 1d and 2d Ba Surface Structures on the Ge (100) Surface – an StM Study

W. Koczorowski, T. Grzela, G. Capellini, N.J. Curson, S.R. Schofield, M.W. Radny, R. Czajka, T. Schroeder Proc. 6th Polish Conference on Nanotechno-logy, abstr. book, 53 (2013)

(76) StM Characterization of Ba Induced passivation layer on Ge(100) Surface

W. Koczorowski, T. Grzela, G. Capellini, S.R. Schofield, T. Schroeder, M.W. Radny, N.J. Curson, R. Czajka Proc. 9th Interregional Workshop on Advanced Nanomaterials (IWAN 2013), abstr. book 31 (2013)

(77) energetic Spectra of dislocation networks produced by hydrophilic Bonding of Silicon wafers

I. Kolevatov, M. Trushin, O. Vyvenko, M. Kittler, O. Kononchuk Physica Status Solidi C 10, 20 (2013)

Page 95: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

94 A n n u A l R e p o R t 2 0 1 3

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(78) First Monolithic Gaas IQ electro-optic Modulator, demonstrated at 150 Gbit / s with 64-QaM

D. Korn, P.C. Schindler, Ch. Stamatiadis, M.F. O‘Keefe, L. Stampoulidis, R. Schmogrow, P. Zakynthinos, N. Cameron, Y. Zhou, R.G. Walker, E. Kehayas, I. Tomkos, L. Zimmermann, R. Palmer, W. Freude, C. Koos, J. Leuthold Proc. Optical Fiber Communication Conference and Exposition / National Fiber Optic Engineers Conference (OFC / NFOEC 2013), PDP5C.4 (2013)

(79) alignment of MG-63 osteoblasts on Fibronectin-Coated phosphorous doping lattices in Silicon

A. Körtge, S. Stählke, M. Birkholz, M. Fraschke, K. Schulz, J.G.B. Nebe, P. Elter World Academy of Science, Engineering and Technology 73, 801 (2013)

(80) Characterization of deep levels Introduced by rta and by Subsequent anneals in n-type Silicon

D. Kot, T. Mchedlidze, G. Kissinger, W. von Ammon ECS Journal of Solid State Science and Technology 2(1), P9 (2013)

(81) Car-router with Controlled plug-In Capabilities

R. Kraemer, R. Meyfarth, K. Walther Proc. Embedded Systems Symposium, (2013)

(82) Ihp Schaltungsprojekte: Übersicht über die Schaltungsdesignaktivitäten mit Fokus auf raumfahrtanwendungen

R. Kraemer Proc. DLR Bauteilekonferenz (2013)

(83) Inp-dhBt-on-BiCMoS technology with ft / fmax of 400 / 350 Ghz for hetero- geneous Integrated Millimeter-wave Sources

T. Kraemer, I. Ostermay, T. Jensen, T. Keinicke Johansen, F.-J. Schmueckle, A. Thies, V. Krozer, W. Heinrich, O. Krueger, G. Traenkle, M. Lisker, A. Trusch, P. Kulse, B. Tillack IEEE Transactions on Electron Devices 60(7), 2209 (2013)

(84) on the origin of Intense luminescence at 0.93 eV from Multi-Crystalline Silicon

Ch. Krause, D. Mankovics, H.-M. Krause, T. Arguirov, M. Kittler Journal of Applied Physics 114, 034902 (2013)

(85) Improvements of Ieee 802.15.4a for usage in automation Systems

D. Kreiser, S. Olonbayar Proc. 4th International Conference on Energy Aware Computing Systems & Applications, (2013)

(86) photonic-electronic platform for next Generation optical transport network

M. Kroh, M. O‘Keefe, K. Voigt, S. Fedderwitz, G. Battista Preve, St. Lischke, T. Brast, D. Petousi, Ch. Stamatiadis, E. Kehayas, R. Nogueira, D. Korn, D. Roccato, Ph.C. Schindler, I. Lazarou, Ch. Koos, W. Freude, J. Leuthold, H. Avramopoulos, A.G. Steffan, L. Stampoulidis, L. Zimmermann Proc. 15th International Conference on Transparent Optical Networks (ICTON 2013), We.C2.1 (2013)

Page 96: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

95A n n u A l R e p o R t 2 0 1 3

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(87) Inp on BiCMoS technology platform for Millimeter-wave and thz MMIC

V. Krozer, T. Jensen, T. Krämer, I. Ostermay, F.J. Schmückle, O. Krüger, W. Heinrich, M. Lisker, B. Tillack, Ch. Meliani Proc. Millimeter Waves and THz Technology Workshop (UCMMT 2013), (2013)

(88) Guest editor’s Introduction: Special Issue on Signal processing and electronic Circuit design for next Generation Communication Systems

M. Krstic AEU – International Journal of Electronics and Communications 67(12), 1086 (2013)

(89) a Fully Integrated 120 Ghz Six-port receiver Front-end in a 130 nm SiGe BiCMoS technology

B. Lämmle, K. Schmalz, J. Borngräber, J.C. Scheytt, R. Weigel, A. Koelpin, D. Kissinger Proc. 13th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF 2013), 129 (2013)

(90) a 125-Ghz permittivity Sensor with read-out Circuit in a 250 nm SiGe BiCMoS technology

B. Lämmle, K. Schmalz, J.C. Scheytt, R. Weigel, D. Kissinger IEEE Transactions on Microwave Theory and Techniques 61(5), 2185 (2013)

(91) Selbstorganisierende drahtlose Vernetzung in photovoltaik-Kraftwerken

St. Lange Proc. 12. GI / ITG KuVS Fachgespräch Sensornetze, 9 (2013)

(92) dielectrophoretic Immobilization of nanoparticles and proteins on nanoelectrodes

E.-M. Laux, X. Knigge, U.Ch. Kaletta, F. Bier, Ch. Wenger, R. Hölzel Proc. Nanobio Europe (NBE 2013), abstr. (2013)

(93) deposition of Graphene on Insulators and Conversion of atomic Carbon into Graphene by Van der waals epitaxy

G. Lippert, J. Dabrowski, Y. Yamamoto, F. Herziger, J. Maultzsch, J. Baringhaus, C. Tegenkamp, M.C. Lemme, W. Mehr, G. Lupina Proc. of the 17th European Molecular Beam Epitaxy Workshop (Euro MBE 2013), book of abstr., 134 (2013)

(94) Molecular Beam Growth of Micrometer-Size Graphene on Mica

G. Lippert, J. Dabrowski, Y. Yamamoto, F. Herziger, J. Maultzsch, M.C. Lemme, W. Mehr, G. Lupina Carbon 52, 40 (2013)

(95) high-efficiency Grating Couplers for Integration into a high-performance photonic BiCMoS process

St. Lischke, B. Wohlfeil, D. Knoll, L. Zimmermann, C. Mai, Y. Yamamoto, St. Marschmeyer, K. Voigt, B. Tillack Proc. Asia Communications and Photonics Conference (ACP-2013), (2013)

(96) Inp-Si BiCMoS heterointegration using a Substrate transfer process

M. Lisker, A. Trusch, M. Fraschke, P. Kulse, Y. Borokhovych, B. Tillack, I. Ostermay, T. Krämer, F.-J. Schmückle, O. Krüger, V. Krozer, W. Heinrich ECS Transactions 53(3), 245 (2013)

Page 97: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

96 A n n u A l R e p o R t 2 0 1 3

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(97) properties of Stacked Srtio3 / al2o3 Metal-Insulator-Metal Capacitors

M. Lukosius, Ch. Wenger, T. Blomberg, G. Ruhl Journal of Vacuum Science and Technology B 31(1), 01A102 (2013)

(98) deposition of thin Silicon layers on transferred large area CVd Graphene

G. Lupina, J. Kitzmann, M. Lukosius, J. Dabrowski, A. Wolff, W. Mehr Applied Physics Letters 103, 263101 (2013)

(99) nucleation and Growth of hfo2 layers on Graphene by Chemical Vapor deposition

G. Lupina, M. Lukosius, J. Kitzmann, J. Dabrowski, A. Wolff, W. Mehr Applied Physics Letters 103(18), 183116 (2013)

(100) CMoS basierte Mikrosystemplattformen – Integrierte hochfrequenz-, MeMS- und photonic-Module

A. Mai, B. Tillack, L. Zimmermann, B. Heinemann, R. Sorge, M. Kaynak, St. Lischke, W. Mehr Proc. MikroSystemTechnik Kongress 2013, 264 (2013)

(101) reconfigurable rF-Circuits and rF-MeMS R. Malmqvist, A. Ouacha, M. Kaynak, N. Ahsan, J. Oberhammer Microwave and Millimeter Wave Circuits and Systems Eds: A Georgiadis, H. Rogier, L. Roselli, P. Arcioni, Wiley, Chapter 12, 325 (2013)

(102) 245 Ghz Subharmonic receiver in SiGe Y. Mao, K. Schmalz, J. Borngräber, J.C. Scheytt, Ch. Meliani Proc. IEEE Radio Frequency Integrated Circuits Symposium (RFIC 2013), (2013)

(103) 245 Ghz Subharmonic receiver in SiGe Y. Mao, K. Schmalz, J. Borngräber, J.C. Scheytt, Ch. Meliani Proc. International Microwave Symposium (IMS 2013), (2013)

(104) an early termination Strategy for Irregular ldpC Codes with layered decoding – performance evaluation and Implementation

M. Marinkovic, E. Grass, M. Krstic Proc. of the 10th International Symposium on Wireless Communication Systems (ISWCS 2013), 502 (2013)

(105) an Impulse radio uwB transmitter for Communication and precise localization

D. Martynenko, G. Fischer, O. Klymenko Proc. 13th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF 2013), 69 (2013)

(106) high-Band ultra-wideband transmitter for Ieee 802.15.4a Standard

D. Martynenko, G. Fischer, O. Klymenko, D. Kreiser, S. Olonbayar Proc. 2013 IEEE International Conference on Ultra-Wideband (ICUWB 2013), (2013)

(107) Comparing Java Virtual Machines for Sensor nodes – First Glance: takatuka and darjeeling

O. Maye, M. Maaser Proc. of the 8th International Conference on Grid and Pervasive Computing (GPC 2013) (2013)

(108) Java for Smart Systems – a Field report O. Maye, M. Maaser Proc. of the 12th International Conference on Electronics, Information and Communication (ICEIC 2013), 270 (2013)

Page 98: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

97A n n u A l R e p o R t 2 0 1 3

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(109) residual Stress and Switching transient Studies for BiCMoS embedded rF-MeMS Switch using advanced electro-Mechanical Models

A. Mehdaoui, S. Rouvillois, G. Schröpfer, G. Lorenz, M. Kaynak, M. Wietstruck Proc. MEMSWAVE 2013, (2013)

(110) Innovations for high performance Microelectronics leibniz-Institut für innovative Mikroelektronik

W. Mehr Proc. DLR Bauteilekonferenz (2013)

(111) Matching p-I-n-Junctions and optical Modes enables Fast and ultra-Small Silicon Modulators

S. Meister, H. Rhee, A. Al-Saadi, B.A. Franke, S. Kupijai, C. Theiss, L. Zimmermann, B. Tillack, H.H. Richter, H. Tian, D. Stolarek, T. Schneider, U. Woggon, H.J. Eichler Optics Express 21(13), 16210 (2013)

(112) demo abstract: Selforganized Bluetooth Scatternets for wireless Sensor networks

M. Methfessel, St. Lange, R. Kraemer, M. Zessack, St. Peter Proc. 11th ACM Conference on Embedded Networked Sensor Systems (SenSys 2013), (2013)

(113) an approach to MeMS Smart Sensor design using CMoS Beol

P. Michalik, D. Fernández, J. Madrenas, M. Kaynak, M. Wietstruck Proc. MEMSWAVE 2013, (2013)

(114) 80 GB / s decision Feedback equalizer for Intersymbol Interference limited Channels

L. Moeller, A. Awny, J. Junio, C. Bolle, J.C. Scheytt, A. Thiede Proc. of the Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC / NFOEC 2013), (2013)

(115) atomically Controlled CVd processing of Group IV Semiconductors for Strain engineering and doping in ultralarge Scale Integration

J. Murota, M. Sakuraba, B. Tillack ECS Transactions 54(1), 55 (2013)

(116) atomically Controlled CVd technology of Group IV Semiconductors for ultralarge Scale Integration

J. Murota, M. Sakuraba, B. Tillack Proc. IEEE International Conference on Solid-State and Integrated Circuit Technology (IEEE ICSICT 2012), 293 (2013)

(117) Surface reaction Controlled Chemical processing of Group IV Semiconductors for advanced electronics technologies

J. Murota, M. Sakuraba, B. Tillack Proc. Symposium of the 7th Sino-US Chemical Engineering Conference, abstr. book, 70 (2013)

(118) Virtual Gan Substrates via Sc2o3 / y2o3 Buffers on Si(111): teM Characterization of Growth defects

T. Niermann, D. Zengler, L. Tarnawska, P. Storck, T. Schroeder, M. Lehmann Journal of Applied Physics 113(22), 223501 (2013)

Page 99: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

98 A n n u A l R e p o R t 2 0 1 3

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(119) evidence for the origin of 110 Growth orientation of Cubic rare earth oxide Films on Si (001): epitaxial lattice-Matched 110-oriented pr0.9y1.1o3 film on Si (001)

G. Niu, P. Zaumseil, M.A. Schubert, M.H. Zoellner, J. Dabrowski, T. Schroeder Proc. 18th Conference Insulating Films on Semiconductors (INFOS 2013), abstr. book, 46 (2013)

(120) lattice-Matched Single Crystalline ternary prxy2-xo3 Films on Sro-passivated Si (001): Interface engineering and Crystallography tailoring

G. Niu, P. Zaumseil, M.A. Schubert, M.H. Zoellner, J. Dabrowski, T. Schroeder Applied Physics Letters 102, 011906 (2013)

(121) oxygen Vacancy engineering by pr3+ doping of Single Crystalline Ceo2 Films on Silicon for the Integration of Ferromagnetic Films on Si

G. Niu, M.H. Zoellner, P. Zaumseil, M.A. Schu-bert, T. Schroeder Proc. Workshop of Functional Oxides for Integration in Micro- and Nano-Electronics, 94 (2013)

(122) X-ray diffraction and extended X-ray absorption Fine Structure Study of epitaxial Mixed ternary Bixbyite prxy2-xo3 (x=0-2) Films on Si(111)

G. Niu, M.H. Zoellner, P. Zaumseil, A. Pouliopoulos, F. d‘Acapito, T. Schroeder, F. Boscherini Journal of Applied Physics 113, 043504 (2013)

(123) electrical Characterisation and predictive Simulation of defects Induced by keV Si+ Implantation in n-type Si

C. Nyamhere, F. Cristiano, F. Olivie, Z. Essa, E. Bedel-Pereira, D. Bolze, Y. Yamamoto Journal of Applied Physics 113, 184508 (2013)

(124) Surface properties of thick Ceria Films Grown on Si(111)

R. Olbrich, H.H. Pieper, R. Oelke, J. Wollschläger, M.H. Zoellner, T. Schroeder, M. Reichling Proc. COST Meeting, (2013)

(125) performance and Implementation of a Multi-rate Ir-uwB Baseband transceiver for Ieee802.15.4a

S. Olonbayar, D. Kreiser, R. Kraemer Proc. IEEE International Conference on Ultra-Wideband (ICUWB 2013), 237 (2013)

(126) Small-angle Subgrain Boundaries emanating from dislocation pile-ups in Multicrystalline Silicon Studied with Synchrotron white Beam X-ray topography

D. Oriwol, E.-R. Carl, A.N. Danilevsky, L. Sylla, W. Seifert, M. Kittler, H.S. Leipner Acta Materialia 61, 6903 (2013)

(127) telemedizinische Schlaganfallrehabilita- tion in den eigenen 4 wänden

St. Ortmann, J. Schäffner Proc. 6. Deutscher AAL-Kongress, 93 (2013)

(128) analysis and Minimization of Substrate Spurs in Fractional-n Frequency Synthesizers

S.A. Osmany, F. Herzel, J.C. Scheytt Analog Integrated Circuits and Signal Processing 74, 545 (2013)

(129) 200 Ghz Interconnects for Inp-on-BiCMoS Integration

I. Ostermay, T. Krämer, F.-J. Schmückle, O. Krüger, V. Krozer, W. Heinrich, M. Lisker, A. Trusch, E. Matthus, Y. Borokhovych, B. Tillack Proc. International Microwave Symposium (IMS 2013), (2013)

Page 100: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

99A n n u A l R e p o R t 2 0 1 3

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(130) w Band 2 Bit MeMS Based digital phase Shifter

E. Öztürk, M. Kaynak, I. Tekin Proc. MEMSWAVE 2013, (2013)

(131) tnode: a low power Sensor node processor for Secure wireless networks

G. Panic, O. Schrape, T. Basmer, F. Vater, K. Tittelbach-Helmrich Proc. The International Symposium on System-on-Chip 2013 (SoC 2013), (2013)

(132) a radiation tolerant SpaceFibre Interface device

St. Parkes, A. Ferrer, A. Gonzalez, C. McClements, R. Ginosar, T. Liran, G. Sokolov, N. Blatt, P. Rastetter, M. Krstic, A. Crescenzio Proc. International Spacewire Conference 2013, (2013)

(133) a high Voltage Swing dual-Band Bandpass ΔΣ Modulator for Mobile Base-Station

B.H. Park, S. Jang, P. Ostrovskyy, J. Jung IEEE Microwave and Wireless Components Letters 23, 199 (2013)

(134) Geometry Scalable Model parameter extraction for mm-wave SiGe- heterojunction transistors

A. Pawlak, M. Schroter, A. Fox Proc. IEEE Bipolar / BiCMOS Circuits and Tech-nology Meeting (BCTM 2013), 127 (2013)

(135) Comparison of Inp and Silicon Mach- Zehnder Modulators in terms of Chirp

D. Petousi, L. Zimmermann, K. Voigt, J. Kreissl, K. Petermann Proc. 39th European Conference & Exhibition on Optical Communication (ECOC 2013), (2013)

(136) performance limits of depletion-type Silicon Mach-Zehnder Modulators for telecom applications

D. Petousi, L. Zimmermann, K. Voigt, K. Petermann IEEE Journal of Lightwave Technology 31(22), 3556 (2013)

(137) Fault-tolerant reconfigurable low-power pseudorandom number Generator

V. Petrovic, Z. Stamenkovic, M. Stojcev, T. Nikolic, G. Jovanovic Proc. 16th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 279 (2013)

(138) Integrated Single event latchup protection for aSICs used in Space applications

V. Petrovic, M. Ilic, G. Schoof, Z. Stamenkovic Proc. 21st Telecommunications Forum (TELFOR 2013), 624 (2013)

(139) redundant Circuits with latchup protection V. Petrovic, G. Schoof, Z. Stamenkovic Proc. 20th IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2013), 117 (2013)

(140) Sens4u: a Modular approach towards the Ideal Sensor node Software and hardware

K. Piotrowski, J. Lösche Proc. 12. GI / ITG KuVS Fachgespräch „Drahtlose Sensornetze“, 33 (2013)

(141) Sens4u: wireless Sensor network applications for environment Monitoring Made easy

K. Piotrowski, St. Peter Proc. 4th International Workshop on Software Engineering for Sensor Network Applications, (SESENA 2013), (2013)

Page 101: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

100 A n n u A l R e p o R t 2 0 1 3

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(142) Silicon photonic Implementation of a Scalable o-oFdM demultiplexer

A. Rahim, S. Schwarz, J. Bruns, K. Voigt, L. Zimmermann, C.G. Schäffer, K. Petermann IEEE Photonics Technology Letters 25(20), 1977 (2013)

(143) high Speed Silicon Modulators for Integrated transceivers

G.T. Reed, D.J. Thomson, F.Y. Gardes, G.Z. Mashanovich, Y. Hu, K. Li, P.W. Wilson, L. Zimmermann, H. Porte, B. Goll, H. Zimmermann, D. Knoll, St. Lischke, S.W. Chen, S.S.H. Hsu, J.-M. Fedeli, K. Debnath, T.F. Krauss, L. O’Faolain Proc. International Conference on Solid State Devices and Materials (SSDM 2013), K-3-1, (2013)

(144) options for Silicon Based Modulators G.T. Reed, D.J. Thomson, F.Y. Gardes, G.Z. Mashanovich, Y. Hu, K. Li, P.W. Wilson, L. Zimmermann, H. Porte, B. Goll, H. Zimmermann, D. Knoll, St. Lischke, S.-W. Chen, S.S.H. Hsu, J.-M. Fedeli, K. Debnath, T.F. Krauss, L.O.’Faolain Proc. Frontiers in Optics 2013, FM3E.1, (2013)

(145) Silicon optical Modulators for Integrated transceivers

G.T. Reed, D.J. Thomson, F.Y. Gardes, G.Z. Mashanovich, Y. Hu, K. Li, P.W. Wilson, L. Zimmermann, H. Porte, B. Goll, H. Zimmermann, D. Knoll, St. Lischke, S.-W. Chen, S.S.H. Hsu, J.-M. Fedeli, K. Debnath, T. F. Krauss, L. O’Faolain Proc. CLEO 2013, CTu2F.1 (2013)

(146) Silicon optical Modulators for Integrated transceivers

G.T. Reed, D.J. Thomson, F.Y. Gardes, G.Z. Mashanovich, Y. Hu, K. Li, P.W. Wilson, L. Zimmermann, H. Porte, B. Goll, H. Zimmermann, D. Knoll, St. Lischke, S.-W. Chen, S.S.H. Hsu, J.-M. Fedeli, K. Debnath, T.F. Krauss, L. O’Faolain Proc. Photonics North, abstr. 164 (2013)

(147) Silicon optical Modulators for Short reach Interconnect

G.T. Reed, D.J. Thomson, F.Y. Gardes, G.Z. Mashanovich, Y. Hu, K. Li, P.W. Wilson, L. Zimmermann, H. Porte, B. Goll, H. Zimmermann, D. Knoll, St. Lischke, S.-W. Chen, J.-M. Fedeli, K. Debnath, T.F. Krauss, L. O’Faolain Proc. ISPSA-XVI, D1-I-01, (2013)

(148) trap-assisted tunneling on extended defects in tunnel Field-effect transistors

M. Reiche, M. Kittler, H. Uebensee Proc. 2013 Solid State Devices and Materials (SSDM), ext. abstr. 80 (2013)

(149) Carrier transport on dislocations M. Reiche, M. Kittler, M. Krause, H. Uebensee Proc. 27th International Conference on Defects in Semiconductors 2013 (ICDS 27), book of abstr., 324 (2013)

(150) dislocations as native nanostructures – electronic properties

M. Reiche, M. Kittler Proc. ANBRE 2013, 512 (2013)

(151) electrons on dislocations M. Reiche, M. Kittler, M. Krause, H. Uebensee Physica Status Solidi C 10(1), 40 (2013)

Page 102: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

101A n n u A l R e p o R t 2 0 1 3

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(152) trap-assisted Carrier transport in nanostructures

M. Reiche, M. Kittler, H. Uebensee Proc. of the 13th IEEE International Conference on Nanotechnology (IEEE Nano 2013), 1164 (2013)

(153) lead user Intelligence for Complex product development – the Case of Industrial It-Security Solutions

A. Sänn, J. Krimmling, D. Baier, N. Ming International Journal of Technology Intelligence and Planning 9(3), 232 (2013)

(154) Modified equivalent time Sampling for Improving precision of time-of-Flight Based localization

V. Sark, E. Grass Proc. IEEE International Symposium on Personal, Indoor and Mobile Radio Communications (PIMRC 2013), 365 (2013)

(155) a 135-170 Ghz power amplifier in an advanced SiGe hBt technology

N. Sarmah, B. Heinemann, U. Pfeiffer Proc. IEEE Symposium on Radio Frequency Integrated Circuits (RFIC), 287 (2013)

(156) towards mm-wave System-on-Chip with Integrated antennas for low-Cost 122 and 245 Ghz radar Sensors

J.C. Scheytt, Y. Sun, K. Schmalz, Y. Mao, R. Wang, W. Debski, W. Winkler Proc. 13th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF 2013), 246 (2013)

(157) a 120 Ghz dielectric Sensor in SiGe K. Schmalz, J. Borngräber, M. Kaynak, W. Winkler, J. Wessel, M. Neshat, S. Safavi-Naeini IEEE Microwave and Wireless Components Letters 23(1), 46 (2013)

(158) Subharmonic 245 Ghz SiGe receiver with antenna

K. Schmalz, J. Borngräber, R. Wang, Ch. Meliani, W. Debski, W. Winkler Proc. European Microwave Week (EuMW 2013), 121 (2013)

(159) 245 Ghz SiGe transmitter with Integrated antenna and external pll

K. Schmalz, R. Wang, J. Borngräber, W. Debski, W. Winkler, Ch. Meliani Proc. International Microwave Symposium (IMS 2013), (2013)

(160) a 12Gb / s Standard Cell Based eCl 4:1 Serializer with asynchronous parallel Interface

O. Schrape, M. Appel, F. Winkler, M. Krstic Proc. 2013 IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2013), 1 (2013)

(161) a Simple and Flexible 64 / 32-bit rISC Core for embedded Multiprocessors

A. Simevski Proc. 11th International Conference on Electronics, Telecommunications, Automation and Informatics (ETAI 2013), (2013)

(162) dependability Improvement in Multiprocessor Systems by dynamic adaptation to application requirements

A. Simevski Proc. Biannual European – Latin American Summer School on Design, Test and Reliability (BELAS 2013), abstr. (2013)

(163) register-transfer level nMr System Generator

A. Simevski, R. Kraemer, M. Krstic Proc. Zuverlässigkeit und Entwurf (ZuE 2013), 103 (2013)

Page 103: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

102 A n n u A l R e p o R t 2 0 1 3

(164) automated Integration of Fault Injection into the aSIC design Flow

A. Simevski, R. Kraemer, M. Krstic Proc. 16th IEEE Symp. Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2013), 255 (2013)

(165) Software Implementation of programmable nMr Voters

A. Simevski, E. Hadzieva Proc. 11th International Conference on Electronics, Telecommunications, Automation and Informatics (ETAI 2013), (2013)

(166) Solid-phase epitaxy and III-V / SiGe hybrid approaches for high performance SiGe hBts: a Material Science Study

O. Skibitzki, F. Hatami, Y. Yamamoto, P. Zaumseil, A. Trampert, M.A. Schubert, T. Schroeder, W.T. Masselink, B. Tillack Proc. JSPS Core-to-Core Program Workshop “Atomically Controlled Processing for Ultralar-ge Scale Integration”, abstr. book, 5 (2013)

(167) Fault Injection Framework for embedded Memories

P. Skoncej Proc. 16th IEEE Symp. Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2013), 77 (2013)

(168) utilizing wake-up receiver in Bird telemetry – Viability Study

N. Sobajic, J. Teran, R. Kraemer, M. Krstic Proc. International Conference on Telecom-munications in Modern Satellite, Cable and Broadcasting Services – (TELSIKS 2013), 530 (2013)

(169) Impact of the Current Compliance and Voltage range on resistive Switching of ti / hfo2 / tin Structures by haXpeS

M. Sowinska, T. Bertaud, D. Walczyk, S. Thiess, E. Hildebrandt, L. Alff, Ch. Walczyk, T. Schroeder Proc. Materials for Advanced Metallization (MAM 2013), abstr. book, AM2 (2013)

(170) design Flow and techniques for Fault tolerant aSICs

Z. Stamenkovic, V. Petrovic, G. Schoof Proc. of the 20th IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits, 97 (2013)

(171) Fault-tolerant aSIC: design and Implementation

Z. Stamenkovic, V. Petrovic, G. Schoof Facta Universitatis, Series: Electronics and Energetics 25(1), 1 (2013)

(172) Cost-effective Broadband Gaas IQ Modulator array for long-reach oFdM-pons

L. Stampoulidis, E. Giacoumidis, M.F. O’Keefe, I. Aldaya, R.G. Walker, Y. Zhou, N. Cameron, E. Kehayas, A. Tsokanos, I. Tomkos, N.J. Doran, L. Zimmermann Proc. 39th European Conference and Exhibition on Optical Communication (ECOC 2013), We.1.F.4 (2013)

(173) Fabrication of the First high-speed Gaas IQ electro-optic Modulators arrays and applicability Study for low-Cost tb / s direct-detection optical oFdM networks

L. Stampoulidis, M.F. O‘Keefe, E. Giacoumidis, R.G. Walker, Y. Zhou, N. Camerin, E. Kehayas, I. Tomkos, L. Zimmermann Proc. Optical Fiber Communication Conference and Exposition / National Fiber Optic Engineers Conference (OFC / NFOEC 2013), (2013)

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

Page 104: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

103A n n u A l R e p o R t 2 0 1 3

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(174) a Fair trade Multi-hop routing in wireless Sensor networks

O. Stecklina, P. Langendörfer, Ch. Goltz Proc. 6th Joint IFIP Wireless & Mobile Networking Conference (WMNC 2013), (2013)

(175) a lifetime Forecast Scheme for a distributed low duty Cycle Multi-hop routing in wireless Sensor networks

O. Stecklina, P. Langendörfer, Ch. Goltz International Journal of Business Data Communications and Networking 9(4), 1 (2013)

(176) design of a tailor-Made Memory protection unit for low power Microcontrollers

O. Stecklina, P. Langendörfer, H. Menzel Proc. 8th IEEE International Symposium on Industrial Embedded Systems, (2013)

(177) erweiterte Sicherheit für Kritische Infrastrukturen (eSCI)

O. Stecklina Proc. Innovationsforum „ISI4people“, (2013)

(178) the Crux of oMnet++ on development for a Specific wireless Sensor node platform, a progress report

O. Stecklina, A. Krumholz Proc. 12. GI / ITG KuVS Fachgespräche „Sensornetze“ (FGSN), 21 (2013)

(179) a low-Cost Miniature 120 Ghz Sip FMCw / Cw radar Sensor with Software linearization

Y. Sun, M. Marinkovic, G. Fischer, W. Winkler, W. Debski, S. Beer, T. Zwick, J.C. Scheytt Proc. International Solid-State Circuits Conference (ISSCC 2013), 148 (2013)

(180) Gallium nitride MSM uV detector Structures on Silicon Substrates Integrated via oxides Buffer layers

A. Szyszka, L. Tarnawska, M.A. Schubert, G. Lupina, M. Mazur, T. Schroeder Proc. 37th Workshop on Compound Semi-conductor Devices and Integrated Circuits (WOCSDICE 2013), 131 (2013)

(181) M-S and M-o-S Contacts to n-polar Gan on Silicon (111) for uV photodetector application

A. Szyszka, L. Lupina, G. Lupina, K. Malecha, T. Schroeder Proc. 2013 International Semiconductor Con-ference Dresden – Grenoble (ISCDG), (2013)

(182) Interface Science of Virtual Gan Substrates on Si(111) via Sc2o3 / y2o3 Buffers: experimental and theory

L. Tarnawska, J. Dabrowski, T. Grzela, T. Niermann, R. Paszkiewicz, P. Storck, T. Schroeder Journal of Applied Physics 113(21), 213507 (2013)

(183) Interface Science on the MBe Grown Gan on Sc2o3 / y2o3 / Si(111) templates

L. Tarnawska, J. Dabrowski, P. Storck, T. Schroeder Proc. 17th European Molecular Beam Epitaxy Workshop, 265 (2013)

(184) 2d Simulations of the Grain Boundary light Beam Induced (GB-lBIC) technique on polycrystalline Silicon thin Films

A.-M. Teodoreanu, F. Friedrich, L. Korte, R. Leihkauf, M. Kittler, B. Rech, Ch. Boit Proc. 28th European Photovoltaic Solar Energy Conference (28th EU PVSEC), (2013)

Page 105: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

104 A n n u A l R e p o R t 2 0 1 3

(185) Status evaluierung von Ihp SiGe-BiCMoS technologien für raumfahrtanwendungen

F. Teply Proc. DLR Bauteilekonferenz, (2013)

(186) high performance Mach–Zehnder-Based Silicon optical Modulators

D.J. Thomson, F.Y. Gardes, S. Liu, H. Porte, L. Zimmermann, J.-M. Fedeli, Y. Hu, M. Nedeljkovic, X. Yang, P. Petropoulos, G.Z. Mashanovich IEEE Journal of Selected Topics in Quantum Electronics 19(6), 3400510, (2013)

(187) Integration of high performance Silicon optical Modulators

D.J. Thomson, F.Y. Gardes, Y. Hu, G. Mashanovich, G.T. Reed, L. Zimmermann, D. Knoll, St. Lischke, H. Porte, B. Goll, H. Zimmermann, L. Ken, P. Wilson, S-W. Chen, S.H. Hsu, G.-H. Duan, A. Le Liepvre, C. Jany, A. Accard, M. Lamponi, D. Make, F. Lelarge, S. Messaoudene, D. Bordel, J.-M. Fedeli, S. Keyvaninia, G. Roelkens, D. Van Thourhout Proc. of the 10th International Conference on Group IV Photonics (GFP 2013), 59 (2013)

(188) MeMS and photonics Module Integration into SiGe BiCMoS technologies for More than Moore Functional diversification

B. Tillack, B. Heinemann, M. Kaynak, D. Knoll, A. Mai, M. Lisker, H. Rücker, Y. Yamamoto, L. Zimmermann ECS Transactions 58(9), 115 (2013)

(189) SiGe BiCMoS technology for More than Moore Functional diversification for opto- and Microelectronic application

B. Tillack, B. Heinemann, M. Kaynak, D. Knoll, St. Lischke, A. Mai, H. Rücker, Y. Yamamoto, L. Zimmermann Proc. 2013 International Semiconductor Conference Dresden – Grenoble (ISCDG), abstr. (2013)

(190) estimation of 433 Mhz path loss in algae Culture for Biosensor Capsule application

N. Todtenberg, T. Basmer, J. Klatt, K. Schmalz Proc. European Microwave Conference (EuMC 2013), 712 (2013)

(191) real-world Bluetooth Master-Slave Bridge deployment

N. Todtenberg, P. Kornecki, M. Mahlig Proc. 12. GI / ITG KuVS Fachgespräch „Drahtlose Sensornetze“, 1 (2013)

(192) wireless Sensor Capsule for Bioreactor N. Todtenberg, J. Klatt, S.-T. Schmitz-Hertzberg, F. Jorde, K. Schmalz Proc. 2013 IEEE MTT-S International Micro-wave Workshop Series on RF and Wireless Technologies for Biomedical and Healthcare Applications (IMWS-Bio 2013), (2013)

(193) an accurate eM Modeling of 140 Ghz BiCMoS embedded rF-MeMS Switch

S. Tolunay, M. Wietstruck, A. Göritz, M. Kaynak, B. Tillack, Proc. MEMSWAVE 2013, (2013)

(194) Fast and non Intrusive Failure analysis of BiCMoS rF-MeMS

N. Torres Matabosch, F. Coccetti, M. Kaynak, B. Espana, B. Tillack, J.L. Cazaux Proc. MEMSWAVE 2013, (2013)

(195) equivalent Circuit Model of reliable rF-MeMS Switches for Component Synthesis, Fabrication process Characterization and Failure analysis

N. Torres Matabosch, F. Coccetti, M. Kaynak, B. Espana, B. Tillack, J.-L. Cazaux International Journal of Microwave and Wireless Technologies , 1 (2013)

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

Page 106: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

105A n n u A l R e p o R t 2 0 1 3

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

(196) Failure analysis and detection Methodology for Capacitive rF-MeMS Switches based on Beol BiCMoS process

N. Torres Matabosch, F. Coccetti, M. Kaynak, B. Espana, B. Tillack, J.L. Cazaux Proc. 24th European Symposium on Reliabi-lity of Electron Devices, Failure Physics and Analysis (ESREF 2013), (2013)

(197) Failure analysis and detection Methodology for Capacitive rF-MeMS Switches on Beol BiCMoS process

N. Torres Matabosch, F. Coccetti, M. Kaynak, B. Espana, B. Tillack, J.L. Cazaux Microelectronics Reliability 53, 1659 (2013)

(198) Combined raman-dltS Investigations of n-type Cu-In-S absorber layers Grown on Cu tape Substrate

M. Trushin, T. Arguirov, M. Kittler, W. Seifert, A. Klossek, T. Bernhard, W. Gerlach-Blumenthal, A. Hänsel, O. Tober, M. Schwabe Physica Status Solidi A 210, 222 (2013)

(199) a 110 Ghz lna with 20 dB Gain and 4 dB noise Figure in an 0.13 μm SiGe BiCMoS technology

C.A. Ulusoy, M. Kaynak, V. Valent, B. Tillack, H. Schumacher Proc. International Microwave Symposium (IMS 2013), (2013)

(200) a Graphene-Based hot electron transistor S. Vaziri, G. Lupina, A.D. Smith, Ch. Henkel, G. Lippert, J. Dabrowski, W. Mehr, M. Östling, M.C. Lemme Nano Letters 13, 1435 (2013)

(201) Manufacturable process Integration approach for Graphene devices

S. Vaziri, G. Lupina, A. Paussa, A.D. Smith, Ch. Henkel, G. Lippert, J. Dabrowski, W. Mehr, M. Östling, M.C. Lemme Solid State Electronics 84, 185 (2013)

(202) radiative recombination and optical Gain Spectra in Biaxially Strained n-type Germanium

M. Virgilio, C.L. Manganelli, G. Grosso, G. Pizzi, G. Capellini Physical Review B 87, 235313 (2013)

(203) photoluminescence, recombination rate, and Gain Spectra in optically excited n-type and tensile strained Germanium layers

M. Virgilio, L. Manganelli, G. Grosso, T. Schroeder, G. Capellini Journal of Applied Physics 114, 243102 (2013)

(204) resistive Switching Characteristics in hfo2-based Structures

Ch. Walczyk, M. Sowinska, P. Calka, D. Walczyk, T. Bertaud, T. Schroeder Proc. Workshop Oxydes fonctionnels pour l’intégration en micro- et nano-électronique, 46 (2013)

(205) Graphene for Bio-Sensing and transistors applications

P. Wang, W. Zhang, O. Liang, G. Lupina, J. Dabrowski, G. Lippert, W. Mehr, T. Schroeder, Y.-H. Xie Proc. 37th Workshop on Compound Semi-conductor Devices and Integrated Circuits (WOCSDICE 2013), 95 (2013)

(206) ultra-Sensitive Graphene-plasmonic hybrid platform for label-Free detection

P. Wang, O. Liang, W. Zhang, T. Schroeder, Y.-H. Xie Advanced Materials 25, 4918 (2013)

Page 107: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

106 A n n u A l R e p o R t 2 0 1 3

(207) 122 Ghz patch antenna designs by using BCB above SiGe BiCMoS wafer process for System-on-Chip applications

R. Wang, Y. Sun, J. Borngräber, M. Kaynak, B. Goettel, S. Beer, J.C. Scheytt Proc. 24th IEEE International Symposium on Personal, Indoor and Mobile Radio Communications (PIMRC 2013), 1396 (2013)

(208) Contactless Characterization of yeast Cell Cultivation at 7 Ghz and 240 Ghz

J. Wessel, K. Schmalz, B. Cahill, G. Gastrock, Ch. Meliani Proc. IEEE Radio and Wireless Week (RWW 2013), 247 (2013)

(209) Contactless Investigation of yeast Cell Cultivation at 7 Ghz and 240 Ghz ranges

J. Wessel, K. Schmalz, B.P. Cahill, G. Gastrock, Ch. Meliani Proc. XV. International Conference on Electrical Bio-Impedance (ICEBI 2013), (2013)

(210) Contactless Investigation of yeast Cell Cultivation at 7 Ghz and 240 Ghz ranges

J. Wessel, K. Schmalz, B.P. Cahill, G. Gastrock, Ch. Meliani Proc. XV. International Conference on Electrical Bio-Impedance (ICEBI 2013), abstr. 35 (2013)

(211) BiCMoS-integrierte rF-MeMS technologien für mm-wellen anwendungen

M. Wietstruck, M. Kaynak, R. Scholz, B. Tillack Proc. MST Workshop (2013), (2013)

(212) electro-thermo-Mechanical analysis of a BiCMoS embedded rF-MeMS Switch for temperature from -55°C to 125 °C

M. Wietstruck, M. Kaynak, W. Zhang, B. Tillack Proc. 13th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF 2013), 18 (2013)

(213) Monolithisch-integrierte through-Silicon Vias für Grounding, power distribution networks und System-on-Chip anwendungen

M. Wietstruck, M. Kaynak, St. Marschmeyer, A. Göritz, S. Tolunay, B. Tillack Proc. Mikrosystemtechnik Kongress 2013, 666 (2013)

(214) high-Frequency optimization of BiCMoS embedded through-Silicon Vias for Backside-Integrated MeMS

M. Wietstruck, M. Kaynak, St. Marschmeyer, A. Göritz, S. Tolunay, S. Kurth, B. Tillack Proc. MEMSWAVE 2013, (2013)

(215) Stabilization of the Ceria i-phase (Ce7o12) Surface on Si(111)

H. Wilkens, O. Schuckmann, R. Oelke, S. Gevers, A. Schaefer, M. Bäumer, M.H. Zoellner, T. Schroeder, J. Wollschläger Applied Physics Letters 102, 111602 (2013)

(216) Structural transitions of epitaxial Ceria Films on Si(111)

H. Wilkens, O. Schuckmann, R. Oelke, S. Gevers, M. Reichling, A. Schaefer, M. Bäumer, M. H. Zoellner, G. Niu, T. Schroeder, J. Wollschläger Physical Chemistry Chemical Physics 15, 18598 (2013)

(217) Surface Morphology of ultrathin hex-pr2o3 Films on Si(111)

H. Wilkens, J. Rodewald, S. Gevers, M.H. Zoell-ner, T. Schroeder, J. Wollschläger Journal of Physics D 46, 285306, (2013)

(218) oxygen diffusivity in Silicon derived from dynamical X-ray diffraction

J. Will, A. Gröschel, D. Kot, M.A. Schubert, C. Bergmann, H.-G. Steinrück, G. Kissinger, A. Magerl Journal of Applied Physics 113, 073508 (2013)

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

Page 108: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

107A n n u A l R e p o R t 2 0 1 3

e I n G e l a d e n e V o r t r ä G e – I n V I t e d p r e S e n t a t I o n S

(219) Compact Fiber Grating Coupler on SoI for Coupling of higher order Fiber Modes

B. Wohlfeil, Ch. Stamatiadis, L. Zimmermann, K. Petermann Proc. Optical Fiber Communication Conference and Exposition / National Fiber Optic Engineers Conference (OFC / NFOEC 2013), (2013)

(220) high Quality Ge Grown on Si for photonics device Integration into BiCMoS

Y. Yamamoto, P. Zaumseil, St. Lischke, D. Knoll, L. Zimmermann, J. Murota, B. Tillack Proc. JSPS Core-to-Core Program „Inter-national Collaborative Research Center on Atomically Controlled Processing for Ultralarge Scale Integration (2013), (2013)

(221) phosphorous atomic layer doping in Ge using rpCVd

Y. Yamamoto, R. Kurps, Ch. Mai, I. Costina, J. Murota, B. Tillack Solid State Electronics 83, 25 (2013)

(222) phosphorus atomic layer doping in SiGe using rpCVd

Y. Yamamoto, B. Heinemann, J. Murota, B. Tillack Proc. of the 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and the 6th International Symposium on Control of Semiconductor Interfaces (ISCSI-VI), 113 (2013)

(223) phosphorus diffusion prevention in Ge by Si delta layers

Y. Yamamoto, P. Zaumseil, R. Kurps, J. Murota, B. Tillack Proc. JSPS Core-to-Core Program Workshop Atomically Controlled Processing for Ultra-large Scale Integration, abstr. book, 3 (2013)

(224) Suppression of p diffusion by Si delta layer

Y. Yamamoto, P. Zaumseil, R. Kurps, J. Murota, B. Tillack Proc. 6th International Workshop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar Atomically Controlled Processing for Ultralarge Scale Integration, (2013)

(225) a wideband 215 - 255 Ghz CB differential amplifier in a 0.25 μm SiGe hBt technology

D. Yoon, N. Kim, U. Pfeiffer, B. Heinemann, J.-S. Rieh Proc. Asia Pacific Microwave Conference (APMC 2013), 351 (2013)

(226) an equivalent Circuit with a noise Source for 850-nm Si avalanche photodetector and optimal design of Si oeIC receiver

J.-S. Youn, M.-J. Lee, K.-Y. Park, H. Rücker Proc. CLEO-PR & OECC / PS, (2013)

(227) experimental Verification and theoretical description of Misfit dislocation-Free Ge nanostructures on Compliant Si (001)

P. Zaumseil, Y. Yamamoto, M.A. Schubert, M. Salvalaglio, A. Marzegalli, F. Montalenti, B. Tillack, G. Capellini, T. Schroeder Proc. JSPS Core-to-Core Program Workshop, abstr. book, 25 (2013)

(228) heteroepitaxial Growth of Ge on Compliant Strained nanostructured Si lines and dots on (001) SoI Substrate

P. Zaumseil, Y. Yamamoto, M.A. Schubert, T. Schroeder, B. Tillack Proc. of the 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and the 6th International Symposium on Control of Semiconductor Interfaces (ISCSI-VI), 71 (2013)

Page 109: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

108 A n n u A l R e p o R t 2 0 1 3

(229) X-ray Characterization of Ge dots epitaxially Grown on nano-Structured Si Islands on SoI Substrates

P. Zaumseil, G. Kozlowski, Y. Yamamoto, T. Schroeder Journal of Applied Crystallography 46, 868 (2013)

(230) design of a low-power asynchronous elliptic Curve Cryptography Coprocessor

St. Zeidler, M. Goderbauer, M. Krstic Proc. 2013 IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2013), 569 (2013)

(231) Mixed technology platform for terabit optical ethernet applications

L. Zimmermann Proc. 6th IEEE / International Conference on Advanced Infocomm Technology (IEEE / ICAIT 2013), 149 (2013)

(232) Modulator Integration in high-performance BiCMoS

L. Zimmermann, D. Knoll, St. Lischke, H. Richter, G. Winzer, D.J. Thomson, F.Y. Gardes, Y. Hu, G.T. Reed, B. Goll, H. Porte, K. Voigt, B. Tillack Proc. of the 10th International Conference on Group IV Photonics (GFP), 85 (2013)

(233) Monolithically Integrated 10Gbit / sec Silicon Modulator with driver in 0.25 μm SiGe:C BiCMoS

L. Zimmermann, D.J. Thomson, B. Goll, D. Knoll, St. Lischke, F.Y. Gardes, Y. Hu, G.T. Reed, H. Zimmermann, H. Porte Proc. 39th European Conference & Exhibiti-on on Optical Communication (ECOC 2013), (2013)

(234) Influence of Chemo-Mechanical planarization on the Spatial lattice tilt distribution in SiGe Buffer layers Investigated by Micro-focused X-ray diffraction Mapping

M.H. Zoellner, G. Chahine, M.-I. Richard, P. Zaumseil, P. Storck, T. Schulli, T. Schroeder Proc. JSPS Core-to-Core Program Work-shop „Atomically Controlled Processing for Ultralarge Scale Integration“ abstr. book, 17 (2013)

(235) Integration of Ferromagnetic pr3+ doped Cerium oxide epitaxial Films Ce1-xprxo2-δ (x=0-1) on Si (111): Film properties Control by oxygen Vacancy engineering

M.H. Zoellner, G. Niu, P. Zaumseil, T. Schroeder Proc. 18th Conference Insulating Films on Semiconductors (INFOS 2013), abstr. book, 182 (2013)

(236) temperature dependent reduction of epitaxial Ce1-xprxo2-δ (x = 0-1) thin films on Si(111): a Combined tpd, Xrd, XpS and raman Study

M.H. Zoellner, G. Niu, J.-H. Jhang, A. Schaefer, P. Zaumseil, M. Bäumer, T. Schroeder Journal of Physical Chemistry C 117, 24851 (2013)

e r S C h I e n e n e p u B l I K a t I o n e n – p u B l I S h e d p a p e r S

Page 110: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

109A n n u A l R e p o R t 2 0 1 3

Eingeladene VorträgeInvited Presentations

(1) luminescence from Germanium and Germanium on Silicon

T. Arguirov, M. Kittler, M. Oehme, N.V. Abrosimov, O.F. Vyvenko, E. Kasper Gettering and Defect Engineering in Semiconductor Technology (GADEST 2013), Oxford, September 22 - 27, 2013, UK

(2) hfo2 rraM for embedded non-Volatile Memory

T. Bertaud, M. Sowinska, D. Walczyk, P. Calka, St. Kubotsch, G. Schoof, S. Thiess, T. Schroeder, Ch. Walczyk Novel High k Application Workshop 2013, Dresden, March 06, 2013, Germany

(3) hfo2-based rraM for embedded non-Volatile Memory

T. Bertaud Seminar at the Slovak Academy of Science, Bratislava, July 04 - 05, 2013, Slovakia

(4) BioMeMS zum affinitätsviskosimetrischen nachweis niedermolekularer analyte

M. Birkholz Seminar des Peter-Grünberg-Instituts, Jülich, November 04, 2013, Germany

(5) Interdisziplinäre perspektive: tuB und Ihp gründen das gemeinsame labor für Bioelektronik

M. Birkholz BioBilanz 2013, Vertretung des Landes Brandenburg beim Bund, Berlin, November 27, 2013, Germany

(6) Mikroelektronik für die Biotechnologie M. Birkholz Universität Rostock, June 18, 2013, Germany

(7) Strained Germanium heterostructures for Infrared and thz light emission

G. Capellini 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8), Fukuoka, June 02 - 07, 2013, Japan

(8) Comparison of Graphene Growth Mechanisms on Various Substrates

J. Dabrowski, G. Lippert, W. Mehr, G. Lupina PDI Topical Workshop on MBE-Grown Graphene 2013, Berlin, September 19 - 20, 2013, Germany

(9) Maximum Spectral efficiency through parallelized Multiple-Input-Multiple- output transmission using high- resolution 3d antenna topologies

G. Fettweis, E. Grass, B. Lankl Review Meeting DFG Priority Program 1655: Wireless 100Gb / s and beyond, Frankfurt (Oder), February 25, 2013, Germany

(10) SiGe vs. CMoS Circuits from technology to uwB Single-Chip System

G. Fischer ZUSYS-Tutorial, Cottbus, May 24, 2013, Germany

(11) optical Spectroscopy for process Control in Microelectronics

O. Fursenko Workshop on Traceable Optical Thin Film Characterization, Berlin, BAM, September 12, 2013, Germany

(12) drahtlose Breitbandkommunikations- systeme

E. Grass Sommerschule Mikroelektronik, Frankfurt (Oder), September 02 - 06, 2013, Germany

e I n G e l a d e n e V o r t r ä G e – I n V I t e d p r e S e n t a t I o n S

Page 111: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

110 A n n u A l R e p o R t 2 0 1 3

(13) Fundamentals of asynchronous Circuit design

E. Grass, M. Krstic University of California, Irvine, May 17, 2013, USA

(14) towards 100 Gbps: ultra-high Spectral efficiency using massive MIMo with 3d antenna Configurations

E. Grass IEEE International Conference on Communications (ICC 2013), Budapest, June 09 - 13, 2013, Hungary

(15) BiCMoS Integration of photonic Components D. Knoll Open Bipolar Workshop at BCTM 2013, Bordeaux, October 03, 2013, France

(16) Simulation und Messung des thermischen widerstandes von Silicium-Germanium- hetero-Bipolartransistoren

F. Korndörfer Smart System Electronics, Chemnitz, October 23, 2013, Germany

(17) drahtlose Sensornetze mit Selbstheilung und automatischer topologiekontrolle

R. Kraemer Institutsseminar bei der DLR, Berlin, July 03, 2013, Germany

(18) Zuverlässigkeitsaspekte in drahtlosen Systemen insbesondere drahtlosen Sensornetzen

R. Kraemer HNI-Forum an der Universität Paderborn, Paderborn, September 03, 2013, Germany

(19) Merging Inp hBts with Si BiCMoS T. Krämer, M. Lisker, I. Ostermay, A. Thies, O. Krüger, T. Jensen, F.J. Schmückle, V. Krozer, B. Tillack, W. Heinrich E-MRS Fall Meeting Warsaw, September 16 - 20, 2013, Poland

(20) photonic-electronic platform for next Generation optical transport network

M. Kroh, M. O‘Keefe, K. Voigt, S. Fedderwitz, G. Battista Preve, St. Lischke, T. Brast, D. Petousi, Ch. Stamatiadis, E. Kehayas, R. Nogueira, D. Korn, D. Roccato, Ph.C. Schindler, I. Lazarou, Ch. Koos, W. Freude, J. Leuthold, H. Avramopoulos, A.G. Steffan, L. Stampoulidis, L. Zimmermann 15th International Conference on Transparent Optical Networks (ICTON 2013), Cartagena, June 23 - 27, 2013, Spain

(21) Inp on BiCMoS technology platform for Millimeter-wave and thz MMIC

V. Krozer, T. Jensen, T. Krämer, I. Ostermay, F.J. Schmückle, O. Krüger, W. Heinrich, M. Lisker, B. Tillack, Ch. Meliani 6th UK, Europe, China Millimeter Waves and THz Technology Workshop (UCMMT 13), Rome, September 09 - 11, 2013, Italy

(22) evaluating GalS Systems for System Integration- outlook and Future prospects

M. Krstic, E. Grass, X. Fan, D. Bertozzi University of California, Irvine, May 17, 2013, USA

(23) Bericht eines erfolgreichen antragstellers P. Langendörfer Informationsveranstaltung für Berlin und Brandenburg zur IKT in den Arbeitsprogram-men 2014 / 2015 in „Horizont 2020“, Berlin, October 16, 2013, Germany

(24) Bericht eines erfolgreichen antragstellers P. Langendörfer HORIZONT 2020 – Auftaktveranstaltung für die Hauptstadtregion Berlin-Brandenburg, Berlin, November 26, 2013

e I n G e l a d e n e V o r t r ä G e – I n V I t e d p r e S e n t a t I o n S

Page 112: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

111A n n u A l R e p o R t 2 0 1 3

(25) deposition of Graphene on Insulators and Conversion of atomic Carbon into Graphene by Van der waals epitaxy

G. Lippert, J. Dabrowski, Y. Yamamoto, F. Herziger, J. Maultzsch, J. Baringhaus, C. Tegenkamp, M.C. Lemme, W. Mehr, G. Lupina The 17th European Molecular Beam Epitaxy Workshop (Euro MBE 2013), Levi, March 10 - 13, 2013, Finland

(26) MBe - Suitable to Growth Graphene on Various Materials

G. Lippert, J. Dabrowski, W. Mehr, G. Lupina Topical Workshop on MBE-Grown Graphene 2013, PDI, Berlin, September 19 - 20, 2013, Germany

(27) Van der waals epitaxy of Graphene on Insulators

G. Lippert TU Berlin, Berlin, April 29, 2013, Germany

(28) Modern Graphene-Based electronic Components

G. Lupina Seminar Plasma Process Technology, Sentech Berlin, Berlin, February 28, 2013, Germany

(29) 245 Ghz Integrated receiver design in SiGe BiCMoS for Spectroscopy applications

Y. Mao Elektrotechnisches Kolloquium University of Paderborn, January 29, 2013, Germany

(30) entwicklung tSV Modul am Ihp St. Marschmeyer 3. Workshop „Tiefes Siliziumätzen“, TU Ilmenau, September 04, 2013, Germany

(31) CMoS Integration of a new Vertical thz Graphene Base transistor

W. Mehr TU Ilmenau, July 12, 2013, Germany

(32) Graphene Integration in digital devices – a new Vertical Graphene Base transistor (GBt)

W. Mehr, A. Wolff, J. Dabrowski, G. Lippert, D. Kaiser, S. Vaziri, M. Lemme, G. Lupina E-MRS Spring Meeting, Strasbourg, May 27 - 31, 2013, France

(33) hochintegriertes höchstfrequenzsystem zur frühzeitigen diagnose von arteriosklerose

Ch. Meliani Technologiegespräch Medizinelektronik, Nürnberg, September 25, 2013, Germany

(34) plaque-Charakterisierung durch Katheter- hochfrequenztechnik - einführung und erste ergebnisse

Ch. Meliani 28. Gefäßmedizinisches Symposium, Berlin, November 07 - 09, 2013, Germany

(35) Surface reaction Controlled Chemical processing of Group IV Semiconductors for advanced electronics technologies

J. Murota, M. Sakuraba, B. Tillack Symposium of the 7th Sino-US Chemical Engineering Conference, Beijing, October 15 - 16, 2013, China

(36) Combining Individual exercises and Games for rehabilitation at home

St. Ortmann, J. Schäffner, P. Langendörfer eHealth and the Brain-ICT for Neuro-psychiatric Health, Brussels, November 05, 2013, Belgium

(37) Google, Facebook or Big Brother – who knows more about you?

St. Ortmann Science on Stage Festival 2013, Frankfurt (Oder) / Slubice, April 25 - 28, 2013, Germany / Poland

e I n G e l a d e n e V o r t r ä G e – I n V I t e d p r e S e n t a t I o n S

Page 113: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

112 A n n u A l R e p o R t 2 0 1 3

(38) projektmanagement von eu-Forschungs- vorhaben: Chancen und risiken der internationalen Kooperationen

St. Ortmann, P. Langendörfer „Management des internationalen Technolo-gietransfers“, gemeinsames Seminar Europa-Universität Viadrina / ZukunftsAgentur Brandenburg, Frankfurt (Oder), June 13 - 14, 2013, Germany

(39) optical Modulators: theory, technology and applications

D. Petousi Summer School Microelectronics 2013, Frankfurt Oder, September 02 - 06, 2013, Germany

(40) erfahrungen mit dem exist-Forschungs- transfer für ausgründungen

M. Petri Potsdam Transfer – Cluster Workshop zur Reflektion von Verwertungsideen, Potsdam, June 24, 2013, Germany

(41) high Speed Silicon Modulators for Integrated transceivers

G.T. Reed, D.J. Thomson, F.Y. Gardes, G.Z. Mashanovich, Y. Hu, K. Li, P.W. Wilson, L. Zimmermann, H. Porte, B. Goll, H. Zimmermann, D. Knoll, St. Lischke, S.W. Chen, S.S.H. Hsu, J.-M. Fedeli, K. Debnath, T.F. Krauss, L. O’Faolain International Conference on Solid State Devices and Materials (SSDM 2013), Fukuoka, September 24 - 27, 2013, Japan

(42) options for Silicon Based Modulators G.T. Reed, D.J. Thomson, F.Y. Gardes, G.Z. Mashanovich, Y. Hu, K. Li, P.W. Wilson, L. Zimmermann, H. Porte, B. Goll, H. Zimmermann, D. Knoll, St. Lischke, S.-W. Chen, S.S.H. Hsu, J.-M. Fedeli, K. Debnath, T.F. Krauss, L. O’Faolain Frontiers in Optics 2013, Orlando, Florida, October 06 - 10, 2013, USA

(43) Silicon optical Modulators for Integrated transceivers

G.T. Reed, D.J. Thomson, F.Y. Gardes, G.Z. Mashanovich, Y. Hu, K. Li, P.W. Wilson, L. Zimmermann, H. Porte, B. Goll, H. Zimmermann, D. Knoll, St. Lischke, S.-W. Chen, S.S.H. Hsu, J.-M. Fedeli, K. Debnath, T.F. Krauss, L. O’Faolain Photonics North, Ottawa, June 03 - 05, 2013, Canada

(44) Silicon optical Modulators for Integrated transceivers

G.T. Reed, D.J. Thomson, F.Y. Gardes, G.Z. Mashanovich, Y. Hu, K. Li, P.W. Wilson, L. Zimmermann, H. Porte, B. Goll, H. Zimmermann, D. Knoll, St. Lischke, S.-W. Chen, S.S.H. Hsu, J.-M. Fedeli, K. Debnath, T.F. Krauss, L. O’Faolain CLEO 2013, San Jose, California, June 09 - 11, 2013, USA

(45) Silicon optical Modulators for Short reach Interconnect

G.T. Reed, D.J. Thomson , F.Y. Gardes, G.Z. Mashanovich, Y. Hu, K. Li, P.W. Wilson, L. Zimmermann, H. Porte, B. Goll, H. Zimmermann, D. Knoll, St. Lischke, S.-W. Chen, J.-M. Fedeli, K. Debnath, T.F. Krauss, L. O’ Faolain ISPSA-XVI, Jeju, July 02 - 05, 2013, Korea

(46) Innovationshemmnisse in hochschulen und Forschungseinrichtungen

A. Sänn, O. Stecklina Sicherheitsvorfälle in KRITIS seit 02.09.2011, Frankfurt / Main, January 25, 2013, Germany

(47) Implantation / rtp overview A. Scheit, Th. Lenke Summer School Microelectronics 2013, Frankfurt Oder, September 02 - 06, 2013, Germany

e I n G e l a d e n e V o r t r ä G e – I n V I t e d p r e S e n t a t I o n S

Page 114: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

113A n n u A l R e p o R t 2 0 1 3

(48) advanced Ge Micro- and nanostructures for Merging photonics with electronics in Silicon Microelectronics

T. Schroeder Gemeinsames Festkörperphysik-Seminar, SS 2013, Bremen, May 21, 2013, Germany

(49) Compliant Ge / Si heterostructures without Misfit dislocation networks – a Case Study for high Quality Semi- conductor Integration on Si(001)

T. Schroeder E-MRS Fall Meeting, Warsaw, September 16 - 20, 2013, Poland

(50) Materials research for Functionalized More than Moore Silicon Microelectronics: the example of Ge Integration for Merging electronics and photonics

T. Schroeder Physikalisches Kolloquium, Universität Osnabrück, January 10, 2013, Germany

(51) Modern Materials research for „More than Moore“ Silicon Microelectronics: on the role of Synchrotron Materials research for Functional Module Integration

T. Schroeder Synchrotron Festkörperkolloquium ALBA, Barcelona, May 07, 2013, Spain

(52) More than Moore Material research for Silicon Microelectronics: advanced Ge Micro- and nanostructures for photonics

T. Schroeder Forschungszentrum, Jülich, March 27, 2013, Germany

(53) watching Materials at work by in-operando Synchrotron research: examples from Microelectronics

T. Schroeder 2013 European XFEL User‘s Meeting and Satellite Meetings, Hamburg, January 23 - 25, 2013, Germany

(54) Compliant Substrate Versus plastic relaxation effects in Ge nanoheteroepitaxy on Free-Standing Si(001) nanopillars

T. Schroeder, P. Zaumseil, Ch. Reich, G. Capellini, Y. Yamamoto, A. Marzegalli, F. Montalenti, T. Schülli, B. Tillack Nano-X Fundamental Instruments and Research on Novel Nanodevices German-Chinese Workshop, Suzhou, November 03 - 08, 2013, China

(55) eBIC Investigations of defect recombination activity in Solar Si

W. Seifert MPIE Workshop „Interface Design of Solar Cells“, Düsseldorf, July 02, 2013, Germany

(56) rekombinationseigenschaften von Versetzungen in mc-Si

W. Seifert, M. Kittler Expertenmeeting „Versetzungsdynamik in Si“, Fraunhofer CSP, Halle, April 30, 2013, Germany

(57) design Flow and techniques for Fault tolerant aSICs

Z. Stamenkovic, V. Petrovic, G. Schoof 20th IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits, Suzhou, July 15 - 19, 2013, China

(58) Beyond the Internet of things – Security in wireless Sensor and actor networks

O. Stecklina Mobile Endgeräte sicher nutzen, Frankfurt (Oder), March 19, 2013, Germany

(59) environmental Monitoring O. Stecklina Summer School Microelectronics 2013, Frankfurt Oder, September 02 - 06, 2013, Germany

e I n G e l a d e n e V o r t r ä G e – I n V I t e d p r e S e n t a t I o n S

Page 115: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

114 A n n u A l R e p o R t 2 0 1 3

(60) low-Cost Miniaturized 122 Ghz Sip radar Sensor

Y. Sun Progress In Electromagnetics Research Symposium (PIERS), Stockholm, August 12 - 15, 2013, Sweden

(61) MeMS and photonics Module Integration into SiGe BiCMoS technologies for More than Moore Functional diversification

B. Tillack, B. Heinemann, M. Kaynak, D. Knoll, A. Mai, M. Lisker, H. Rücker, Y. Yamamoto, L. Zimmermann ECS Conference, San Francisco, October 27 - November 01, 2013, USA

(62) SiGe BiCMoS -Baseline technology for More than Moore Functional diversification of opto- and Micro- electronic devices and Circuits

B. Tillack, B. Heinemann, M. Kaynak, D. Knoll, H. Rücker, Y. Yamamoto, L. Zimmermann 6th International Workshop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar Atomically Controlled Processing for Ultralarge Scale Integration, Sendai, February 22 - 23, 2013, Japan

(63) SiGe BiCMoS technology for More than Moore Functional diversification for opto- and Microelectronic application

B. Tillack, B. Heinemann, M. Kaynak, D. Knoll, St. Lischke, A. Mai, H. Rücker, Y. Yamamoto, L. Zimmermann 2013 International Semiconductor Conference Dresden - Grenoble (ISCDG), Dresden, September 26 - 27, 2013, Germany

(64) resistive Switching Characteristics in hfo2-based Structures

D. Walczyk, T. Bertaud, M. Sowinska, P. Calka, St. Kubotsch, T. Schroeder, Ch. Walczyk Summer School Microelectronics 2013, Frank-furt Oder, September 02 - 06, 2013, Germany

(65) resistive Switching Characteristics in hfo2-based Structures

Ch. Walczyk, M. Sowinska, P. Calka, D. Walczyk, T. Bertaud, T. Schroeder Workshop Oxydes fonctionnels pour l’intégration en micro- et nano-électronique, Autrans, April 07 - 10, 2013, France

(66) planar antenna designs for Millimeter-wave applications

R. Wang Elektrotechnisches Kolloquium University of Paderborn, May 21, 2013, Germany

(67) Graphene for Bio-Sensing and transistors applications

P. Wang, W. Zhang, O. Liang, G. Lupina, J. Dabrowski, G. Lippert, W. Mehr, T. Schroeder, Y.-H. Xie 37th Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDICE 2013), Warnemünde, May 26 - 29, 2013, Germany

(68) Mikroelektronik für die Medizintechnik Ch. Wenger 5. Brandenburger Sensornetztag – Sensorik meets Medizin, Frankfurt (Oder), November 13, 2013, Germany

(69) nano and Microelectronics Based healthcare and life Sciences in Berlin- Brandenburg – a research Cluster approach

Ch. Wenger How Nanoelectronics Can Revolutionize Life Sciences, Colloquium at the Belgian Embassy, Berlin, September 17, 2013, Germany

(70) Silicon Based Microwave Chips for Medical and Security applications

Ch. Wenger The International Wireless Industry Consortium (IWPC) Workshop: mmWave / Sub-mmWave Applications and Technologies, Los Angeles, December 03 - 05, 2013, USA

e I n G e l a d e n e V o r t r ä G e – I n V I t e d p r e S e n t a t I o n S

Page 116: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

115A n n u A l R e p o R t 2 0 1 3

V o r t r ä G e – p r e S e n t a t I o n S

VorträgePresentations

(1) performance Improvement of Silicon Micro-Cavity Modulators by Iteration of the p-i-n Intrinsic region width

A. Al-Saadi, B.A. Franke, S. Kupijai, C. Theiss, H. Rhee, S. Mahdi, L. Zimmermann, D. Stolarek, H.H. Richter, H.J. Eichler, U. Woggon, S. Meister 39th European Conference and Exhibition on Optical Communication, London, September 23 - 26, 2013, UK

(2) antennas for Medical Implant applications operating in the MICS Band

T. Basmer, N. Todtenberg, F. Popiela, M. Birkholz, St. Ortmann 2013 IEEE MTT-S International Microwave Workshop Series on RF and Wireless Technologies for Biomedical and Healthcare Applications (IMWS-Bio 2013), Singapore, December 09 - 11, 2013, Singapore

(3) extending wireless Body Sensor networks using Intelligent Implants

T. Basmer, M. Birkholz 12. GI / ITG KuVS Fachgespräch „Drahtlose Sensornetze“, Cottbus, September 12 - 13, 2013, Germany

(4) Sicherheit in Mikrochips T. Basmer, Z. Dyka Summer School Microelectronics 2013, Frankfurt (Oder), September 02 - 06, 2013, Germany

(5) patterning of Collagen type I for oriented Cell Growth and Guided Cell Migration

St. Berger, J. Kiebist, C. Dittmer, M. Jugendheimer, M. Diener, St. Marschmeyer, M. Birkholz, K. Salchert 9. ThGOT / 9. Biomaterial-Kolloquium, Zeulen-roda, September 03 - 05, 2013, Germany

(71) Silizium-basierte Mikroelektronik für biomedizinische anwendungen

Ch. Wenger Workshop zur Vorbereitung eines strate-gischen Forschungsverbundes Medizintechnik in der Leibniz-Gemeinschaft, Jena, February 20, 2013, Germany

(72) Charakterisierung von Zellkultivierungen im Ghz Bereich

J. Wessel Opening Symposium Joint Lab Bioelectronics, TU Berlin, September 20, 2013, Germany

(73) high Quality Ge Grown on Si for photonics device Integration into BiCMoS

Y. Yamamoto, P. Zaumseil, St. Lischke, D. Knoll, L. Zimmermann, J. Murota, B. Tillack JSPS Core-to-Core Program „International Collaborative Research Center on Atomically Controlled Processing for Ultralarge Scale Integration, Fukuoka, June 06, 2013, Japan

(74) Compliant Si nanostructures for Ge nanoheteroepitaxy - a Case Study for lattice Mismatched Semiconductor Integration on Si(001)

P. Zaumseil, G. Kozlowski, Y. Yamamoto, J. Bauer, M.A. Schubert, U. Schulli, B. Tillack, T. Schroeder 3rd Annual World Congress of Nanoscience & Technology (NANOS&T 2013), Xian, August 26 - 28, 2013, China

(75) Mixed technology platform for terabit optical ethernet applications

L. Zimmermann 6th IEEE / International Conference on Advanced Infocomm Technology (IEEE / ICAIT 2013), Hsinchu, July 06 - 09, 2013, Taiwan

Page 117: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

116 A n n u A l R e p o R t 2 0 1 3

V o r t r ä G e – p r e S e n t a t I o n S

(6) In-operando haXpeS Investigations of the resistive Switching phenomenon in ti / hfo2-Based rraM Cells

T. Bertaud, M. Sowinska, D. Walczyk, S. Thiess, W. Drube, Ch. Walczyk, T. Schroeder DESY Users’; Meeting 2013, Hamburg, January 23 - 25, 2013, Germany

(7) resistive Influence of hfo2 deposition technique on resistive Switching in MIM devices

T. Bertaud, B. Hudec, M. Lukosius, E. Hildebrandt, M. Sowinska, D. Walczyk, St. Kubotsch, P. Calka, Ch. Walczyk, L. Alff, A. Rosova, E. Dobrocka, J. Derer, J. Fedor, A. Paskaleva, K. Fröhlich, T. Schoeder 18th Conference Insulating Films on Semiconductors (INFOS 2013), Cracow, June 25 - 28, 2013, Poland

(8) Biostabilität und energieversorgung eines implantierbaren Glucosemonitors

M. Birkholz, T. Basmer, K.-E. Ehwald, M. Fröhlich, D. Genschow, C. Reich 8. Deutsches Biosensor Symposium (DBS 2013), Wildau, March 10 - 13, 2013, Germany

(9) Is Cross-platform protocol Stack Suitable for Sensor networks? empirical evaluation

M. Brzozowski, P. Langendörfer 6th Join IFIP Wireless & Mobile Networking Conference (WMNC 2013), Dubai, April 23 - 25, 2013, United Arab Emirates

(10) overview and Benchmarks of pragmatic debugging techniques for wireless Sensor networks

M. Brzozowski, P. Langendörfer The 21st International Conference on Software, Telecommunications and Computer Networks (SoftCOM 2013), Primosten, September 18 - 20, 2013, Croatia

(11) Quick Strain and orientation Mapping of heterostructured Semiconductor with nanofocused Xray Beam

G. Chahine, T. Schulli, M.I. Richard, T. Schroeder, T.N. Tran Thi 2013 MRS Fall Meeting, Boston, Massachusetts, Boston, December 01 - 06, 2013, USA

(12) Continuous wave phase-Sensitive Four-wave Mixing in Silicon waveguides with reverse-Biased p-i-n Junctions

F. Da Ros, D. Vukovic, A. Gajda, L. Zimmermann, K. Petermann, C. Peucheret The 39th European Conference and Exhibition on Optical Communication (ECOC 2013), London, September 22 - 26, 2013, UK

(13) deposition of Graphene on exfoliated Boron nitride by Molecular Beam epitaxy

J. Dabrowski, G. Lippert, Y. Yamamoto, W. Mehr, M.H. Oliveira, J.M.J. Lopes, H. Riechert, G. Lupina Graphene Week 2013, Chemnitz, June 02 - 07, 2013, Germany

(14) Integration of Ferromagnetic CoFe2o4 on Si(111) Buffered with y2o3: epitaxial Growth and Sharp Interfaces

P. de Coux, B. Warot-Fonrose, R. Bachelet, V. Slumryev, J. Fontcuberta, L. Tarnawska, P. Zaumseil, T. Schroeder, F. Sanchez E-MRS Spring Meeting, Strasbourg, May 27 - 31, 2013, France

(15) preparation of Micro-patterned tissue-Culture Substrates Based on Collagen type I

M. Diener, St. Berger, K. Salchert, St. Marschmeyer, M. Birkholz 7. Senftenberger Innovationsforum Multi-parameteranalytik Trends in Microanalytical Systems and Chip-Based Bioanalytics, Senftenberg, April 18 - 19, 2013, Germany

Page 118: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

117A n n u A l R e p o R t 2 0 1 3

V o r t r ä G e – p r e S e n t a t I o n S

(16) Integrator and digitizer for a non-Coherent Ir-uwB receiver

J. Digel, M. Masini, M. Grözing, M. Berroth, G. Fischer, S. Olonbayar, H. Gustat, J.C. Scheytt IEEE Radio and Wireless Week (RWW 2013), Austin, January 20 - 23, 2013, USA

(17) Building Blocks for an X-Band SiGe BiCMoS t / r Module

T. Dinc, I. Kalyoncu, M. Kaynak, Y. Gurbuz 13th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF 2013), Austin, January 20 - 22, 2013, USA

(18) Building Blocks for an X-Band SiGe BiCMoS t / r Module

T. Dinc, I. Kalyoncu, M. Kaynak, Y. Gurbuz 2013 IEEE Radio and Wireless Week (RWW 2013), Austin, January 20 - 23, 2013, USA

(19) a 220-245 Ghz Switched Beam Butler Matrix in 0.13 μm SiGe BiCMoS technology

M. Elkhouly, M. Yanfei, Ch. Meliani, F. Ellinger, J.C. Scheytt IEEE Bipolar / BiCMOS Circuits and Technology Meeting (BCTM 2013), Bordeaux, September 30 - October 03, 2013, France

(20) a 240 Ghz direct Conversion IQ receiver in 0.13 μm SiGe BiCMoS technology

M. Elkhouly, S. Glisic, Y. Mao, Ch. Meliani, F. Ellinger, J.C. Scheytt IEEE Radio Frequency Integrated Circuits Symposium (RFIC 2013), Seattle, June 02 - 04, 2013, USA

(21) a 245 Ghz aSK Modulator and demodulator with 40 GBit / sec data rate in 0.13 μm SiGe BiCMoS technology

M. Elkhouly, Y. Mao, Ch. Meliani, F. Ellinger, J.C. Scheytt International Microwave Symposium (IMS 2013), Seattle, June 02 - 07, 2013, USA

(22) 49 Ghz 6-bit programmable divider in SiGe BiCMoS

A. Ergintav, Y. Sun, J.C. Scheytt, Y. Gurbuz IEEE Radio and Wireless Week (RWW 2013), Austin, January 20 - 23, 2013, USA

(23) Spectral peak attenuation by GalS design on digital Switching Current

X. Fan, O. Schrape, M. Marinkovic, P. Dähnert, M. Krstic, E. Grass 19th IEEE International Symposium on Asynchronous Circuits and Systems, Santa Monica, May 19 - 23, 2013, USA

(24) Cost-efficient SoI hybrid Coherent receiver

S. Fedderwitz, T. Brast, K. Voigt, G.B. Preve, I. Lazarou, S. Dris, P. Bakopoulos, H. Avramopoulos, L. Zimmermann, A.G. Steffan Asia Communications and Photonics Conference (ACP-2013), Beijing, November 12 - 15, 2013, China

(25) electronic-photonic Integration in the helios project

J.M. Fedeli, F. Schrank, W. Bogaerts, A. Masood, L. Zimmermann, E. Augendre, S. Bernabe, J. Kraft, P. Grosse, T. Enot The 10th International Conference on Group IV Photonics (GFP 2013), Seoul, August 28 - 30, 2013, South Korea

(26) Sub-Micron photonics Switches: design, Fabrication and Characterization

H.N. Fernando, A. Stoll, R. Eisermann, S.H.N. Tharanga, R. Haynes, L. Zimmermann, M.M. Roth 2013 CLEO Pacific Rim Conference, Kyoto, July 01 - 04, 2013, Japan

Page 119: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

118 A n n u A l R e p o R t 2 0 1 3

V o r t r ä G e – p r e S e n t a t I o n S

(27) high-Speed SiGe BiCMoS technologies for applications beyond 100 Ghz

G.G. Fischer, B. Heinemann, M. Kaynak, H. Rücker European Microwave Integrated Circuits Conference (EuMIC 2013), Nuremberg, October 06 - 08, 2013, Germany

(28) Ir-uwB Single-Chip transceiver for high-Band operation Compliant to Ieee 802.15.4a

G. Fischer, D. Martynenko, O. Klymenko, S. Olonbayar, D. Kreiser, J. Digel, M. Masini, M. Grözing, R. Kraemer 2013 IEEE International Conference on Ultra-Wideband (ICUWB 2013), Sydney, September 15 - 18, 2013, Australia

(29) radar – Sicherheit für Fahrzeuge G.G. Fischer Summer School Microelectronics 2013, Frankfurt Oder, September 02 - 06, 2013, Germany

(30) Comparative Study of hBt ageing in a Complementary SiGe:C BiCMoS technology

G.G. Fischer, J. Molina 2013 Bipolar / BiCMOS Circuits and Technology Meeting (BCTM 2013), Bordeaux, September 30 - October 03, 2013, France

(31) atomic layer deposition of thin oxide Films for resistive Switching

K. Fröhlich, P. Janèoviè, B. Hudec, J. Dérer, A. Paskaleva, T. Bertaud, T. Schroeder 224th ECS Meeting, San Francisco, October 27 - November 01, 2013, USA

(32) In-line through Silicon Vias etching depths Inspection by Spectroscopic reflectometry

O. Fursenko, J. Bauer, St. Marschmeyer E-MRS 2013 Fall Meeting, Warsaw, September 16 - 20, 2013, Poland

(33) Moversight: an approach to Support Mobility in Collaborative applications

J. Gäbler, H. König The IEEE IFIP Annual Conference on Wireless on-Demand Network Systems and Services (WONS 2013), Banff, March 18 - 20, 2013, Canada

(34) high efficiency wavelength Conversion of 40 Gbps Signals at 1550 nm in SoI nano-rib waveguides using p-i-n diodes

A. Gajda, F. Da Ros, D. Vukovic, L. Zimmermann, C. Peucheret, B. Tillack, K. Petermann The 10th International Conference on Group IV Photonics (GFP 2013), Seoul, August 28 - 30, 2013, South Korea

(35) rad-hard 2.5 Gbps SpaceFibre Interface device

R. Ginosar, T. Liran, D. Alon, R. Dobkin, M. Gioldberg, G. Sokolov, G. Burdo, N. Blatt, St. Parkes, P. Rastetter, M. Krstic, A. Crescenzio The International Space System Engineering Conference (DASIA 2013), Porto, May 14 - 17, 2013, Portugal

(36) Miniaturized 122 Ghz System-in-package (Sip) Short range radar Sensor

M.G. Girma, S. Beer, J. Hasch, W. Debski, W. Winkler, Y. Sun, T. Zwick European Microwave Week (EuMW 2013), Nuremberg, October 06 - 11, 2013, Germany

(37) a high-efficiency pa with 12.7 dBm p1dB and 32% pae for 60 Ghz Beamforming applications in SiGe

S. Glisic, M. Elkhouly, Ch. Meliani European Microwave Week (EuMW 2013), Nuremberg, October 06 - 11, 2013, Germany

Page 120: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

119A n n u A l R e p o R t 2 0 1 3

V o r t r ä G e – p r e S e n t a t I o n S

(38) Mikrosystemtechnische Integration eines implantierbaren Biosensors

P. Glogener, M. Birkholz, T. Basmer, R. Ruff, C. Welsch, K.-P. Hoffmann Opening Symposium Joint Lab Bioelectronics, TU Berlin, September 20, 2013, Germany

(39) anwendung eines hF-Gasphasenätz- prozesses zum Freilegen von monolithisch integrierten rF-MeMS-Schaltern

A. Göritz, M. Fraschke, J. Drews, M. Wietstruck, S. Tolunay, M. Kaynak, B. Tillack Mikrosystemtechnik-Kongress 2013, Aachen, October 14 - 16, 2013, Germany

(40) Characterization of Cobalt Germanide nanostructures on Ge(001) Substrate: an StM Investigation on the Initial phase of Metal Contact Formation to Ge

T. Grzela, W. Koczorowski, G. Capellini, N. Curson, S.R. Schofield, R. Czajka, T. Schroeder 9th Interregional Workshop on Advanced Nanomaterials (IWAN 2013), Prague, November 07 - 08, 2013, Czech Republic

(41) Cobalt Germanide nanostructures on Ge(001): a Combined StM, leed, XpS and teM Study on the Initial phase of Metal Contact Formation to Ge

T. Grzela, W. Koczorowski, G. Capellini, R. Czajka, N. Curson, S.R. Schofield, T. Schroeder JSPS Core-to-Core Program Workshop „Atomically Controlled Processing for Ultralarge Scale Integration“, Frankfurt (Oder), October 24 - 25, 2013, Germany

(42) early Growth Studies of Cobalt Germanides on Ge(001) Surface by Means of SpM technique

T. Grzela, W. Koczorowski, R. Czajka, N. Curson, G. Capellini, T. Schroeder Materials for Advanced Metallization (MAM 2013), Leuven, March 10 - 13, 2013, Belgium

(43) Formation and Characterization of Cobalt Germanide Structures on Ge(001) Substrate – an StM Study

T. Grzela, W. Koczorowski, G. Capellini, R. Czajka, N. Curson, S.R. Schofield, T. Schroeder E-MRS 2013 Fall Meeting, Warsaw, September 16 - 20, 2013, Poland

(44) room-temperature StM Study of Cobalt Germanides Growth on Ge(001)

T. Grzela, W. Koczorowski, R. Czajka, N. Curson, G. Capellini, St. Schofield, T. Schroeder Doktorandenforum der Sektion D der Leibniz-Gemeinschaft, Berlin, June 06 - 07, 2013, Germany

(45) CMoS Based Sensor for dielectric Spectroscopy of Biological Cell Suspension

S. Guha, K. Schmalz, Ch. Meliani, Ch. Wenger XV. International Conference on Electrical Bio-Impedance (ICEBI 2013), Heilbad Heiligenstadt, April 22 - 25, 2013, Germany

(46) CMoS lab on a Chip device for dielectric Characterization of Cell Suspensions based on a 6 Ghz oscillator

S. Guha, F.I. Jamal, K. Schmalz, Ch. Wenger, Ch. Meliani European Microwave Conference, European Microwave Week 2013, (EuMW 2013), Nuremberg, October 06 - 10, 2013, Germany

(47) Spatial Manipulation of nanoparticles and Biomolecules by aC electric Fields

R. Hölzel, Ch. Wenger, X. Knigge, E. Laux, U.Ch. Kaletta, K. Nicklas, F.F. Bier Nanobio Europe (NBE 2013), Toulouse, June 10 - 12, 2013, France

Page 121: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

120 A n n u A l R e p o R t 2 0 1 3

V o r t r ä G e – p r e S e n t a t I o n S

(48) dielectrophoretic Immobilization of antibodies and enzymes on nanoelectrodes

R. Hölzel, Ch. Wenger, X. Knigge, E.-M. Laux, U.Ch. Kaletta, S. Otto, S. Stanke, F. Bier ITP 2013 - 20th International Symposium on Electro- and Liquid Phase-Separation Tech-niques, Teneriffa, October 06 - 09, 2013, Spain

(49) high-efficiency low-Voltage 24 Ghz VCo in 130nm CMoS for FMCw radar applications

M. Hossain, U. Pursche, Ch. Meliani, W. Heinrich European Microwave Week 2013 (EuMW 2013), Nuremberg, October 06 - 11, 2013, Germany

(50) radiation hard 0.13 Micron CMoS library at Ihp

U. Jagdhold Data Systems In Aerospace (DASIA 2013), Porto, May 14 - 16, 2013, Portugal

(51) timing Jitter of optical Clock distribution Induced by photodetection

K. Jamshidi, E. Krune, K. Voigt, K. Petermann, L. Zimmermann IEEE International Conference on Optical Interconnects 2013, Santa Fe, New Mexico, May 05 - 07, 2013, USA

(52) a 164 Ghz hetero-Integrated Source in Inp-on-BiCMoS technology

T. Jensen, T. Al-Sawaf, M. Lisker, S. Glisic, M. Elkhouly, T. Kraemer, I. Ostermay, Ch. Meliani, B. Tillack, V. Krozer, W. Heinrich European Microwave Week (EuMW 2013), Nuremberg, October 06 - 11, 2013, Germany

(53) Crosstalk Suppression of CMoS Compatible aln based Saw devices on low resistive Si(100)

U.Ch. Kaletta, D. Wolansky, M. Fraschke, Ch. Wipf, Ch. Wenger E-MRS Spring Meeting 2013, Strasbourg, May 26 - 31, 2013, France

(54) nanoelectrodes for Biomedical applications

U.Ch. Kaletta, Ch. Wenger, X. Knigge, R. Hölzel Deutsches Biosensor Symposium (DBS 2013), Wildau, March 10 - 13, 2013, Germany

(55) Investigation of oxide thin Films deposited by atomic layer deposition as dopant Source for ultra-Shallow doping of Silicon

B. Kalkofen, A.A. Amusan, M. Lisker, E.P. Burte 18th Conference of Insulating Films on Semiconductors (INFOS 2013), Krakow, June 25 - 28, 2013, Poland

(56) a 4-Bit SiGe passive phase Shifter for X-band phased arrays

I. Kalyoncu, E. Ozeren, M. Kaynak, Y. Gurbuz 2013 IEEE Radio and Wireless Week (RWW 2013), Austin, January 20 - 23, 2013, USA

(57) a 4-Bit SiGe passive phase Shifter for X-Band phased arrays

I. Kalyoncu, E. Ozeren, M. Kaynak, Y. Gurbuz 13th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF 2013), Austin, January 20 - 22, 2013, USA

(58) light from GeSn heterostructures on Si E. Kasper, M. Kittler, M. Oehme, T. Arguirov Photonics West 2013, San Francisco, February 02 - 07, 2013, USA

(59) a CMoS Based Fast high-Voltage Generation Circuit for BiCMoS embedded rF-MeMS applications

M. Kaynak, M. Purdy, M. Wietstruck, W. Zhang, B. Tillack 13th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF 2013), Austin, January 20 - 22, 2013, USA

Page 122: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

121A n n u A l R e p o R t 2 0 1 3

V o r t r ä G e – p r e S e n t a t I o n S

(60) a 94 Ghz Flip-Chip packaged SiGe BiCMoS lna on an lCp Substrate

W.T. Khan, C.A. Ulusoy, M. Kaynak, H. Schumacher, J. Papapolymerou International Microwave Symposium (IMS 2013), Seattle, June 02 - 07, 2013, USA

(61) Intrinsic point defect Generation, oxygen precipitation, and dislocation propagation during Flash lamp annealing

G. Kissinger, D. Kot, M. A. Schubert, A. Sattler Seminarvortrag Helmholtz Zentrum Dresden-Rossendorf, Inst. für Ionenstrahlphysik und Materialforschung, Dresden, September 26, 2013, Germany

(62) Intrinsic point defect Generation, oxygen precipitation, and dislocation propagation during Flash lamp annealing

G. Kissinger, D. Kot, M.A. Schubert, A. Sattler 34. Treffen der Nutzergruppe Heißprozesse und RTP, IHP GmbH, Frankfurt (Oder), November 06, 2013, Germany

(63) Intrinsic point defect Generation, oxygen precipitation, and dislocation propagation during Flash lamp annealing

G. Kissinger, D. Kot, M.A. Schubert, A. Sattler 27th International Conference on Defects in Semiconductors (ICDS 27), Bologna, July 21 - 26, 2013, Italy

(64) Simulation of Vacancy agglomeration Based on ab Initio Calculations and Comparison with experimental results

G. Kissinger, J. Dabrowski, D. Kot 2013 JSAP-MRS Joint Symposia, Symposium H Smart Materials Design for Ultimate Functional Materials: Functional Core Concept, Doshisha University Kyoto, September 16 - 20, 2013, Japan

(65) Charge Carrier transport along Grain Boundaries in Silicon

M. Kittler, M. Reiche, M. Krause Gettering and Defect Engineering in Semiconductor Technology (GADEST 2013), Oxford, September 22 - 27, 2013, UK

(66) 1.55 μm light emitter Based on dislocation d1-emission in Silicon

M. Kittler, M. Reiche, T. Arguirov 28th Symposium on Microelectronics Technology and Devices (SB Micro), Curitiba, September 02 - 06, 2013, Brazil

(67) enhanced dnS Message Compression – optimizing mdnS / dnS-Sd for the use in 6lowpans

R. Klauck, M. Kirsche 9th IEEE International Workshop on Sensor Networks and Systems for Pervasive Computing (PerCom2013), San Diego, March 18 - 22, 2013, USA

(68) Übersicht Joint lab Ihp / Btu Cottbus & Stand und Ziele pVcomB

A. Klossek, M. Kittler Projekttreffen PVcomB, TU Berlin, Berlin, March 07, 2013, Germany

(69) Übersicht Joint lab Ihp / Btu Cottbus & Stand und Ziele pVcomB

A. Klossek, M. Kittler Projekttreffen, Berlin, May 13, 2013, Germany

(70) dielektrophoretische Immobilisierung von nanopartikeln und proteinen an nanoelektroden

X. Knigge, E. Laux, K. Nicklas, U.Ch. Kaletta, F. Bier, Ch. Wenger, R. Hölzel Deutsches Biosensor Symposium (DBS 2013), Wildau, March 10 - 13, 2013, Germany

Page 123: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

122 A n n u A l R e p o R t 2 0 1 3

V o r t r ä G e – p r e S e n t a t I o n S

(71) Ba nanostructure Growth on Ge(100) as a Function of Coverage and temperature – an StM Study

W. Koczorowski, T. Grzela, G. Capellini, N.J. Curson, S.R. Schofield, R. Czajka, M.W. Radny, T. Schroeder 6th International Workshop on Surface Physics, Niemcza, September 01 - 06, 2013, Poland

(72) Formation and Characterization of 1d and 2d Ba Surface Structures on the Ge (100) Surface – an StM Study

W. Koczorowski, T. Grzela, G. Capellini, N.J. Curson, S.R. Schofield, M.W. Radny, R. Czajka, T. Schroeder 6th Polish Conference on Nanotechnology, Szczecin, July 09 - 12, 2013, Poland

(73) StM Characterization of Ba Induced passivation layer on Ge(100) Surface

W. Koczorowski, T. Grzela, G. Capellini, S.R. Schofield, T. Schroeder, M.W. Radny, N.J. Curson, R. Czajka 9th Interregional Workshop on Advanced Nanomaterials (IWAN 2013), Prague, November 07 - 08, 2013, Czech Republic

(74) on an Improved Boron Segregation Calibration from a particulary Sensitive power MoS process

S. Koffel, A. Burenkov, M. Sekowski, P. Pichler, D. Giubertoni, M. Bersani, M. Knaipp, E. Wachmann, M. Schrems, Y. Yamamoto, D. Bolze E-MRS Spring Meeting, Symposium K, Strasbourg, May 27 - 31, 2013, France

(75) First Monolithic Gaas IQ electro-optic Modulator, demonstrated at 150 Gbit / s with 64-QaM

D. Korn, P.C. Schindler, Ch. Stamatiadis, M.F. O‘Keefe, L. Stampoulidis, R. Schmogrow, P. Zakynthinos, N. Cameron, Y. Zhou, R.G. Walker, E. Kehayas, I. Tomkos, L. Zimmermann, R. Palmer, W. Freude, C. Koos, J. Leuthold Optical Fiber Communication Conference and Exposition / National Fiber Optic Engineers Conference (OFC / NFOEC 2013), Anaheim, March 17 - 21, 2013, USA

(76) alignment of MG-63 osteoblasts on Fibronectin-Coated phosphorous doping lattices in Silicon

A. Körtge, S. Stählke, R. Lange, M. Birkholz, M. Fraschke, K. Schulz, J.G.B. Nebe, P. Elter International Conference on Biomaterials, Colloids and Nanomedicine (ICBCN 2013), Dubai, January 30 - 31, 2013, United Arab Emirates

(77) Fibronectin-Induced aligment of MG-63 osteoblasts on electronically Structured Silicon wafers

A. Körtge, S. Stählke, M. Birkholz, M. Fraschke, K. Schulz, J.G.B. Nebe, P. Elter E-MRS Spring Meeting 2013, Symposium R: Nanoengineered Bioactive Interfaces, Strasbourg, May 27 - 31, 2013, France

(78) Steuerung der adhäsion humaner MG-63-osteoblasten auf Silizium mit hilfe einer elektronischen nanostruktur

A. Körtge, S. Stählke, M. Fraschke, K. Schulz, M. Birkholz, J.B. Nebe, P. Elter Opening Symposium Joint Lab Bioelectronics, TU Berlin, September 20, 2013, Germany

Page 124: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

123A n n u A l R e p o R t 2 0 1 3

V o r t r ä G e – p r e S e n t a t I o n S

(79) development of a Storage Getter test for Cu Contaminations in Silicon wafers Based on toF-SIMS Measurements

D. Kot, G. Kissinger, A. Sattler, T. Müller 15th International Conference on Defects Recognition, Imaging and Physics in Semiconductors (DRIP XV), Warsaw, September 15 - 19, 2013, Poland

(80) Influence of Cu Concentration on the Getter efficiency of dislocations and oxygen precipitates in Silicon wafers

D. Kot, G. Kissinger, M. A. Schubert, A. Sattler, T. Müller Gettering and Defect Engineering in Semiconductor Technology (GADEST 2013), Oxford, September 21 - 27, 2013, UK

(81) Car-router with Controlled plug-In Capabilities

R. Kraemer, R. Meyfarth, K. Walther Embedded Systems Symposium, Munich, July 09 - 10, 2013, Germany

(82) Ihp Schaltungsprojekte: Übersicht über die Schaltungsdesignaktivitäten mit Fokus auf raumfahrtanwendungen

R. Kraemer DLR Bauteilekonferenz, Frankfurt (Oder), February 05 - 06, 2013, Germany

(83) ultra high Speed wireless Communication in the 250 - 300 Ghz Band

R. Kraemer 23. Physikolympiade des Landes Brandenburg, Frankfurt (Oder), June 01, 2013, Germany

(84) wireless 100 Gb / s and Beyond: a Special Focus program of the German Scientific Foundation

R. Kraemer IEEE International Conference on Communications (ICC 2013), Budapest, June 09 - 13, 2013, Hungary

(85) properties of d3-like (0,93 eV) luminescence in Solar Grade Silicon

Ch. Krause, T. Arguirov, D. Mankovics, H.M. Krause, M. Kittler Gettering and Defect Engineering in Semiconductor Technology (GADEST 2013), Oxford, September 22 - 27, 2013, UK

(86) Investigation of d3-like luminescence in MC Solar Silicon

Ch. Krause, D. Mankovics, T. Arguirov, M. Krause, M. Kittler DPG Frühjahrstagung, Regensburg, March 11 - 15, 2013, Germany

(87) Improvements of Ieee 802.15.4a for usage in automation Systems

D. Kreiser, S. Olonbayar 4th International Conference on Energy Aware Computing Systems & Applications, Istanbul, December 16 - 18, 2013, Turkey

(88) Mikrostrukturierte elektroden für Brennstoffzellen

N. Kroh, P. Berthold, F. Berthold Science on Stage Festival, Frankfurt (Oder) / Slubice, April 27, 2013, Poland

(89) VlSI-design - trends & Methods M. Krstic Summer School Microelectronics 2013, Frankfurt (Oder), September 02 - 06, 2013, Germany

(90) photolithography P. Kulse Summer School Microelectronics 2013, Frankfurt (Oder), September 02 - 06, 2013, Germany

Page 125: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

124 A n n u A l R e p o R t 2 0 1 3

V o r t r ä G e – p r e S e n t a t I o n S

(91) a Fully Integrated 120 Ghz Six-port receiver Front-end in a 130 nm SiGe BiCMoS technology

B. Lämmle, K. Schmalz, J. Borngräber, J.C. Scheytt, R. Weigel, A. Koelpin, D. Kissinger 13th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF 2013), Austin, January 20 - 22, 2013, USA

(92) projekt SolarFlex – drahtlose Vernetzung von photovoltaik-anlagen

St. Lange WTT-Forum Wireless, Berlin, June 13, 2013, Germany

(93) Selbstorganisierende drahtlose Vernetzung in photovoltaik-Kraftwerken

St. Lange 12. GI / ITG KuVS Fachgespräch Sensornetze, Cottbus, September 12 - 13, 2013, Germany

(94) Maximum Spectral efficiency through parallelized Multiple-Input-Multiple- output transmission using high- resolution 3d antenna topologies (maximumMIMo)

B. Lankl, G. Fettweis, E. Grass, S. Krone European Microwave Week 2013, Workshop W19, Nuremberg, October 06 - 11, 2013, Germany

(95) dielectrophoretic Immobilization of nanoparticles and proteins on nanoelectrodes

E.-M. Laux, X. Knigge, U.Ch. Kaletta, F.F. Bier, Ch. Wenger, R. Hölzel Nanobio Europe (NBE 2013), Toulouse, June 10 - 12, 2013, France

(96) positioning nanoparticles and proteins on nanoelectrode arrays by dielectrophoresis

E.-M. Laux, X. Knigge, U.Ch. Kaletta, F. Bier, Ch. Wenger, R. Hölzel ITP 2013 - 20th International Symposium on Electro- and Liquid Phase- Separation Techniques, Teneriffa, October 06 - 09, 2013, Spain

(97) affinitätsviskosimetrischer Glucose- nachweis für das on line Monitoring von Bioprozessen

M. Lehmann, A. Niedzwiecka, M. Birkholz, S. Junne, P. Neubauer Opening Symposium Joint Lab Bioelectronics, TU Berlin, September 20, 2013, Germany

(98) Integration eines affinitäts- viskosimetrischen Glukosesensors in einen Gewebereaktor

T. Liebscher, M. Birkholz, G. Gatomski, A. Böhme, M. Frohme, A. Foitzik Opening Symposium Joint Lab Bioelectronics, TU Berlin, September 20, 2013, Germany

(99) high-efficiency Grating Couplers for Integration into a high-performance photonic BiCMoS process

St. Lischke, B. Wohlfeil, D. Knoll, L. Zimmermann, C. Mai, Y. Yamamoto, St. Marschmeyer, K. Voigt, B. Tillack Asia Communications and Photonics Conference (ACP-2013), Beijing, November 12 - 15, 2013, China

(100) Inp-Si BiCMoS hetero Integration for Broadband radio links

M. Lisker, A. Trusch, M. Fraschke, P. Kulse, Y. Borokhovych, B. Tillack, I. Ostermay, T. Krämer, F.-J. Schmückle, O. Krüger, V. Krozer, W. Heinrich Smart System Integration International Conference and Exhibition (SSI 2013), Amsterdam, March 13 - 14, 2013, The Netherlands

Page 126: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

125A n n u A l R e p o R t 2 0 1 3

V o r t r ä G e – p r e S e n t a t I o n S

(101) Inp-Si BiCMoS heterointegration using a Substrate transfer process

M. Lisker, A. Trusch, M. Fraschke, P. Kulse, Y. Borokhovych, B. Tillack, I. Ostermay, T. Krämer, F.-J. Schmückle, O. Krüger, V. Krozer, W. Heinrich 223th ECS Conference, Toronto, May 12 - 17, 2013, Canada

(102) 0.13 μm BiCMoS Ild deposition using a Silane hdp process

M. Lisker, A. Krüger GMM – Fachgruppe 1.2.3 Abscheide- und Ätzverfahren, 16. Workshop und Nutzergrup-pentreffen 2013, Erlangen, December 10 - 11, 2013, Germany

(103) Growth of nanocrystalline Graphene layers on Various dielectric Surfaces by CVd

M. Lukosius, M.H. Zoellner, G. Lippert, J. Dabrowski, W. Mehr, X. Wang, M. Arens, G. Lupina Graphene 2013, Bilbao, April 23 - 26, 2013, Spain

(104) M-S-M uV photodetectors on Gan / oxide / Si(111) Virtual Substrates

L. Lupina, A. Szyszka, T. Niermann, J. Dabrowski, P. Storck, T. Schroeder E-MRS Fall Meeting 2013, Warsaw, September 16 - 20, 2013, Poland

(105) novel Buffer approach for Gan Integration on Si(111) platform through Sc2o3 / y2o3 bi-layer

L. Lupina Leibniz Doktorandenforum 2013, Berlin, June 06 - 07, 2013, Germany

(106) CMoS basiert Mikrosystemplatformen – Integrierte hochfrequenz-, MeMS- und photonic-Module

A. Mai, B. Tillack, L. Zimmermann, B. Heinemann, R. Sorge, M. Kaynak, St. Lischke, W. Mehr MikroSystemTechnik Kongress 2013, Aachen, October 14 - 16, 2013, Germany

(107) 245 Ghz Subharmonic receiver in SiGe Y. Mao, K. Schmalz, J. Borngräber, J.C. Scheytt, Ch. Meliani International Microwave Symposium (IMS 2013), Seattle, June 02 - 07, 2013, USA

(108) 245 Ghz Subharmonic receiver in SiGe Y. Mao, K. Schmalz, J. Borngräber, J.C. Scheytt, Ch. Meliani IEEE Radio Frequency Integrated Circuits Symposium (RFIC 2013), Seattle, June 02 - 04, 2013, USA

(109) an early termination Strategy for Irregular ldpC Codes with layered decoding – performance evaluation and Implementation

M. Marinkovic, E. Grass, M. Krstic The Tenth International Symposium on Wire-less Communication Systems (ISWCS 2013), Ilmenau, August 27 - 30, 2013, Germany

(110) an Impulse radio uwB transmitter for Communication and precise localization

D. Martynenko, G. Fischer, O. Klymenko 13th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF 2013), Austin, January 20 - 22, 2013, USA

(111) high-Band ultra-wideband transmitter for Ieee 802.15.4a Standard

D. Martynenko, G. Fischer, O. Klymenko, D. Kreiser, S. Olonbayar 2013 IEEE International Conference on Ultra-Wideband (ICUWB 2013), Sydney, September 15 - 18, 2013, Australia

Page 127: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

126 A n n u A l R e p o R t 2 0 1 3

V o r t r ä G e – p r e S e n t a t I o n S

(112) Comparing Java Virtual Machines for Sensor nodes – First Glance: takatuka and darjeeling

O. Maye, M. Maaser The 8th International Conference on Grid and Pervasive Computing (GPC 2013), Daegu, May 09 - 11, 2013, Korea

(113) Java for Smart Systems – a Field report O. Maye, M. Maaser The 12th International Conference on Electronics, Information and Communication (ICEIC 2013), Bali, January 30 - February 02, 2013, Indonesia

(114) residual Stress and Switching transient Studies for BiCMoS embedded rF MeMS Switch using advanced electro-Mechanical Models

A. Mehdaoui, S. Rouvillois, G. Schröpfer, G. Lorenz, M. Kaynak, M. Wietstruck MEMSWAVE 2013, Potsdam, July 02 - 03, 2013, Germany

(115) Innovations for high performance Microelectronics leibniz-Institut für innovative Mikroelektronik

W. Mehr DLR Bauteilekonferenz, Frankfurt (Oder), February 05 - 06, 2013, Germany

(116) demo abstract: Self-organized Bluetooth Scatternets for wireless Sensor networks

M. Methfessel, St. Lange, R. Kraemer, M. Zessack, St. Peter 11th ACM Conference on Embedded Networked Sensor Systems (SenSys 2013), Rom, November 11 - 15, 2013, Italy

(117) real-life deployment of Bluetooth Scatternets for wireless Sensor networks

M. Methfessel, St. Lange, R. Kraemer, M. Zessack, P. Kollermann, St. Peter REALWSN 2013 - Fifth Workshop on Real-World Wireless Sensor Networks, Como Lake, September 19 - 20, 2013, Italy

(118) an approach to MeMS Smart Sensor design using CMoS Beol

P. Michalik, D. Fernández, J. Madrenas, M. Kaynak, M. Wietstruck MEMSWAVE 2013, Potsdam, July 02 - 03, 2013, Germany

(119) 80 GB / s decision Feedback equalizer for Intersymbol Interference limited Channels

L. Moeller, A. Awny, J. Junio, C. Bolle, J.C. Scheytt, A. Thiede The Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC / NFOEC 2013), Anaheim, March 17 - 21, 2013, USA

(120) atomically Controlled CVd processing of Group IV Semiconductors for Strain engineering and doping in ultralarge Scale Integration

J. Murota, M. Sakuraba, B. Tillack 4th International Conference on Semiconduc-tor Technology for Ultra Large Scale Integra-ted Circuits and Thin Film Transistors 2013, Grenoble, July 08 - 11, 2013, France

(121) evidence for the origin of 110 Growth orientation of Cubic rare earth oxide Films on Si (001): epitaxial lattice-Matched 110-oriented pr0.9y1.1o3

G. Niu, P. Zaumseil, M.A. Schubert, M.H. Zoellner, J. Dabrowski, T. Schroeder 18th Conference Insulating Films on Semiconductors (INFOS 2013), Cracow, June 25 - 28, 2013, Poland

Page 128: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

127A n n u A l R e p o R t 2 0 1 3

(122) Integration of Ferromagnetic pr3+ doped Cerium oxide epitaxial Films Ce1-xprxo2-δ

(x=0-1) on Si (111): Film properties Control by oxygen Vacancy engineering

G. Niu, M.H. Zoellner, P. Zaumseil, T. Schroeder 18th Conference Insulating Films on Semiconductors (INFOS 2013), Cracow, June 25 - 28, 2013, Poland

(123) oxygen Vacancy engineering by pr3+ doping of Single Crystalline Ceo2 Films on Silicon for the Integration of Ferromagnetic Films on Si

G. Niu, M.H. Zoellner, P. Zaumseil, M.A. Schubert, T. Schroeder Workshop of Functional Oxides for Integrati-on in Micro- and Nano-Electronics, Autrans, April 07 - 10, 2013, France

(124) Surface Investigation of Ceria Films on Si(111) after post deposition annealing

R. Oelke, H. Wilkens, O. Schuckmann, R. Olbrich, M. Reichling, M.H. Zoellner, T. Schroeder, J. Wollschläger DPG Conference 2013, Regensburg, March 10 - 15, 2013, Germany

(125) Surface properties of thick Ceria Films Grown on Si(111)

R. Olbrich, H.H. Pieper, R. Oelke, J. Wollschläger, M.H. Zoellner, T. Schroeder, M. Reichling COST Meeting, Vienna, March 14 - 15, 2013, Austria

(126) performance and Implementation of a Multi-rate Ir-uwB Baseband transceiver for Ieee802.15.4a

S. Olonbayar, D. Kreiser, R. Kraemer IEEE International Conference on Ultra-Wide-band (ICUWB 2013), Sydney, September 15 - 18, 2013, Australia

(127) Fp7-project StrokeBack – a telemedicine System enabling autonomous rehabilitation training at home

St. Ortmann Symposium Rehabilitation Robotics – Clinical Evidence and Technical Developments, Enschede, April 08 - 09, 2013, The Netherlands

(128) telemedizin und ihre anwendungen St. Ortmann Summer School Microelectronics 2013, Frankfurt (Oder), September 02 - 06, 2013, Germany

(129) telemedizinische Schlaganfallrehabilita- tion in den eigenen 4 wänden

St. Ortmann, J. Schäffner 6. Deuscher AAL-Kongress, Berlin, January 22 - 23, 2013, Germany

(130) 200 Ghz Interconnects for Inp-on-BiCMoS Integration

I. Ostermay, T. Krämer, F.-J. Schmückle, O. Krüger, V. Krozer, W. Heinrich, M. Lisker, A. Trusch, E. Matthus, Y. Borokhovych, B. Tillack International Microwave Symposium (IMS 2013), Seattle, June 02 - 07, 2013, USA

(131) w Band 2 Bit MeMS Based digital phase Shifter

E. Öztürk, M. Kaynak, I. Tekin MEMSWAVE 2013, Potsdam, July 02 - 03, 2013, Germany

(132) tnode: a low power Sensor node processor for Secure wireless networks

G. Panic, O. Schrape, T. Basmer, F. Vater, K. Tittelbach-Helmrich The International Symposium on System-on-Chip 2013 (SoC 2013), Tampere, October 23 - 24, 2013, Finland

V o r t r ä G e – p r e S e n t a t I o n S

Page 129: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

128 A n n u A l R e p o R t 2 0 1 3

(133) a radiation tolerant SpaceFibre Interface device

St. Parkes, A. Ferrer, A. Gonzalez, C. McClements, R. Ginosar, T. Liran, G. Sokolov, N. Blatt, P. Rastetter, M. Krstic, A. Crescenzio International Spacewire Conference 2013, Gothenburg, June 10 - 14, 2013, Sweden

(134) Geometry Scalable Model parameter extraction for mm-wave SiGe-hetero- junction transistors

A. Pawlak, M. Schroter, A. Fox IEEE Bipolar / BiCMOS Circuits and Technology Meeting (BCTM 2013), Bordeaux, September 30 - October 02, 2013, France

(135) Comparison of Inp and Silicon Mach- Zehnder Modulators in terms of Chirp

D. Petousi, L. Zimmermann, K. Voigt, J. Kreissl, K. Petermann 39th European Conference & Exhibition on Optical Communication (ECOC 2013), London, September 22 - 26, 2013, UK

(136) Fault-tolerant reconfigurable low-power pseudorandom number Generator

V. Petrovic, Z. Stamenkovic, M. Stojcev, T. Nikolic, G. Jovanovic 16th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Carlsbad, April 08 - 10, 2013, Czech Republic

(137) Integrated Single event latchup protection for aSICs used in Space applications

V. Petrovic, M. Ilic, G. Schoof, Z. Stamenkovic 21st Telecommunications Forum (TELFOR 2013), Belgrade, November 26 - 28, 2013, Serbia

(138) redundant Circuits with latchup protection V. Petrovic, G. Schoof, Z. Stamenkovic 20th IEEE International Conference on Elec-tronics, Circuits, and Systems (ICECS 2013), Abu Dhabi, December 08 - 11, 2013, United Arab Emirates

(139) Sens4u: a Modular approach towards the Ideal Sensor node Software and hardware

K. Piotrowski, J. Lösche 12. GI / ITG KuVS Fachgespräch „Drahtlose Sensornetze“, Cottbus, September 12 - 13, 2013, Germany

(140) Sens4u: wireless Sensor network applications for environment Monitoring Made easy

K. Piotrowski, St. Peter 4th International Workshop on Software Engineering for Sensor Network Applications (SESENA 2013), San Francisco, May 18 - 26, 2013, USA

(141) Characterization and Modeling of the degradation of SiGe heterojunction Bipolar transistors (hBt)

A. Pocej, G.G. Fischer, B. Tillack, 13th Dresden Microelectronics Academy, Dresden, September 02 - 06, 2013, Germany

(142) Compliant Ge / SiGe / Si nanostructures free of Misfit dislocations by patterned SoI

Ch. Reich, P. Zaumseil, M.A. Schubert, Y. Yamamoto, G. Capellini, M. Salvalaglio, A. Marzegalli, F. Montalenti, T. U. Schülli, T. Schroeder E-MRS 2013 Fall Meeting, Warsaw University of Technology, September 16 - 20, 2013, Poland

V o r t r ä G e – p r e S e n t a t I o n S

Page 130: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

129A n n u A l R e p o R t 2 0 1 3

(143) trap-assisted tunneling on extended defects in tunnel Field-effect transistors

M. Reiche, M. Kittler, H. Uebensee 2013 Solid State Devices and Materials (SSDM), Fukuoka, September 24 - 27, 2013, Japan

(144) a novel SoI-Based MoSFet with ultra-low Subthreshold Swing for Cryogenic applications

M. Reiche, M. Kittler, H. Uebensee, E. Pippel 28th Symposium on Microelectronics Techno-logy and Devices, Curitiba, September 02 - 06, 2013, Brazil

(145) Carrier transport on dislocations M. Reiche, M. Kittler, M. Krause, H. Uebensee 27th International Conference on Defects in Semiconductors 2013 (ICDS 27), Bologna, July 21 - 26, 2013, Italy

(146) dislocations as native nanostructures – electronic properties

M. Reiche, M. Kittler ANBRE 2013, Seoul, August 25 - 28, 2013, Korea

(147) trap-assisted Carrier transport in nanostructures

M. Reiche, M. Kittler, H. Uebensee The 13th IEEE International Conference on Nanotechnology (IEEE Nano 2013), Beijing, August 05 - 08, 2013, China

(148) plasma-etched Silicon-on-Insulator Structures for Integration of photonic Components in a high-performance BiCMoS process

H.H. Richter, D. Stolarek, M. Fraschke, R. Eisermann, St. Marschmeyer, D. Knoll, K. Schulz, L. Zimmermann, B. Tillack DPG Frühjahrstagung 2013, Jena, February 25 - March 01, 2013, Germany

(149) Gaas nanoheteroepitaxy: Compliant Substrate effects and defect Formation

M. Richter, E. Ucelli, M.A. Schubert, H. Siegwart, J. Fompeyrine, T. Schroeder, P. Zaumseil The 40th International Symposium on Compound Semiconductors (ISCS 2013), Kobe, May 19 - 23, 2013, Japan

(150) Investigation of the Surface Morphology of ultrathin hex-pr2o3 on Si(111)

J. Rodewald, H. Wilkens, S. Gevers, M.H. Zoellner, T. Schroeder, J. Wollschläger DPG Conference 2013, Regensburg, March 10 - 15, 2013, Germany

(151) Complex product development: using a Combined VoC lead user approach

A. Sänn, M. Ni General Online Research Conference, Mannheim, March 04 - 06, 2013, Germany

(152) lead user in Business-to-Business applications: Implementing the Voice of the Customer

A. Sänn 11th International Open and User Innovation Workshop, Brighton, July 15 - 17, 2013, UK

(153) the presumer effect: Implementing the Customer’s Voice to radical new product developement for high-tech SMe

A. Sänn, D. Baier, A. Rese 20th International Journal of Product Innovation Conference, Paris, June 23 - 25, 2013, France

(154) Modified equivalent time Sampling for Improving precision of time-of-Flight Based localization

V. Sark, E. Grass IEEE International Symposium on Personal, Indoor and Mobile Radio Communications (PIMRC 2013), London, September 08 - 11, 2013, UK

V o r t r ä G e – p r e S e n t a t I o n S

Page 131: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

130 A n n u A l R e p o R t 2 0 1 3

(155) a 135-170 Ghz power amplifier in an advanced SiGe hBt technology

N. Sarmah, B. Heinemann, U. Pfeiffer IEEE Symposium on Radio Frequency Integrated Circuits (RFIC), Seattle, June 02 - 04, 2013, USA

(156) Structural and electrical Characterization of hexagonal GdSco3

A. Schaefer, J. Schubert, A. Winden, H. Hardtdegen, M. Luysberg, T. Schroeder 44th IEEE Semiconductor Interface Specialists Conference (SISC), Washington, Arlington, December 05 - 07, 2013, USA

(157) towards mm-wave System-on-Chip with Integrated antennas for low-Cost 122 and 245 Ghz radar Sensors

J.C. Scheytt, Y. Sun, K. Schmalz, Y. Mao, R. Wang, W. Debski, W. Winkler 13th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF 2013), Austin, January 20 - 22, 2013, USA

(158) Subharmonic 245 Ghz SiGe receiver with antenna

K. Schmalz, J. Borngräber, R. Wang, Ch. Meliani, W. Debski, W. Winkler European Microwave Week (EuMW 2013), Nuremberg, October 06 - 11, 2013, Germany

(159) 245 Ghz SiGe transmitter with Integrated antenna and external pll

K. Schmalz, R. Wang, J. Borngräber, W. Debski, W. Winkler, Ch. Meliani International Microwave Symposium (IMS 2013), Seattle, June 02 - 07, 2013, USA

(160) a 12Gb / s Standard Cell Based eCl 4:1 Serializer with asynchronous parallel Interface

O. Schrape, M. Appel, F. Winkler, M. Krstic 2013 IEEE International Conference on Elec-tronics, Circuits, and Systems (ICECS 2013), Abu Dhabi, December 08 - 11, 2013, United Arab Emirates

(161) Surface Structure of Crystalline Ce7o12 Films on Si(111) Studied by leed and XpS

O. Schuckmann, H. Wilkens, R. Oelke, M.H. Zoellner, A. Schaefer, T. Schroeder, M. Bäumer, J. Wollschläger DPG Conference 2013, Regensburg, March 10 - 15, 2013, Germany

(162) a Simple and Flexible 64 / 32-bit rISC Core for embedded Multiprocessors

A. Simevski 11th International Conference on Electronics, Telecommunications, Automation and Infor-matics (ETAI 2013), Ohrid, September 26 - 28, 2013, Republic of Macedonia

(163) dependability Improvement in Multiprocessor Systems by dynamic adaptation to application requirements

A. Simevski Biannual European - Latin American Summer School on Design, Test and Reliability (BELAS 2013), Tallinn, June 19 - 21, 2013, Estonia

(164) register-transfer level nMr System Generator

A. Simevski, R. Kraemer, M. Krstic Zuverlässigkeit und Entwurf (ZuE 2013), Dresden, September 24 - 26, 2013, Germany

V o r t r ä G e – p r e S e n t a t I o n S

Page 132: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

131A n n u A l R e p o R t 2 0 1 3

(165) automated Integration of Fault Injection into the aSIC design Flow

A. Simevski, R. Kraemer, M. Krstic 16th IEEE Symp. Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2013), New York, October 02 - 04, 2013, USA

(166) Software Implementation of programmable nMr Voters

A. Simevski, E. Hadzieva 11th International Conference on Electronics, Telecommunications, Automation and Infor-matics (ETAI 2013), Ohrid, September 26 - 28, 2013, Republic of Macedonia

(167) Solid-phase epitaxy and III-V / SiGe hybrid approaches for high performance SiGe hBts: a Material Science Study

O. Skibitzki, F. Hatami, Y. Yamamoto, P. Zaumseil, A. Trampert, M.A. Schubert, T. Schroeder, W.T. Masselink, B. Tillack JSPS Core-to-Core Program Workshop „Atomically Controlled Processing for Ultra-large Scale Integration“, Frankfurt (Oder), October 24 - 25, 2013, Germany

(168) Gap Virtual Substrates by lattice- engineered SiGe-Buffer on Si(001)

O. Skibitzki, A. Paszuk, F. Hatami, P. Zaumseil, Y. Yamamoto, M.A. Schubert, B. Tillack, W.T. Masselink, T. Hannappel, T. Schroeder E-MRS 2013 Fall Meeting, Warsaw, September 16 - 20, 2013, Poland

(169) Fault Injection Framework for embedded Memories

P. Skoncej 16th IEEE Symp. Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2013), New York, October 02 - 04, 2013, USA

(170) utilizing wake-up receiver in Bird telemetry – Viability Study

N. Sobajic, J. Teran, R. Kraemer, M. Krstic International Conference on Telecommuni-cations in Modern Satellite, Cable and Broadcasting Services (TELSIKS 2013), Nis, October 16 - 19, 2013, Serbia

(171) Simultane hF / nF MoS-CV-Messungen im nichtstationären nichtgleichgewicht für prozesskontrolle und -entwicklung

R. Sorge 12. Treffen der GMM-Fachgruppe Prozess-kontrolle Inspektion & Analytik, Dresden, February 28, 2013, Germany

(172) Impact of the Current Compliance and Voltage range on resistive Switching of ti / hfo2 / tin Structures by haXpeS

M. Sowinska, T. Bertaud, D. Walczyk, S. Thiess, E. Hildebrandt, L. Alff, Ch. Walczyk, T. Schroeder Materials for Advanced Metallization (MAM 2013), Leuven, March 10 - 13, 2013, Belgium

(173) Impact of used power during resistive Switching on ti / hfo2 / tin Cells Studied by in-operando haXpeS

M. Sowinska, T. Bertaud, D. Walczyk, S. Thiess, A. Gloskovskii, Ch. Walczyk, T. Schroeder 5th International Conference on Hard X-Ray Photoelectron Spectroscopy (HAXPES 2013), Uppsala, June 17 - 20, 2013, Sweden

(174) Investigation of the ti / hfo2 Interface by in-situ XpS for rraM applications

M. Sowinska, T. Bertaud, D. Walczyk, P. Calka, Ch. Walczyk, T. Schroeder E-MRS Meeting, Strasbourg, May 27 - 31, 2013, France

V o r t r ä G e – p r e S e n t a t I o n S

Page 133: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

132 A n n u A l R e p o R t 2 0 1 3

(175) Cost-effective Broadband Gaas IQ Modulator array for long-reach oFdM-pons

L. Stampoulidis, E. Giacoumidis, M.F. O’Keefe, I. Aldaya, R.G. Walker, Y. Zhou, N. Cameron, E. Kehayas, A. Tsokanos, I. Tomkos, N.J. Doran, L. Zimmermann 39th European Conference and Exhibition on Optical Communication (ECOC 2013), London, September 23 - 26, 2013, UK

(176) Fabrication of the First high-speed Gaas IQ electro-optic Modulators arrays and applicability Study for low-Cost tb / s direct-detection optical oFdM networks

L. Stampoulidis, M.F. O‘Keefe, E. Giacoumidis, R.G. Walker, Y. Zhou, N. Camerin, E. Kehayas, I. Tomkos, L. Zimmermann Optical Fiber Communication Conference and Exposition / National Fiber Optic Engineers Conference (OFC / NFOEC 2013), Anaheim, March 17 - 21, 2013, USA

(177) a Fair trade Multi-hop routing in wireless Sensor networks

O. Stecklina, P. Langendörfer, Ch. Goltz 6th Joint IFIP Wireless & Mobile Networking Conference (WMNC 2013), Dubai, April 23 - 25, 2013, United Arab Emirates

(178) design of a tailor-Made Memory protection unit for low power Microcontrollers

O. Stecklina, P. Langendörfer, H. Menzel 8th IEEE International Symposium on Industrial Embedded Systems (SIES 2013), Porto, June 19 - 21, 2013, Portugal

(179) the Crux of oMnet++ on development for a Specific wireless Sensor node platform, a progress report

O. Stecklina, A. Krumholz 12. GI / ITG KuVS Fachgespräche „Sensornetze“ (FGSN), Cottbus, September 12 - 13, 2013, Germany

(180) defect analysis of polycrystalline Silicon thin Film Solar Cells

S. Steffens, D. Amkreutz, A. Klossek, M. Kittler, C. Becker, B. Rech IEEE 39th Photovoltaic Specialists Conference (PVSC), Tampa, June 16 - 21, 2013, USA

(181) recombination activity at the atomic Scale: Correlative analysis of Grain Boundaries in Multicrystalline Silicon Solar Cells

A. Stoffers, O. Cojocaru-Mirédin, O. Breitenstein, W. Seifert, D. Raabe EUROMAT 2013, Sevilla, September 08 - 13, 2013, Spain

(182) a low-Cost Miniature 120 Ghz Sip FMCw / Cw radar Sensor with Software linearization

Y. Sun, M. Marinkovic, G. Fischer, W. Winkler, W. Debski, S. Beer, T. Zwick, J.C. Scheytt International Solid-State Circuits Conference (ISSCC 2013), San Francisco, February 17 - 21, 2013, USA

(183) Gallium nitride MSM uV detector Structures on Silicon Substrates Integrated via oxides Buffer layers

A. Szyszka, L. Tarnawska, M.A. Schubert, G. Lupina, M. Mazur, T. Schroeder 37th Workshop on Compound Semiconductor Devices and Integrated Circuits (WOCSDI-CE 2013), Warnemünde, May 26 - 29, 2013, Germany

(184) M-S and M-o-S Contacts to n-polar Gan on Silicon (111) for uV photodetector application

A. Szyszka, L. Lupina, G. Lupina, K. Malecha, T. Schroeder 2013 International Semiconductor Confe-rence Dresden - Grenoble (ISCDG), Dresden, September 26 - 27, 2013, Germany

V o r t r ä G e – p r e S e n t a t I o n S

Page 134: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

133A n n u A l R e p o R t 2 0 1 3

(185) Interface Science on the MBe Grown Gan on Sc2o3 / y2o3 / Si(111) templates

L. Tarnawska, J. Dabrowski, P. Storck, T. Schroeder 17th European Molecular Beam Epitaxy Workshop, Levi, March 10 - 13, 2013, Finland

(186) 2d Simulations of the Grain Boundary light Beam Induced (GB-lBIC) technique on polycrystalline Silicon thin Films

A.-M. Teodoreanu, F. Friedrich, L. Korte, R. Leihkauf, M. Kittler, B. Rech, Ch. Boit 28th European Photovoltaic Solar Energy Con-ference (28th EU PVSEC), Paris, September 30 - October 04, 2013, France

(187) Status evaluierung von Ihp SiGe-BiCMoS technologien für raumfahrtanwendungen

F. Teply DLR Bauteilekonferenz, Frankfurt (Oder), February 05 - 06, 2013, Germany

(188) Integration of high performance Silicon optical Modulators

D.J. Thomson, F.Y. Gardes, Y. Hu, G. Mashanovich, G.T. Reed, L. Zimmermann, D. Knoll, St. Lischke, H. Porte, B. Goll, H. Zimmermann, L. Ken, P. Wilson, S-W. Chen, S.H. Hsu, G.-H. Duan, A. Le Liepvre, C. Jany, A. Accard, M. Lamponi, D. Make, F. Lelarge, S. Messaoudene, D. Bordel, J.-M. Fedeli, S. Keyvaninia, G. Roelkens, D. Van Thourhout The 10th International Conference on Group IV Photonics (GFP 2013), Seoul, August 28 - 30, 2013, South Korea

(189) estimation of 433 Mhz path loss in algae Culture for Biosensor Capsule application

N. Todtenberg, T. Basmer, J. Klatt, K. Schmalz European Microwave Conference (EuMC 2013), Nuremberg, October 06 - 11, 2013, Germany

(190) real-world Bluetooth Master-Slave Bridge deployment

N. Todtenberg, P. Kornecki, M. Mahlig 12. GI / ITG KuVS Fachgespräch „Drahtlose Sensornetze“, Cottbus, September 12 - 13, 2013, Germany

(191) wireless Sensor Capsule for Bioreactor N. Todtenberg, J. Klatt, S.-T. Schmitz-Hertzberg, F. Jorde, K. Schmalz 2013 IEEE MTT-S International Microwave Workshop Series on RF and Wireless Technolo-gies for Biomedical and Healthcare Applications (IMWS-Bio 2013), Singapore, December 09 - 12, 2013, Singapore

(192) an accurate eM Modeling of 140 Ghz BiCMoS embedded rF-MeMS Switch

S. Tolunay, M. Wietstruck, A. Göritz, M. Kaynak, B. Tillack, MEMSWAVE 2013, Potsdam, July 01 - 03, 2013, Germany

(193) Fast and non Intrusive Failure analysis of BiCMoS rF-MeMS

N. Torres Matabosch, F. Coccetti, M. Kaynak, B. Espana, B. Tillack, J.L. Cazaux MEMSWAVE 2013, Potsdam, July 02 - 03, 2013, Germany

(194) Failure Cause detection Methodology for rF-MeMS on a Beol BiCMoS process

N. Torres Matabosch, F. Coccetti, M. Kaynak, B. Espana, B. Tillack, J.L. Cazaux 24th European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF 2013), Arachon, September 30 - October 04, 2013, France

V o r t r ä G e – p r e S e n t a t I o n S

Page 135: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

134 A n n u A l R e p o R t 2 0 1 3

(195) a 110 Ghz lna with 20 dB Gain and 4 dB noise Figure in an 0,13 μm SiGe BiCMoS technology

C.A. Ulusoy, M. Kaynak, V. Valent, B. Tillack, H. Schumacher International Microwave Symposium (IMS 2013), Seattle, June 02 - 07, 2013, USA

(196) Graphene hot electron transistors S. Vaziri, G. Lupina, A.D. Smith, Ch. Henkel, G. Lippert, J. Dabrowski, W. Mehr, M. Östling, M.C. Lemme Graphene 2013, Bilbao, April 23 - 26, 2013, Spain

(197) resistive Switching Characteristics in hfo2-based Structures

Ch. Walczyk, M. Sowinska, D. Walczyk, P. Calka, St. Kubotsch, T. Schroeder, T. Bertaud Arbeitskreis „Materialien für Nichtflüchtige Speicher“, Kiel, April 24, 2013, Germany

(198) 122 Ghz patch antenna designs by using BCB above SiGe BiCMoS wafer process for System-on-Chip applications

R. Wang, Y. Sun, J. Borngräber, M. Kaynak, B. Goettel, S. Beer, J.C. Scheytt 24th IEEE International Symposium on Personal, Indoor and Mobile Radio Communications (PIMRC 2013), London, September 08 - 11, 2013, UK

(199) Contactless Characterization of yeast Cell Cultivation at 7 Ghz and 240 Ghz

J. Wessel, K. Schmalz, B. Cahill, G. Gastrock, Ch. Meliani IEEE Radio and Wireless Week (RWW 2013), Austin, January 20 - 23, 2013, USA

(200) Contactless Investigation of yeast Cell Cultivation at 7 Ghz and 240 Ghz ranges

J. Wessel, K. Schmalz, B.P. Cahill, G. Gastrock, Ch. Meliani XV. International Conference on Electrical Bio-Impedance (ICEBI 2013), Heilbad Heiligenstadt, April 22 - 25, 2013, Germany

(201) BiCMoS-integrierte rF-MeMS technologien für mm-wellen anwendungen

M. Wietstruck, M. Kaynak, R. Scholz, B. Tillack MST Workshop, Bonn, May 16, 2013, Germany

(202) electro-thermo-Mechanical analysis of a BiCMoS embedded rF-MeMS Switch for temperature from -55°C to 125 °C

M. Wietstruck, M. Kaynak, W. Zhang, B. Tillack 13th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF 2013), Austin, January 20 - 22, 2013, USA

(203) Monolithisch-integrierte through-Silicon Vias für Grounding, power distribution networks und System-on-Chip anwendungen

M. Wietstruck, M. Kaynak, St. Marschmeyer, A. Göritz, S. Tolunay, B. Tillack Mikrosystemtechnik Kongress 2013, Aachen, October 14 - 16, 2013, Germany

(204) high-Frequency optimization of BiCMoS embedded through-Silicon Vias for Backside-Integrated MeMS

M. Wietstruck, M. Kaynak, St. Marschmeyer, A. Göritz, S. Tolunay, S. Kurth, B. Tillack MEMSWAVE 2013, Potsdam, July 02 - 03, 2013, Germany

(205) post deposition annealing of Ceria Films on Sio(111)

H. Wilkens, R. Oelke, O. Schuckmann, R. Olbrich, M. Reichling, M.H. Zoellner, T. Schroeder, J. Wollschläger DPG Conference 2013, Regensburg, March 10 - 15, 2013, Germany

(206) Compact Fiber Grating Coupler on SoI for Coupling of higher order Fiber Modes

B. Wohlfeil, Ch. Stamatiadis, L. Zimmermann, K. Petermann Optical Fiber Communication Conference and Exposition / National Fiber Optic Engineers Conference (OFC / NFOEC 2013), Anaheim, March 17 - 21, 2013, USA

V o r t r ä G e – p r e S e n t a t I o n S

Page 136: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

135A n n u A l R e p o R t 2 0 1 3

(207) phosphorus atomic layer doping in SiGe using rpCVd

Y. Yamamoto, B. Heinemann, J. Murota, B. Tillack The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and the 6th International Symposium on Control of Semiconductor Interfaces (ISCSI-VI), Fukuoka, June 02 - 07, 2013, Japan

(208) phosphorus diffusion prevention in Ge by Si delta layers

Y. Yamamoto, P. Zaumseil, R. Kurps, J. Murota, B. Tillack JSPS Core-to-Core Program Workshop Atomically Controlled Processing for Ultralarge Scale Integration, Frankfurt (Oder), October 24 - 25, 2013, Germany

(209) Suppression of p diffusion by Si delta layer

Y. Yamamoto, P. Zaumseil, R. Kurps, J. Murota, B. Tillack 6th International Workshop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar Atomic-ally Controlled Processing for Ultralarge Scale Integration, Sendai, February 22 - 23, 2013, Japan

(210) a wideband 215 - 255 Ghz CB differential amplifier in a 0.25-μm SiGe hBt technology

D. Yoon, N. Kim, U. Pfeiffer, B. Heinemann, J.-S. Rieh Asia Pacific Microwave Conference (APMC) 2013, Seoul, November 05 - 08, 2013, South Korea

(211) an equivalent Circuit with a noise Source for 850-nm Si avalanche photodetector and optimal design of Si oeIC receiver

J.-S. Youn, M.-J. Lee, K.-Y. Park, H. Rücker CLEO-PR & OECC / PS, Kyoto, June 30 - July 04, 2013, Japan

(212) experimental Verification and theoretical description of Misfit dislocation-Free Ge nanostructures on Compliant Si (001)

P. Zaumseil, Y. Yamamoto, M.A. Schubert, M. Salvalaglio, A. Marzegalli, F. Montalenti, B. Tillack, G. Capellini, T. Schroeder JSPS Core-to-Core Program Workshop, Frank-furt (Oder), October 24 - 25, 2013, Germany

(213) heteroepitaxial Growth of Ge on Compliant Strained nanostructured Si lines and dots on (001) SoI Substrate

P. Zaumseil, Y. Yamamoto, M.A. Schubert, T. Schroeder, B. Tillack The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and the 6th International Symposium on Control of Semiconductor Interfaces (ISCSI-VI), Fukuoka, June 02 - 07, 2013, Japan

(214) reduction of Structural defects in Ge epitaxially Grown on nano-structured Si Islands on SoI Substrate

P. Zaumseil, Y. Yamamoto, M.A. Schubert, T. Schroeder, B. Tillack Gettering and Defect Engineering in Semiconductor Technology (GADEST 2013), Oxford, September 22 - 27, 2013, UK

(215) Selective epitaxy of Ge on nano-Structured Si Substrates for Future high performance and Multifunctionalized Si Micro- and nano-electronics

P. Zaumseil, G. Kozlowski, Y. Yamamoto, M.A. Schubert, T.U. Schülli, B. Tillack, G. Niu, T. Schroeder CHInano Conference and Expo 2013, Suzhou, September 24 - 27, 2013, China

V o r t r ä G e – p r e S e n t a t I o n S

Page 137: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

136 A n n u A l R e p o R t 2 0 1 3

(216) design of a low-power asynchronous elliptic Curve Cryptography Coprocessor

St. Zeidler, M. Goderbauer, M. Krstic 2013 IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2013), Abu Dhabi, December 08 - 11, 2013, United Arab Emirates

(217) wFK: Broadband aircraft Cabin-Integrated wlan Communication System

V. Ziegler, B. Schoenlinner, B. Schulte, J. Sabater, S. Bovelli, J. Kunisch, K. Maulwurf, M. Martinez-Vazquez, C. Oikonomopoulos-Zachos, S. Glisic, M. Ehrig, E. Grass International Microwave Symposium (IMS 2013), Seattle, June 02 - 07, 2013, USA

(218) Modulator Integration in high-performance BiCMoS

L. Zimmermann, D. Knoll, St. Lischke, H. Richter, G. Winzer, D.J. Thomson, F.Y. Gardes, Y. Hu, G.T. Reed, B. Goll, H. Porte, K. Voigt, B. Tillack The 10th International Conference on Group IV Photonics (GFP), Seoul, August 28 - 30, 2013, South Korea

(219) Monolithically Integrated 10Gbit / sec Silicon Modulator with driver in 0.25 μm SiGe:C BiCMoS

L. Zimmermann, D.J. Thomson, B. Goll, D. Knoll, St. Lischke, F.Y. Gardes, Y. Hu, G.T. Reed, H. Zimmermann, H. Porte 39th European Conference & Exhibition on Optical Communication (ECOC 2013), London, September 22 - 26, 2013, UK

(220) Influence of Chemo-Mechanical planarization on the Spatial lattice tilt distribution in SiGe Buffer layers Investigated by Micro-focused X-ray diffraction Mapping

M.H. Zoellner, G. Chahine, M.-I. Richard, P. Zaumseil, P. Storck, T. Schulli, T. Schroeder JSPS Core-to-Core Program Workshop „Atomically Controlled Processing for Ultra-large Scale Integration“, Frankfurt (Oder), October 24 - 25, 2013, Germany

(221) Influence of Chemo-Mechanical planarization on threading dislocations in SiGe Virtual Substrates Investigated by Micro-Focussed X-ray diffraction Mapping

M.H. Zoellner, T. Schroeder InternaI ESRF ID01 Final Report about Visitor Collaboration, Grenoble, April 26, 2013, France

V o r t r ä G e – p r e S e n t a t I o n S

Page 138: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

137A n n u A l R e p o R t 2 0 1 3

BerichteReports

(1) In-operando haXpeS Investigations of hfo2-based rraM Structures

T. Bertaud, M. Sowinska, D. Walczyk, S. Thiess, A. Gloskovskii, P. Calka, Ch. Walczyk, T. Schroeder, DESY Annual Report 2012, (2013)

(2) dFG-Forschergruppe For 653 „aktive und abstimmbare mikrophotonische Systeme auf der Basis von Silicon-on-Insulator (SoI)“

E. Brinkmeyer, M. Eich, J. Müller, K. Petermann, J. Bruns, B. Tillack, L. Zimmermann Abschlussbericht, (2013)

(3) optimizing Figure of Merit for nonlinear processes by Co-design of p-i-n Junction and Silicon nano-rib waveguide

A. Gajda, L. Zimmermann, B. Wohlfeil, J. Bruns, K. Petermann, B. Tillack Report: Tunable and Active Silicon Photonics (TASP 2011), (2013)

(4) exploitation plan I. Lamprinos, N. Ioannidis, J. Vlotzos, G. Giannakopoulou, E. Vogiatzaki, M. Schauer, St. Ortmann StrokeBack Deliverable D7.2, (2013)

(5) a Survey on Java Virtual Machines for Sensor nodes

O. Maye Technical Report, (2013)

(6) light Induced Crystalliization and Characterization of Si nanostructures

T. Mchedlidze, M. Kittler Technical Report, (2013)

(7) data Flow driven Ban architecture & Selected algorithms

St. Ortmann, D. Biswas, A. Cranny, J. Achner, J. Klemke StrokeBack Deliverable D4.1, (2013)

(8) Intermediate report 2 St. Ortmann StrokeBack Deliverable D1.3, (2013)

(9) periodic Summary & activity report 2 St. Ortmann, A. Cranny, M. Schauer StrokeBack Deliverable D1.3, (2013)

(10) Zwischenbericht ZIM-projekt ateM St. Ortmann BMWi Projekt ATEM; Förderkennzeichen KF2123408WD2, (2013)

(11) SIltronIC- Ihp technology project on the development of Gan Virtual Substrates on Si wafers

T. Schroeder Industry Report 2010 - 2012, (2013)

(12) Secure, Mobile Visual Sensor networks architecture

O. Stecklina, F. Vater, St. Kornemann, P. Langendörfer Schlussbericht, (2013)

(13) SIltronIC – Ihp technology report, activity report Q1 / Q2 2013

P. Storck, T. Schroeder, L. Lupina Industry Report, (2013)

(14) SoI-nanowaveguides and waveguide diode Structures Fabricated in BiCMoS technology

H. Tian, G. Winzer, D. Stolarek, H. Richter, L. Zimmermann, B. Tillack Abschlussbericht, (2013)

B e r I C h t e – r e p o r t S

Page 139: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

138 A n n u A l R e p o R t 2 0 1 3

h a B I l I t a t I o n e n / d I S S e r t a t I o n e n – h a B I l I t a t I o n S / d I S S e r t a t I o n S

MonographienMonographs

Habilitationen / DissertationenHabilitations / Dissertations

(1) untersuchung von Ceox-, prox- und Cexpr1-xo2-δ-Filmen auf Si(111) mittels hochenergetischer röntgen-photo- elektronenspektroskopie

A. Allahgholi Dissertation, Universität Bremen, (2013)

(2) GalS design Methodology Based on pausible Clocking

X. Fan Dissertation, Humboldt University of Berlin, (2013)

(3) Measurement, Characterization and emulation of wideband MIMo Channels

J. Gutierrez Teran Dissertation, University of Cantabria, Santander, (2013)

(4) oxygen engineered hafnium oxide thin Films Grown by reactive Molecular Beam epitaxy

E. Hildebrandt Dissertation, TU Darmstadt, (2013)

(5) optical Characterization of thin-Film Si Solar Cells and Knowledge transfer from Bulk mc-Si

A. Klossek Dissertation, BTU Cottbus-Senftenberg, Cottbus, (2013)

(6) Zum thermischen widerstand von Silicium-Germanium-hetero- Bipolartransistoren

F. Korndörfer Dissertation, TU Chemnitz, (2013)

(7) Influence of Vacancies introduced by rta on the nucleation, Size, Morphology, and Gettering efficiency of oxygen precipitates in silicon wafers

D. Kot Dissertation, BTU Cottbus, (2013)

(8) design Methodology for highly reliable digital aSIC designs applied to network- Centric System Middleware Switch processor

V. Petrovic Dissertation, BTU Cottbus, (2013)

(9) Material Science for high performance SiGe hBts: Solid-phase epitaxy and III-V / SiGe hybrid approaches

O. Skibitzki Dissertation, BTU Cottbus, (2013)

(10) enabling Functional tests of asynchronous Circuits using a test processor Solution

St. Zeidler Dissertation, BTU Cottbus, (2013)

Page 140: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

139A n n u A l R e p o R t 2 0 1 3

d I p l o M - / M a S t e r - / B a C h e l o r a r B e I t e n – d I p l o M a - S / M a S t e r - / B a C h e l o r t h e S e S

Diplomarbeiten / Masterarbeiten / BachelorarbeitenDiploma Theses / Master Theses / Bachelor Theses

(1) leading people the Modern way: a Case Study on Shared leadership in the German healthcare Sector

M. Bierzynski Master Thesis, University of Vaasa, Vaasa, Finland, (2013)

(2) evaluation von network-on-Chip Switch- architekturen in nanoskalierter CMoS- technologie

M. Dunkel Diploma Thesis, Universität Potsdam, (2013)

(3) technologische entwicklung und Charakterisierung von Siliziumnitrid- wellenleitern

R. Eisermann Master Thesis, BTU Cottbus, (2013)

(4) Mikrosystemtechnische Integration eines implantierbaren Biosensors

P. Glogener Bachelor Thesis, TH Wildau, (2013)

(5) entwurf und evaluation eines asynchronen Coprozessors für die elliptische-Kurven- Kryptographie

M. Goderbauer Master Thesis, Hasso Plattner Institut, Universität Potsdam, (2013)

(6) optimierung des Graphen transfers für die herstellung von elektronischen Bauelementen

J. Kitzmann Master Thesis, TH Wildau, (2013)

(7) Modeling and optimization of 60 Ghz oFdM Baseband receiver in Simulink

K. Krishnegowda Master Thesis, Warsaw University of Technology, Poland, (2013)

(8) electrical Characterization of hfo2-based embedded reraM-Structures: a Comparative Study

St. Kubotsch Master Thesis, TH Wildau, (2013)

(9) Investigation of the ageing of rF transistors and its Influence on the performance of rF Circuits

J. Molina Master Thesis, TU Berlin, (2013)

(10) Konzeption und entwicklung einer softwarebasierten erfassung und Visualisierung von anlagenzuständen in der halbleiterindustrie

F. Neß Bachelor Thesis, bbw Hochschule, Berlin, (2013)

(11) untersuchung der energieeffizienz bei wake-up Systemen für die Kommunikation von Sensorknoten mit Satelliten

U. Nordmann Master Thesis, BTU Cottbus, (2013)

(12) FeM Simulation (CoMSol Multiphysics®) of piezoelectric aluminum nitride Based Stressors for Integrated Germanium light emitter

S. Rajaretnam Master Thesis, TUHH Hamburg, (2013)

(13) Charakterisierung der elektrischen eigenschaften von Korngrenzen in Silizium

B. Schwartz Diploma Thesis, BTU Cottbus, (2013)

Page 141: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

140 A n n u A l R e p o R t 2 0 1 3

p a t e n t e – p a t e n t S

(14) entwurf eines integrierten 16V dC / dC down Converters für luft- und raumfahrtanwendungen

S. Simon Master Thesis, BTU Cottbus, (2013)

(15) MaC protocol Synthesis dedicated to wireless Sensor networks

R. Sokolowski Master Thesis, Westpomeranian University of Technology Szczecin, Poland, (2013)

(16) Spa-leed untersuchungen an dünnen Ceroxid- und Mischoxidfilmen auf Si(111)

W. Spieß Bachelor Thesis, Universität Osnabrück, (2013)

(17) untersuchungen zum austausch der pMd-Schicht für die 0,25 μm BiCMoS-technologie

D. Szonn Bachelor Thesis, FH Brandenburg, (2013)

(18) reverse engineering and Countermeasures for digital aSICs

C. Wittke Master Thesis, BTU Cottbus-Senftenberg, Cottbus, (2013)

(19) aufbau und Funktionsweise eines kontinuierlich-strahlenden terahertz- Spektrometers

A. Wolf Bachelor Thesis, TH Wildau, (2013)

PatentePatents

(1) a CMoS-Compatible Germanium tunable laser

G. Capellini, Ch. Wenger, T. Schroeder IHP.368.PCT, PCT-Anmeldung am 10.02.2013, AZ: PCT / EP2013 / 052702

(2) abschirmung als struktureller Bestandteil von Krypto-Chips

Z. Dyka, P. Langendörfer IHP.376.PCT, PCT-Anmeldung am 25.10.2013, AZ: PCT / EP2013 / 072447

(3) IQ-Kalibrierung eines direkten Frequenz- konverters durch Vektormodulation eines lokalen oszillatorsignals

M. Elkhouly IHP.400.13 DE-Patentanmeldung, am 27.06.2013, AZ: DE 10 2013 212 535.6

(4) elektronisch schwenkbare Gruppenantenne mit Broadcast-basierter Steuerung

E. Grass, Ch. Meliani IHP.385.13 DE-Patentanmeldung, am 27.05.2013, AZ: DE 10 2013 209 844.8

(5) Germanium pIn-Fotodiode für die Integration in eine CMoS- oder BiCMoS- technologie

D. Knoll, St. Lischke, Y. Yamamoto, L. Zimmermann, A. Trusch IHP.388.12, DE Patentanmeldung am 31.01.2013, AZ: DE 10 2013 201 644.1

(6) Germanium pIn-Fotodiode für die Integration in eine CMoS- oder BiCMoS- technologie

D. Knoll, St. Lischke, Y. Yamamoto, L. Zimmermann, A. Trusch IHP.388.PCT, PCT-Anmeldung am 19.09.2013, AZ: PCT / EP2013 / 069511

Page 142: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

141A n n u A l R e p o R t 2 0 1 3

p a t e n t e – p a t e n t S

(7) einzelelektrodenplatte zur Verwendung in einer Brennstoffzelle

N. Kroh, A. Wolff, F. Berthold, P. Berthold IHP.390.13, DE-Patentanmeldung am 17.05.2013, AZ: DE10 2013 209 226.1

(8) optische Koppelvorrichtung und Betriebsverfahren dafür

M. Kroh, M. Jäger, D. Volkmann IHP.399.13, DE-Patentanmeldung am 12.11.2013, AZ: 102013223034.6

(9) Schaltungsanordnung mit detektion oder Behandlung von transienten Fehlern in einem kombinatorischen Schaltungsteil

M. Krstic, G. Schoof, V. Petrovic, St. Weidling, E. Sogomonyan, M. Gössel IHP.403.13, DE-Patentanmeldung am 05.12.2013, AZ: DE 10 2013 225 039.8

(10) Verfahren und Vorrichtung zur herstellung von nanospitzen

W. Mehr, A. Wolff IHP.395.13, DE-Patentanmeldung am 14.06.2013, AZ: DE 10 2013 211 178.9

(11) aufweckempfängerschaltung M. Methfessel IHP.398.13, DE-Patentanmeldung am 14.10.2013 AZ: DE 10 2013 220 713.1

(12) empfänger, anordnung und Verfahren für die ultrabreitband-Übertragung

M. Methfessel, V. Sark, G. Fischer IHP.384.12 DE-Patentanmeldung am 02.05.2013, AZ: 102013208080.8

(13) Verfahren zur automatischen antennen- ausrichtung und Sendeleistungs- regulierung und richtfunksystem

M. Petri, M. Ehrig IHP.391.13, DE-Patentanmeldung am 19.09.2013, AZ: 102013218862.5

(14) Chip-antenne, elektronisches Bauelement und herstellungsverfahren dafür

R. Wang, Y. Sun, J.C. Scheytt, M. Kaynak IHP.389.PCT-Anmeldung am 27.12.2013, AZ: PCT / EP2013 / 077951

(15) Chip-antenne, elektronisches Bauelement und herstellungsverfahren dafür

R. Wang, Y. Sun, J.C. Scheytt, M. Kaynak IHP.389.13 DE-Patentanmeldung am 29.04.2013, AZ: DE 10 2013 207 829.3

(16) erschweren von optischem reverse engineering

Ch. Wittke, F. Vater, P. Langendörfer, O. Schrape IHP.402.13, DE-Patentanmeldung am 26.11.2013, AZ: DE 10 2013 224 060.0

(17) Vorrichtung zum Koppeln mehrerer unterschiedlicher Fasermoden

B. Wohlfeil, L. Zimmermann IHP.393.13, DE-Patentanmeldung am 28.06.2013, AZ: DE 10 2013 212 752.9

(18) Graphene Base transistor and Method for Making the Same

A. Wolff, G. Lupina, W. Mehr, Ch. Meliani, G. Lippert, J. Dabrowski, Ch. Wenger IHP.394.13, EP-Patentanmeldung, am 29.05.2013, AZ: EP 13169804.5

(19) Suppression of p diffusion in Ge by Si Spike

Y. Yamamoto, B. Tillack IHP.365.PCT, PCT-Anmeldung am 11.02.2013, AZ: PCT / EP2013 / 052699

Page 143: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

142 A n n u A l R e p o R t 2 0 1 3

Deliverables and Services

Page 144: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

143A n n u A l R e p o R t 2 0 1 3

a n G e B o t e u n d l e I S t u n G e n – d e l I V e r a B l e S a n d S e r V I C e S

Multi Project Wafer (MPW) and Prototyping Service

IHP offers research partners and customers access to its powerful SiGe BiCMOS technologies and special in-tegrated RF modules.The technologies are especially suited for applica-tions in the higher GHz range (e.g. for wireless, broad-band, radar, fiberoptic communication and integrated photonics). They provide integrated HBTs with cut-off frequencies of up to 500 GHz, integrated RF-MEMS, and integrated RF LDMOS devices with breakdown vol-tages up to 22 V, including complementary devices.

the following SiGe BiCMoS technologies are available:

SG25H1: A high-performance 0.25 µm BiCMOS with npn-HBTs up to fT / fmax= 180 / 220 GHz.

SG25H3: A 0.25 µm BiCMOS with a set of npn-HBTs ranging from a high RF performance (fT / fmax= 110 GHz / 180 GHz) to higher breakdown voltages up to 7 V.

SGB25V: A cost-effective 0.25 µm BiCMOS with a set of npn-HBTs up to a breakdown voltage of 7 V.

SG13S: A high-performance 0.13 µm BiCMOS with npn-HBTs up to fT / fmax= 250 / 300 GHz, with 3.3 V I / O CMOS and 1.2 V logic CMOS.

SG13G2: A 0.13 µm BiCMOS technology with the same device portfolio as SG13S but much higher bipolar performance with fT / fmax = 300 / 500 GHz.

The backend offers 3 (SG13: 5) thin and 2 thick metal layers (TM1: 2 µm, TM2: 3 µm).

The schedule for MPW & Prototyping runs is published at www.ihp-microelectronics.com.

Multi-Projekt-Wafer (MPW)- und Prototyping-Service

Das IHP bietet seinen Forschungspartnern und Kunden Zugriff auf seine leistungsfähigen SiGe-BiCMOS-Techno-logien und spezielle integrierte Hochfrequenzmodule.Die Technologien sind insbesondere für Anwendungen im oberen GHz-Bereich geeignet, so z.B. für die drahtlose und Breitbandkommunikation, Radar, glasfasergestützte Kommunikation und integrierte Photonik. Sie bieten in-tegrierte HBTs mit Grenzfrequenzen bis zu 500 GHz, inte-grierte RF-MEMS und integrierte RF-LDMOS-Bauelemente mit Durchbruchspannungen bis zu 22 V einschließlich komplementärer Bauelemente.

Verfügbar sind folgende SiGe-BiCMOS-Technologien:

SG25H1: Eine 0,25-µm-BiCMOS- Technologie mit npn-HBTs bis zu f

T / fmax= 180 / 220 GHz.

SG25H3: Eine 0,25-µm-BiCMOS-Technologie mit mehreren npn-HBTs, deren Parameter von einer hohen HF-Performance (fT / fmax= 110 / 180 GHz) zu größeren Durchbruchspannungen bis zu 7 V reichen.

SGB25V: Eine kostengünstige 0,25-µm-BiCMOS- Technologie mit mehreren npn-Transistoren mit Durchbruchspannungen bis zu 7 V.

SG13S: Eine 0,13-µm-BiCMOS-Hochleistungs- Technologie mit npn-HBTs bis zu fT / fmax= 250 / 300 GHz mit 3,3 V I / O-CMOS und 1,2 V Logik-CMOS.

SG13G2: Eine 0,13-µm-BiCMOS-Hochleistungs- Technologie mit den gleichen Bauelementen wie SG13S, aber einer wesentlich höheren Bipolar-Performance mit fT / fmax = 300 / 500 GHz. Das Backend enthält 3 (SG13: 5) dünne und 2 dicke Metallebenen (TM1: 2 µm, TM2: 3 µm).

Es finden technologische Durchläufe nach einem fes-ten, unter www.ihp-microelectronics.com verfügbaren Zeitplan statt.

Page 145: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

144 A n n u A l R e p o R t 2 0 1 3

a n G e B o t e u n d l e I S t u n G e n – d e l I V e r a B l e S a n d S e r V I C e S

A cadence-based mixed signal design kit is available. For high frequency designs an analogue Design Kit in ADS can be used. IHP’s reusable blocks and IPs are offered to support your designs.

the following technology Modules are available:

GD: Additional integrated complementary RF LDMOS devices with nLDMOS up to 22 V, pLDMOS up to -16 V breakdown voltage and an isolated nLDMOS device. (available in SGB25V)

H3P: Additional pnp-HBTs with fT / fmax = 90 / 120 GHz for complementary bipolar applications. (available in SG25H3) RF-MEMS Switch: Additional capacitive MEMS switch devices for frequencies between 30 GHz and 100 GHz. (available in SG25H1 and SG25H3 technology)

LBE: The Localized Backside Etching (LBE) module is offered to remove silicon locally to improve passive performance. (available in all technologies)

PIC: Additional photonic design layers together with BiCMOS BEOL layers on SOI wafers. (available in SG25H1 / H3)

Ein Cadence-basiertes Design-Kit für Mischsignale ist verfügbar. Wiederverwendbare Schaltungsblöcke und IPs des IHP für die drahtlose und Breitbandkommuni-kation werden zur Unterstützung Ihrer Designs ange-boten.

Zusätzliche Module sind für bestimmte SiGe-BiCMOS-Technologien verfügbar:

GD: Zusätzliche integrierte, komplementäre HF-LDMOS mit nLDMOS bis 22 V , pLDMOS bis -16 V Durchbruchspannung und einem isolierten nLDMOS. (verfügbar in SGB25V)

H3P: Zusätzliche pnp-HBTs mit f

T / fmax= 90/120 GHz für komplementäre Bipolar-Anwendungen. (verfügbar in SG25H3)

RF-MEMS-Schalter: Zusätzliche kapazitive MEMS-Schalter für Frequenzen zwischen 30 GHz und 100 GHz. (verfügbar in SG25H1 und SG25H3)

LBE: Das Modul für lokales Rückseitenätzen (LBE) wird angeboten zur Verbesserung der passiven Performance durch lokales Entfernen von Silizium. (verfügbar in allen Technologien).

PIC: Zusätzliche photonische Designebenen zusammen mit BiCMOS-BEOL-Ebenen auf SOI-Wafern. (verfügbar in SG25H1 / H3)

Page 146: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

145A n n u A l R e p o R t 2 0 1 3

Bipolar Section

SG25h1 npn1 npn2

AE 0.21 x 0.84 µm2 0.18 x 0.84 µm2

Peak fmax 190 GHz 220 GHz Peak fT 190 GHz 180 GHz BVCE0 1.9 V 1.9 V BVCBO 4.5 V 5.0 V VA 40 V 40 V β 270 260

SG25h3 high Medium high pnp performance Voltage Voltage h3p Module

AE 0.22 x 0.84 µm2 0.22 x 2.24 µm2 0.22 x 2.24 µm2 0.22 x 0.84 µm2

Peak fmax 180 GHz 140 GHz 80 GHz 120 GHz Peak fT 110 GHz 45 GHz 25 GHz 90 GHz BVCE0 2.3 V 5 V > 7 V - 2.5 V BVCBO 6.0 V 15.5 V 21.0 V - 4.0 V VA 30 V 30 V 30 V 30 V β 150 150 150 100

SGB25V high Standard high performance Voltage

AE 0.42 x 0.84 µm2 0.42 x 0.84 µm2 0.42 x 0.84 µm2

Peak fmax 95 GHz 90 GHz 70 GHz Peak fT 75 GHz 45 GHz 25 GHz BVCEO 2.4 V 4.0 V 7.0 V BVCBO > 7 V > 15 V > 20 V VA > 50 V > 80 V > 100 V β 190 190 190

SG13S npn13p npn13V

AE 0.12 x 0.48 µm2 0.18 x 1.02 µm2

Peak fmax 300 GHz 120 GHz Peak fT 250 GHz 45 GHz BVCE0 1.7 V 3.7 V BVCBO 5.0 V 15 V β 900 600

a n G e B o t e u n d l e I S t u n G e n – d e l I V e r a B l e S a n d S e r V I C e S

Die wesentlichen Parameter der Technologien sind: Technical key-parameters of the technologies are:

Page 147: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

146 A n n u A l R e p o R t 2 0 1 3

a n G e B o t e u n d l e I S t u n G e n – d e l I V e r a B l e S a n d S e r V I C e S

SG13G2 npn13g2

AE 0.07 x 0.90 µm2 Peak fmax 500 GHz Peak fT 300 GHz BVCE0 1.7 V BVCBO 4.8 V β 650

pIC Module

waveguide (single-mode@1550 nm) Deep etched 3 dB / cm Shallow etched 1 dB / cm Ge photodetector (@1550 nm) Responsivity (internal) 0.6 A / W 3 dB Bandwidth > 25 GHz

CMoS Section

SG25h1 / h3* SG13S***

Core Supply Voltage 2.5 V 3.3 V 1.2 V nMOS VTH 0.6 V 0.65 V 0.49 V IOUT** 540 µA / µm 520 µA / µm 500 µA / µm IOFF 3 pA / µm 10 pA / µm 500 pA / µm pMOS VTH - 0.6 V - 0.61 V - 0.42 V IOUT - 230 µA / µm - 220 µA / µm - 210 µA / µm IOFF - 3 pA / µm - 10 pA / µm - 500 pA / µm

*: Parameters for SGB25V are similar **: @VG = 2.5 V***: Parameters for SG13G2 have to be defined

passive Section

SG25h1 / h3 SGB25V SG13S

MIM Capacitor 1 fF / µm2 1 fF / µm2 1.5 fF / µm2

N+Poly Resistor 210 Ω / 210 Ω / - P+Poly Resistor 280 Ω / 310 Ω / 250 Ω / High Poly Resistor 1600 Ω / 2000 Ω / 1300 Ω / Varactor Cmax / Cmin 3 tbd. tbd. Inductor Q@5 GHz 18 (1 nH) 18 (1 nH) 18 (1 nH) Inductor Q@10 GHz 20 (1 nH) 20 (1 nH) 20 (1 nH) Inductor Q@5 GHz 37 (1 nH)* 37 (1 nH)* 37 (1 nH)**: with LBE

Page 148: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

147A n n u A l R e p o R t 2 0 1 3

a n G e B o t e u n d l e I S t u n G e n – d e l I V e r a B l e S a n d S e r V I C e S

Gd Module

nldMoS pldMoS nld2Gd22C inld2Gd13a **** pld2G19B

BVDSS* 22 V 14 V - 16 V VTH 0.55 V 0.6 V - 0.5 V IOUT** 460 µA / µm 440 µA / µm - 180 µA / µm RON 4 Ωmm 4 Ωmm 15 Ωmm Peak fmax*** 52 GHz 50 GHz 30 GHz Peak fT*** 20 GHz 28 GHz 9 GHz

*:@ 50 pA/µm ** :@ VG= 2.5 V ***:@ VDS = 4 V ****: substrate isolated

rF-MeMS Switch Module

Actuation Voltage 25 V Con / Coff > 10 Switch Time < 10 µs Temperature -30…+125 °C Isolation* < 20 dB Insertion Loss* < 1 dB Continuous Power Handling 13 dB

*@ 60 GHz

Page 149: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

148 A n n u A l R e p o R t 2 0 1 3

a n G e B o t e u n d l e I S t u n G e n – d e l I V e r a B l e S a n d S e r V I C e S

Design Kits

The design kits support a Cadence mixed signal plat-form:

- Design Framework II (Cadence 6.1)- Behavioral Modeling (Verilog HDL)- Logic Synthesis & Optimization (VHDL / HDL Compiler, Design Compiler / Synopsys, Power Compiler / Synopsys)- Test pattern generation / DFT Compiler (Synopsys)- Simulation (RF: SpectreRF, Analog: SpectreS, Cadence Incisive / Behavioral, Digital: Mentor Graphics ModelSim)- Place & Route (Cadence EDI)- Layout (Cadence Virtuoso Editor)- Verification (Assura: DRC / LVS / Extract / Parasitic Extraction)- ADS-support via Golden Gate / RFIC dynamic link to Cadence is available- Standalone ADS Kit including Momentum substrate layer file - Sonnet support for all design kits- Support of TexEDA - ECL library for SGB25V- Radiation hard CMOS library for SGB25V / SG13S.

Analog and Digital IPAnalog IP 6 Ghz rF Components6 GHz LNA with 12 dB signal enhancementRF-VGA with 30 dB signal enhancementVariable phase shifter (0 to 360o)

60 Ghz CircuitsComplete 60 GHz transceiver and components in SiGe BiCMOS60 GHz TX and RX IC with phase shifter60 GHz beamforming components and integrated frontend60 GHz channel sounder module60 GHz planar PCB-integrated antenna

122 Ghz radar & Sensors122 GHz CW / FMCW Radar ICD-band on-chip antenna (with Localized Backside Etching)Integrated 122 GHz receiver with calibration and digital control via SPI

245 GhzIntegrated 245 GHz transmitter and receiver

adCs, daCs and logic18 GS / s 4 bit DAC with 6 GHz bandwidth10 GS / s 6 bit DAC with offline calibrationLow speed and low power calibration DACs, 1 MS / s, 8 – 14 bit resolution, 0.2 – 1.5 mW DC power consumptionFrequency divider operating up to 40 GHz9 GS / s (2.1 - 2.2 GHz) bandpass delta-sigma modulatorBandpass delta-sigma modulators for class-S amplifiers (450 MHz, 900 MHz, 2 GHz) Frequency Synthesizers0.6 – 4.4 GHz, 10 – 14 GHz and 20 – 24 GHz fully in-tegrated frequency synthesizer with low phase noise (Integer-N and DS-Fractional-N)VCOs and integer-N PLLs for various frequencies from 5 – 120 GHzLow-noise SiGe LC-VCOs in the range between 10 and 120 GHz

Multi-Gigabit Fiberoptical ICs20 Gbps transimpedance amplifier with DC cancelation6 V differential 40 Gbps MZM driverVCSEL driver up to 40 Gbps80 Gbps decision feedback equalizer for fiberoptic communications

Impulse radio uwB transceiver and ComponentsUWB single-chip transceiver compliant to standard IEEE 802.15.4aImpulse UWB RF transceiver with localization capabilityUWB RF components between 6.0 and 8.5 GHz

Page 150: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

149A n n u A l R e p o R t 2 0 1 3

a n G e B o t e u n d l e I S t u n G e n – d e l I V e r a B l e S a n d S e r V I C e S

Space application Circuits8 – 12 GHz delta sigma fractional-N SynthesizerProgrammable integer-N / fractional-N frequency divider

Digital IPCrypto CoresIP cores for flexible AES, ECC, MD5 and SHA-1 crypto processors

InterfacesCardbus, GPIO, I2C slave, SPI master, SPI slave, VGA monitor, UART, 16-bit timer

Communication Cores60 GHz MAC processor, 60 GHz OFDM baseband proces-sor, LDPC Co/Decoder, RS Co/Decoder, IR-UWB (IEEE 802.15.4a) baseband and front-end, Viterbi decoder, FFT, WLAN (IEEE 802.11a) baseband processor and MAC processor

Sensor nodes16-bit Crypto-microcontroller, also with 64K internal Flash (TNode)32-bit Crypto-microcontroller (Trusted Sensor Node)UWB Node

ConsultancyMAC protocol design & Gigabit WLAN systemsWireless sensor networks and applicationsFault tolerant design for space and automotive

For more information please contact:

Dr. Wolfgang Kissinger (General contact) Dr. René Scholz (MPW & Prototyping contact)IHP IHPIm Technologiepark 25 Im Technologiepark 2515236 Frankfurt (Oder), Germany 15236 Frankfurt (Oder), Germany Email: [email protected] Email : [email protected]: +49 335 56 25 410 Tel : +49 335 56 25 647Fax: +49 335 56 25 222 Fax +49 335 56 25 327

Transfer of Technologies and Technology Modules

IHP offers its 0.25 µm BiCMOS technologies and tech-nology modules (HBT-Modules, LDMOS-Modules) for transfer. The technological parameters comply to a large extent with the parameters described above for MPW & Prototyping.

Process Module Support

IHP offers support for advanced process modules for research and development purposes and small volume prototyping.

Process modules available include:- Standard processes (implantation, etching, CMP & deposition of layer stacks such as thermal SiO2 , PSG, Si3N4 , Al , TiN, W)- Epitaxy (Si, Si:C, SiGe, SiGe:C, Ge)- Optical lithography (i-line and 248 nm down to 100 nm structure size)- Short-flow processing- Electrical characterization and testing.

Failure Mode Analysis and Diagnostics

IHP offers support for yield enhancement through fai-lure mode analysis with state-of-the-art equipment, including AES, AFM, FIB, SEM, SIMS, ToFSIMS and TEM.

Page 151: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

150 A n n u A l R e p o R t 2 0 1 3

w e G B e S C h r e I B u n G Z u M I h p – d I r e C t I o n S t o I h p

Wegbeschreibung zum IHP

per Flugzeug- Vom Flughafen Berlin-Tegel mit der Buslinie X9 bis Bahnhof Berlin-Zoologischer Garten (19 Minuten); dann mit dem RegionalExpress RE 1 bis Frankfurt (Oder) Hauptbahnhof (ca. 1 Stunde 20 Minuten).- Vom Flughafen Berlin-Schönefeld mit dem Airport- Express oder der S-Bahnlinie S 9 bis Bahnhof Ber- lin-Ostbahnhof (19 bzw. 32 Minuten); dann mit dem RegionalExpress RE 1 bis Frankfurt (Oder) Haupt- bahnhof (ca. 1 Stunde).per Bahn- Von den Berliner Bahnhöfen Zoologischer Garten, Hauptbahnhof, Friedrichstraße, Alexanderplatz oder Ostbahnhof mit dem RegionalExpress RE 1 bis Frankfurt ( Oder) Hauptbahnhof.per Auto- Über den Berliner Ring auf die Autobahn A 12 in Rich- tung Frankfurt (Oder) / Warschau; Abfahrt Frankfurt (Oder)-West, an der Ampel links in Richtung Beeskow und dem Wegweiser „Technologiepark Ostbranden- burg“ folgen.per Straßenbahn in Frankfurt (Oder)- Ab Frankfurt (Oder) Hauptbahnhof mit der Linie 3 oder 4 in Richtung Markendorf Ort bis Haltestelle Technologiepark (14 Minuten).

Directions to IHP

by plane- From Berlin-tegel airport take the bus X9 to the railway station Berlin-Zoologischer Garten (19 mi- nutes); then take the RegionalExpress RE 1 to Frank- furt (Oder) Hauptbahnhof (appr. 1 hour 20 minutes).- From Berlin-Schönefeld airport take the Airport- Express or the S-Bahn line S 9 to the railway station Berlin Ostbahnhof (19 resp. 32 minutes); then take the RegionalExpress RE 1 to Frankfurt (Oder) Hauptbahnhof (appr. 1 hour).by train- Take the train RegionalExpress RE 1 from the Berlin railway stations Zoologischer Garten, Hauptbahnhof, Friedrichstraße, Alexanderplatz or Ostbahnhof to Frankfurt (Oder) Hauptbahnhof.by car- Take the highway A 12 from Berlin in the direc- tion Frankfurt (Oder) / Warschau (Warsaw); take exit Frankfurt (Oder)-West, at the traffic lights turn left in the direction Beeskow and follow the signs to “Technologiepark Ostbrandenburg”.by tram in Frankfurt (oder)- Take the Tram 3 or 4 from railway station Frankfurt (Oder) Hauptbahnhof in the direction Markendorf Ort to Technologiepark (14 minutes).

Page 152: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

151A n n u A l R e p o R t 2 0 1 3

B I l d e r K l ä r u n G e n – p h o t o d e S C r I p t I o n S

Bilderklärungen / photodescriptions

S. 1: Annual Report 2013 Der Eingangsbereich des IHP.The entrance of the IHP.

S. 4: Contents Das Institutsgebäude mit dem im Dezember eröffneten Erweiterungsbau (Vordergrund).The institute building with the extension building (foreground), opened in December 2013.

S. 8.: IHP’s ResearchDie vollintegrierte 245 GHz Sender- und Empfänger-Teststruktur wurde im IHP entworfen und mit dessen SG13G2-Technologie hergestellt.Fully integrated 245 GHz transmitter and receiver test structure, which has been designed and fabricated in IHP SG13G2 technology.

S. 18: Update 2013 Das Institutsgebäude mit seiner Pilotlinie (Vordergrund).The institute building with its pilot line (foreground).

S. 32: Selected Projects 200-mm-Silizium-Wafer mit transferiertem Graphen.200 mm silicon wafer with transferred graphene.

S. 68: Joint LabsKompetenzen des Joint Labs IHP / HU Berlin.Competences of Joint Lab IHP / HU Berlin.

S. 78: Collaboration and Partners Das IHP und die TU Berlin organisierten „MEMSWAVE 2013“, das 14. internationale Symposium zu RF-MEMS und RF-Microsystemen. Die Veranstaltung fand vom 1.-3. Juli 2013 in Potsdam, Deutschland, statt.IHP and TU Berlin organized MEMSWAVE 2013 - the 14th International Symposium on RF-MEMS and RF-Microsystems, Potsdam Germany (July 1-3, 2013).

S. 82: Guest Scientists and Seminars Prof. Dr. y. yoshida, Shizuoka Institute of Science and Technology, Japan, bei seinem Seminar im IHP-Vor-tragsraum (10. September 2013).Prof. Y. Yoshida, Shizuoka Institute of Science and Technology, Japan, during his seminar in the IHP au-ditorium (September 10, 2013).

S. 86: Publications2013 wurden die Ergebnisse der Forschungsarbeit am IHP in 236 Publikationen veröffentlicht.In 2013 the results of the research work at IHP were released in 236 publications.

S. 142: Deliverables and Services Photoelektronenspektrometer für die Analyse von Ober-flächen und die Bestimmung von Tiefenprofilen in dün-nen Schichten.Photoelectron spectrometer for surface analysis as well as depth profiling for thin film composition.

Page 153: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

152 A n n u A l R e p o R t 2 0 1 3

Herausgeber / publisherIHP GmbH – Innovations for High Performance Microelectronics/Leibniz-Institut für innovative Mikroelektronik

Postadresse / postboxPostfach 1466 / Postbox 146615204 Frankfurt (Oder)Deutschland / Germany

Besucheradresse / address for VisitorsIm Technologiepark 2515236 Frankfurt (Oder)Deutschland / Germany

Telefon / Fon +49 335 56250Telefax / Fax +49 335 5625300

E-Mail [email protected] www.ihp-microelectronics.com

I M p r e S S u M – I M p r I n t

Redaktion / editorsDr. Wolfgang Kissinger / Heidrun Förster

Gesamtherstellung / production in design and layoutGIRAFFE WerbeagenturLeipziger Straße 18715232 Frankfurt (Oder)

Telefon / Fon +49 335 6069660Telefax / Fax +49 335 60696619

E-Mail [email protected] www.giraffe.de

Bildnachweise / photocreditsAgentur GIRAFFE, Fotolia.de, IHP, Thomas Rosenthal,Winfried Mausolf

Page 154: IHP Annual Report · 2014-06-26 · Dr. Claudia Herok Vorsitzende Ministerium für Wissenschaft, Forschung und Kultur Land Brandenburg RD Dr. Ulf Lange Stellvertretender Vorsitzender

IHP GmbH – Innovations for High Performance Microelectronics / Leibniz-Institut für innovative MikroelektronikIm Technologiepark 2515236 Frankfurt (Oder)Germany

Telefon +49 335 5625 0Fax +49 335 5625 [email protected]

Annual Report2013

IHP

Annu

al R

epor

t 20

13