ieee circuits and systems society analog signal processing ... · apisak worapishet ioannis...

35
1 of 35 IEEE Circuits and Systems Society Analog Signal Processing Technical Committee (ASPTC) Annual Activity Report 2015 - 2016 32 ASPTC members contributed to this annual report, see Appendix 1 (page 4) herein. Of specific interest, note that items 11, 12, and 17-19 in this report are new items – the intention is to highlight members’ ‘Interesting Work’ and ‘Open Problems and Emerging Research’ pertinent to ASPTC, the IEEE CAS society, and to industry. This report is compiled by Joseph Chang, Chair, ASPTC. In general, many members are involved in numerous activities, and there are items that need follow up. 1. Introduction The ASPTC is one of the largest technical committees in the IEEE CAS Society. A short write up of ASPTC, including the areas of interest and Vision Statement, is delineated in Appendix 2 (page 5) herein. This write up is dated and needs to be updated. 2. Paper Submissions: IEEE ISCAS 2016, Montreal, Canada In ISCAS 2016, the ASPTC is the 2 nd most active track after the VLSI track. A total 224 papers were submitted; in 2015, there were 287 submissions. The track co-chairs are George Yuan, Nathan Neihart, Tony Chan Carusone, and Herve Barthelemy. To improve the review quality and the consistency, the RCM organization was amended: (i) RCMs were required to review their assigned papers; (ii) Dedicated reviewers were invited from the ASPTC and experts in the ASP field; (iii) RCMs were required to inform their reviewers to provide review comments. 33 TC members served as RCMs. 30 experts agreed to serve as dedicated reviewers. 941 reviews were solicited. The average reviews/paper is 4.2 (ISCAS average is 3.6 reviews/paper). Of pertinence, most reviewers provide detailed comments, and in many cases, as detailed as regular journal reviews. The track co-chairs are of the opinion that the overall review quality is very good. 106 papers were accepted (in 2015, 145 papers) with an acceptance rate of 47.3%; the ISCAS’16 acceptance rate is 45.9%. The paper presentations are organized into 13 lecture sessions and 3 poster sessions. 11 TC members volunteered to serve as session chairs for regular sessions. Detailed lists and statistics on the submissions are given in Appendix 3 (pages 6-9) herein. 3. IEEE Services The list of services rendered by members are tabulated in Appendix 4 (page 10) herein. 4. Invited Talks There were a total of 69 invited talks given by members, and these are tabulated in Appendix 5 (page 11) herein. 5. Media Releases There were 7 media reports/press releases reported by members and these are listed in Appendix 6 (page 15) herein.

Upload: others

Post on 23-Jul-2020

0 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

1 of 35

IEEE Circuits and Systems Society

Analog Signal Processing Technical Committee (ASPTC) Annual Activity Report 2015 - 2016

32 ASPTC members contributed to this annual report, see Appendix 1 (page 4) herein. Of specific interest, note that items 11, 12, and 17-19 in this report are new items – the intention is to highlight members’ ‘Interesting Work’ and ‘Open Problems and Emerging Research’ pertinent to ASPTC, the IEEE CAS society, and to industry. This report is compiled by Joseph Chang, Chair, ASPTC. In general, many members are involved in numerous activities, and there are items that need follow up. 1. Introduction

The ASPTC is one of the largest technical committees in the IEEE CAS Society. A short write up of ASPTC, including the areas of interest and Vision Statement, is delineated in Appendix 2 (page 5) herein. This write up is dated and needs to be updated.

2. Paper Submissions: IEEE ISCAS 2016, Montreal, Canada

In ISCAS 2016, the ASPTC is the 2nd most active track after the VLSI track. A total 224 papers were submitted; in 2015, there were 287 submissions. The track co-chairs are George Yuan, Nathan Neihart, Tony Chan Carusone, and Herve Barthelemy. To improve the review quality and the consistency, the RCM organization was amended: (i) RCMs were required to review their assigned papers; (ii) Dedicated reviewers were invited from the ASPTC and experts in the ASP field; (iii) RCMs were required to inform their reviewers to provide review comments.

33 TC members served as RCMs. 30 experts agreed to serve as dedicated reviewers. 941 reviews were solicited. The average reviews/paper is 4.2 (ISCAS average is 3.6 reviews/paper). Of pertinence, most reviewers provide detailed comments, and in many cases, as detailed as regular journal reviews. The track co-chairs are of the opinion that the overall review quality is very good. 106 papers were accepted (in 2015, 145 papers) with an acceptance rate of 47.3%; the ISCAS’16 acceptance rate is 45.9%. The paper presentations are organized into 13 lecture sessions and 3 poster sessions. 11 TC members volunteered to serve as session chairs for regular sessions. Detailed lists and statistics on the submissions are given in Appendix 3 (pages 6-9) herein.

3. IEEE Services

The list of services rendered by members are tabulated in Appendix 4 (page 10) herein. 4. Invited Talks

There were a total of 69 invited talks given by members, and these are tabulated in Appendix 5 (page 11) herein.

5. Media Releases There were 7 media reports/press releases reported by members and these are listed in Appendix 6 (page 15) herein.

Page 2: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

2 of 35

6. Organization of Meetings There were numerous activities pertaining to meeting organizations and these are tabulated in Appendix 7 (page 16) herein.

7. Editorial Services A number of members were active in Editorial Services. These are listed in Appendix 8 (page 17) herein.

8. Other Professional Activities A small number of members were involved in ‘Other Professional Services’ and these are tabulated in Appendix 9 (page 18) herein.

9. Awards and Honors A total of 10 awards and honors were awarded to various members. These are listed in Appendix 10 (page 19) herein.

10. Patents A total of 18 patents were awarded/filed by ASPTC members. These are listed in Appendix 11 (page 20) herein.

11. Interesting Work (new section) A total of 5 articles were identified by various members as interesting (‘beyond’ regular publications) in various fields of interest. These are detailed in Appendix 12 (page 22) herein.

12. Open Problems and Emerging Research (new section) 5 interesting suggestions were made and these are tabulated in Appendix 13 (page 23) herein.

13. ASPTC Vision and Mission Statements The ASPTC Vision and Mission Statements are due to be revisited. Comments from various ASPTC members are listed in Appendix 14 (page 23) herein.

14. Others/Suggestions A member offered education tutorials and this is detailed in Appendix 15 (page 25) herein.

15. Publications A total of 136 articles were authored by members. These are listed in Appendix 16 (page 25-32) herein.

16. Members’ Expertise and Competences (new section) Members’ expertise is broad and these are listed in Appendix 17 (page 33) herein.

17. Startups (new section) Members reported three startups and are listed in Appendix 18 (page 34) herein.

18. Industry Collaborations/Activities (new section) There are substantial industry interactions and these are listed in Appendix 19 (page 35) herein.

Page 3: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

3 of 35

Appendices

Page Appendix 1: List of APTC Members who contributed to the 2015-2016 Annual

Report 4

Appendix 2: Introduction to ASPTC 5

Appendix 3: Tables pertaining to statistics for ISCAS 2016 6

Appendix 4: IEEE Services 10

Appendix 5: List of Invited Talks 11

Appendix 6: Media Releases 15

Appendix 7: Organization of Meetings 16

Appendix 8: Editorial Services 17

Appendix 9: Other Professional Services 18

Appendix 10: Awards and Honors 19

Appendix 11: Patents 20

Appendix 12: Interesting Work 21

Appendix 13: Open Problems and Emerging Topics 22

Appendix 14: ASPTC Vision and Mission Statements 23

Appendix 15: Others/Suggestions 24

Appendix 16: Publications 25

Appendix 17 Members’ Expertise and Competences 33

Appendix 18 Startups 34

Appendix 19 Industry Collaboration/Activities

35

Note: New items are in bold

Page 4: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

4 of 35

Appendix 1: List of Contributors

The following 32 ASPTC members contributed to the 2015-2016 ASPTC Annual Report:

Joseph Chang Tuna B. Tarim Igor Filanovsky Chan Pak Kwong Ghovanloo, Maysam Robert Sobot Bogdan Staszewski Ayman Fayed Tong Ge Thierry Taris Gordon Roberts Wouter Serdjin Mohamad Sawan Shahriar Mirabbasi Temes, Gabor Demosthenous, Andreas Paul Sotiriadis Joao Goes Shanthi Pavan Luis Oliveira Schmid Hanspeter Luis Hernandez Jose M. de la Rosa Tony Chan Carusone Nathan M. Neihart Salvatore Pennisi Sun, Yichuang George Yuan Tertulien Ndjountche Gómez García Roberto Apisak Worapishet Ioannis Syllaios

Page 5: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

5 of 35

Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

The Analog Signal Processing committee (ASPTC) of the IEEE Circuits and Systems Society focuses on the theory, analysis, design, and practical implementation of analog circuits, and the application of analog circuit theoretic techniques to system and signal processing, ranging from basic scientific theory to industrial application. Our vision is: As designers strive for better systems using less power and fewer components, CMOS scaling has changed the nature of the design. New devices require creative circuits to overcome limited dynamic range, extreme process and environmental variations, and challenges of power and thermal management. In parallel, scaling offer benefits of higher ft, lower capacitance, and dense integration, making new research directions possible. Our area of interest includes: Power Management Energy Efficient Architectures Time-Domain Processing Ultra-High-Speed Analog Signal Processing Digitally Assisted/Calibrated Analog Circuits Adaptive Analog Circuits

More information about ASPTC can be found http://ieee-cas.org/community/technical-committees/asptc

Page 6: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

6 of 35

Appendix 3: Tables pertaining to statistics for ISCAS 2016

Table I: Statistics of paper submissions to Analog Signal Processing Track Track ID Name Submission Acceptance

1.0 Analog Signal Processing 20 8

1.1 Amplifiers 20 9

1.2 Analog Filtering 6 2

1.3 Circuit Theory 10 5

1.4 Wireless Circuits 12 5

1.5 Data Converter 81 41

1.6 Interface Circuits 17 6

1.7 Regulator and References 23 13

1.8 Analog Circuits Testing and Verifications 7 5

1.9 Tools for Analog Design 12 8

1.10 Other areas in Analog Signal Processing 16 4

Total 224 106

Page 7: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

7 of 35

Table II: RCM List

Name Email

1 Luis Bica Oliveira [email protected]

2 Gert Cauwenberghs [email protected]

3 Jose M. de la Rosa [email protected]

4 Ayman Fayed [email protected]

5 Jorge R. Fernandes [email protected]

6 Igor Filanovsky [email protected]

7 Rob Fox [email protected]

8 Joao Goes [email protected]

9 Roberto Gomez-Garcia [email protected]

10 Deukhyoun Heo [email protected]

11 Luis Hernandez [email protected]

12 Jeremy Holleman [email protected]

13 Vadim Ivanov [email protected]

14 Raafat Labadibi [email protected]

15 Jin Liu [email protected]

16 Antonio López-Martín [email protected]

17 Brian Ma [email protected]

18 Stephane Meillere [email protected]

19 Ge Tong [email protected]

20 Shahriar Mirabbasi [email protected]

21 P. R. Mukund [email protected]

22 Filippo Neri [email protected]

23 Chan Pak Kwong [email protected]

24 Gaetano Palumbo [email protected]

25 Shanthi Pavan [email protected]

26 Salvatore Pennisi [email protected]

27 Wouter Serdijn [email protected]

28 Robert Sobot [email protected]

29 Bogdan Staszewski [email protected]

30 Tuna B. Tarim [email protected]

31 Thierry Taris [email protected]

32 Apisak Worapishet [email protected]

33 Jerald Yoo [email protected]

Page 8: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

8 of 35

Table III: Dedicated Review List

Name Email

1 George Yuan [email protected]

2 Deukhyoun Heo [email protected]

3 Jeremy Holleman [email protected]

4 Shahriar Mirabbasi [email protected]

5 Roberto Gomez-Garcia [email protected]

6 Rob Fox [email protected]

7 Ayman Fayed [email protected]

8 Nathan Neihart [email protected]

9 Jose M. de la Rosa [email protected]

10 Igor Filanovsky [email protected]

11 Luis Hernandez [email protected]

12 Luis Bica Oliveira [email protected]

13 Joao Goes [email protected]

14 Wouter Serdijn [email protected]

15 Apisak Worapishet [email protected]

16 Antonio López-Martín [email protected]

17 Raafat Labadibi [email protected]

18 Jerald Yoo [email protected]

19 Jin Liu [email protected]

20 Gordon Roberts [email protected]

21 Chan Pak Kwong [email protected]

22 Domenico Zito [email protected]

23 Masum Hossain [email protected]

24 Manideep Gande [email protected]

25 Yan Lu [email protected]

26 Wing Hung KI [email protected]

27 Tony Chan Carusone [email protected]

28 Herve BARTHELEMY [email protected]

29 Remy Vauché [email protected]

Page 9: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

9 of 35

Table IV: Sessions and Session Chairs

Session Name Session Code

Session Type

Paper Count Session Chairs

1 Regulators C4L-E Lecture 5 Ayman Fayed

2 Data Converters I A1L-E Lecture 5 Degang Chen

3 Interface Circuits I C2L-E Lecture 5 George Yuan

4 SAR ADCs B1L-D Lecture 5 George Yuan

5 Amplifiers I A2L-E Lecture 5 Igor Filanovsky

6 ASP Tools B2L-D Lecture 5 Joao Goes

7 Data Converters II B1L-E Lecture 5 Joao Goes

8 Analog Filters A4L-E Lecture 5 Jorge Fernandes

9 Sigma-Delta Modulators I A1L-D Lecture 5 José M. de la Rosa

10 RF Circuits A2L-D Lecture 5 Nathan Neihart

11 Frequency Synthesizers B4L-E Lecture 5 Thierry Taris

12 Analog Techniques B2L-E Lecture 5 Wouter A. Serdijn

13 Data Converters III C1L-E Lecture 5 Wouter A. Serdijn

14 Analog I A3P-P Poster 13 Igor Filanovsky

15 Data Converters IV B3P-P Poster 17 Joao Goes

16 Analog II C3P-P Poster 11 Jorge Fernandes, Raafat Lababidi

Page 10: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

10 of 35

Appendix 4: IEEE Services

• Members of the Board of Governors of the IEEE-CASS

• Member CASS’s representative of the IEEE Life Science Technical Committee Chair of the IEEE Biomedical Award Committee

• IEEE Swiss Section Excom

• ISSCC Technical Program Committee

• Session chair, IEEE NEWCAS 2015, Grenoble, France

• Session chair, IEEE ASICON 2015, Chengdu, China

• Chair of the Spain Chapter of the IEEE Circuits and Systems Society • Activity Officer of the IEEE Circuits and Systems Society Chapter, Thailand Section • Members of the following Technical Program Committees

o IEEE Custom Integrated Circuits Conference o IEEE International Solid-State Circuits Conference o IEEE International Circuits and System Symposium o IEEE International Symposium on Quality Electronic Design o IEEE international test Conference o ESSCIRC 2016 o IEEE Sensory Systems o IEEEE Analog Signal Processing o IEEE Power System and Power Electronic Circuit o IEEE TAB Society Review Committee (SRC) (2016-2017) o IEEE TAB Management Committee (2016-2017) o IEEE Canadian Conference on Electrical and Computer Engineering o IEEE International Conference on Electronics Circuits and Systems o IEEE European Public Policy Initiative Working Group on ICT o IEEE Electric Transportation Initiative

• IEEE Teaching Award Committee • IEEE CASS Editor-in-Chief Selection Committee • Steering Committee, IEEE Midwest Symposium on Circuits and Systems • Joint Chapter Chair, IEEE Vancouver Section • Vice President of Publications, IEEE Council on Radio Frequency Identification (CRFID)

Page 11: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

11 of 35

Appendix 5: List of Invited Talks

[1] “Optimization of Low Power RF Building Blocks with the Inversion Coeffecient”, T. Taris, A. Masnadi Shiraz, S. Mirabbasi, IEEE Asia Pacific Micro-Wave Conference (APMC2015), Nanjing, Chine, Dec. 2015

[2] T. Taris, Ecole Polytechnique Fédérale de Lausanne (EPFL), RF energy Harvesting, Neuchatel, Switzerland.

[3] T. Taris, H. Kraimia, A. Masnadi Shiraz, S. Mirabbasi, “Low Power Techniques for Rx RF Front End”, IEEE International Conference on Ultra Wide band and Broadband Communications (ICUWB), Montreal, Canada, Oct. 2015, pp. 1-5.

[4] R. Berges, L. Fadel, L. Oyhenart, V. Vigneras,T. Taris, “A dual Band RF Energy Harvester”, IEEE European Microwave Conference (EuMC 2015), Paris, France, Sep. 2015, pp. 307-310, M. De Souza, A. Mariano, T. Taris, “Inductorless Low Power Wideband LNA in 130nm CMOS”, IEEE Northeast Workshop on Circuits and Systems (NEWCAS2015), Grenoble, France, June 2015, pp. 1-4.

[5] R. B. Staszewski, “All-Digital PLL Architecture and Implementation; Digitally Controlled Oscillator (DCO); Time-to-Digital Converter (TDC);” MEAD Microelectronics 3 classes (4.5-hr) on “Practical PLL Design for Frequency Synthesis and Clocking”, presented at Ecole Polytechnique Federale de Lausanne (EPFL), Lausanne, Switzerland, 22–23 June 2016. (scheduled)

[6] R. B. Staszewski, “Patents ? The Good, the Bad and the Ugly,” Panel presentation and discussion (1-hr) at IEEE Radio Frequency Integrated Circuits Symp. (RFIC-2016), San Francisco, California, USA, 24 May 2016. (scheduled)

[7] R. B. Staszewski, “Designing RF Frequency Synthesizers Robust to Interference," Tutorial (45-min) presented at IEEE Radio Frequency Integrated Circuits Symp. (RFIC), Workshop WSG: “Frequency synthesizers of multi-band, multi-standard radios and Internet of Things (IoT)”, San Francisco, California, USA, 22 May 2016. (scheduled)

[8] R. B. Staszewski, “New Time-Domain Paradigm of RF Design: Personal Journey,” Seminar (1-hr) presented at Confucius Institute at University College Dublin (UCD), Dublin, Ireland, 25 Feb. 2016.

[9] R. B. Staszewski, “All-Digital PLL Architecture and Implementation; Digitally Controlled Oscillator (DCO); Time-to-Digital Converter (TDC);” MEAD Microelectronics 3 classes (4.5-hr) on “PLLs : Advanced Techniques”, presented at Ecole Polytechnique Federale de Lausanne (EPFL), Lausanne, Switzerland, 25 June 2015.

[10] R. B. Staszewski, “Low Power RF Generation," Tutorial (45-mins) presented at IEEE Radio Frequency Integrated Circuits Symp. (RFIC), Workshop WMC: “Micro and Nanowatt Smart RF Transceiver ICs for Internet of Things”, Phoenix, Arizona, USA, 18 May 2015.

[11] R. B. Staszewski, “Switched-Mode Power Amplifiers and RF DACs," Tutorial (1-hr) presented at IEEE Radio Frequency Integrated Circuits Symp. (RFIC), Workshop WSE: “Mixed-Signal Power Amplifiers and RF-DACs”, Phoenix, Arizona, USA, 17 May 2015.

[12] L. de Vreede, M. Alavi, and R. B. Staszewski, “Next Generation Basestation Transmitters / RFDACs," Tutorial (1-hr) presented at IEEE Radio Frequency Integrated Circuits Symp. (RFIC), Workshop WSE: “Mixed-Signal Power Amplifiers and RF-DACs”, Phoenix, Arizona, USA, 17 May 2015.

[13] C.-C. Li, T.-H. Tsai, M.-S. Yuan, C.-C. Liao, C.-H. Chang, T.-C. Huang, H.-Y. Liao, C.-T. Lu, H.-Y. Kuo, K. Hsieh, M. Chen, A. Ximenes, and R. B. Staszewski, “A 0.034mm, 725fs rms Jitter, 1.8%/V frequency-pushing, 10.8–19.3GHz transformer-based fractional-N all-digital PLL in 10nm FinFET CMOS,” Proc. of IEEE Symp. on VLSI Circuits (VLSI), sec. x.x, pp. xx–xx, xx June 2016, Honolulu, HI, USA. (accepted)

[14] F.-W. Kuo, S. Binsfeld Ferreira, M. Babaie, R. Chen, L.-C. Cho, C.-P. Jou, F.-L. Hsueh, G. Huang, , I. Madadi, M. Tohidian, and R. B. Staszewski, “A Bluetooth Low-Energy (BLE) transceiver with TX/RX switchable on-chip matching network, 2.75mW high-IF discrete-time receiver, and 3.6mW all-digital transmitter,” Proc. of IEEE Symp. on VLSI Circuits (VLSI), sec. x.x, pp. xx–xx, xx June 2016, Honolulu, HI, USA.

[15] Z. Hu, L.C.N. de Vreede, M. S. Alavi, D. A. Cavillo-Cortes, R. B. Staszewski, and S. He, “A 5.9GHz RFDAC-based outphasing power amplifier in 40-nm CMOS with 49.2% efficiency and 22.2dBm power ,” Proc. of IEEE Radio Frequency Integrated Circuits (RFIC) Symp., sec. RMO3D-3, pp. xx–xx, 23 May 2016, San Francisco, CA, USA.

Page 12: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

12 of 35

[16] F.-W. Kuo, M. Babaie, R. Chen, K. Yen, J.-Y. Chien, L. Cho, F. Kuo, C.-P. Jou, F.-L. Hsueh, and R. B. Staszewski, “A fully integrated 28nm Bluetooth low-energy transmitter with 36% system efficiency at 3dBm,” Proc. of IEEE European Solid-State Circuits Conf. (ESSCIRC), sec. C2L-B, pp. 356–359, 17 Sept. 2015, Graz, Austria. DOI: 10.1109/ESSCIRC.2015.7313901.

[17] P. Chen, X. Huang, Y.-H. Liu, M. Ding, C. Zhou, A. Ba, K. Philips, H. de Groot, and R. B. Staszewski, “Design and built-in characterization of digital-to-time converters for ultra-low power ADPLLs,” Proc. of IEEE European Solid-State Circuits Conf. (ESSCIRC), sec. B5L-A, pp. 283–286, 16 Sept. 2015, Graz, Austria. DOI: 10.1109/ESSCIRC.2015.7313882.

[18] I. Madadi, M. Tohidian, K. Cornelissens, P. Vandenameele, and R. B. Staszewski, “A TDD/FDD SAW-less superheterodyne receiver with blocker-resilient band-pass filter and multi-stage HR in 28nm CMOS,” Proc. of IEEE Symp. on VLSI Circuits (VLSI), sec. 22.4, pp. C08–C09, 19 June 2015, Kyoto, Japan. DOI: 10.1109/VLSIC.2015.7231302.

[19] P. Chen, X.-C. Huang, and R. B. Staszewski, “Fractional spur suppression in all-digital phase-locked loops,” Proc. of IEEE Intl. Symp. on Circuits and Systems (ISCAS), paper 2597, sec. C4L-B, pp. 2565–2568, 27 May 2015, Lisbon, Portugal. DOI: 10.1109/ISCAS.2015.7169209.

[20] B. Wang, Y.-H. Liu, P. Harpe, J. vd Heuvel, B. Liu, H. Gao, P. Baltus, and R. B. Staszewski, “A digital to time converter with fully digital calibration scheme for ultra-low power ADPLL in 40 nm CMOS,” Proc. of IEEE Intl. Symp. on Circuits and Systems (ISCAS), paper 1509, sec. C2P-V, pp. 2289–2292, 27 May 2015, Lisbon, Portugal. DOI: 10.1109/ISCAS.2015.7169140.

[21] T. Ge, “Development Kit for a Fully-Printed All-Air Low-Temperature Printed Electronics Process” Emerging Technologies: Communications, Microsystems, Optoelectronics, Sensors, Montreal, May 2016

[22] “Recent Results in Design and Testing of High Performance Analog and Mixed-Signal Circuits,” Texas Instruments India, Bangalore, Jan. 2016.

[23] “Recent Results in Design and Testing of High Performance Analog and Mixed-Signal Circuits,” Xilinx, San Jose, CA, July 2015.

[24] “Recent Results in Design and Testing of High Performance Analog and Mixed-Signal Circuits,” IBM Watson Center, Hope Junction, NY, July 2015.

[25] “Recent Results in Design and Testing of High Performance Analog and Mixed-Signal Circuits,” Globalfoundries, Burlington, VT, July 2015.

[26] Keynote speech on “A Wearable Brain-Tongue-Computer Interface to Improve Quality of Life for People with Physical Disabilities,” Symposium on Engineering, Medicine, and Biology Applications (SEMBA’16), Taipei, Taiwan, Jan. 24, 2016.

[27] “Implantable and Wearable Microelectronic Devices to Improve Quality of Life for People with Disabilities,” 7th Integrated Circuit Design Summer School and IEEE CAS Distinguished Lecture Program, University of Concepcion, Concepcion, Chile, Jan. 11, 2016.

[28] “Implantable and Wearable Microelectronic Devices to Improve Quality of Life for People with Disabilities,” IEEE CAS Distinguished Lecture Program, University of Tehran, Tehran, Iran, Dec. 28, 2015.

[29] “Implantable and Wearable Microelectronic Devices to Improve Quality of Life for People with Disabilities,” IEEE CAS Distinguished Lecture Program, Khaje Nasir Toosi University, Tehran, Iran, Dec. 27, 2015.

[30] “Implantable and Wearable Microelectronic Devices to Improve Quality of Life for People with Disabilities,” IEEE CAS Distinguished Lecture Program, Sharif University of Technology, Tehran, Iran, Dec. 26, 2015.

[31] “Implantable and Wearable Microelectronic Devices to Improve Quality of Life for People with Disabilities,” IEEE CAS Distinguished Lecture Program, University of Posts and Telecommunications, Xi’an, China, Dec. 21, 2015.

[32] “Implantable and Wearable Microelectronic Devices to Improve Quality of Life for People with Disabilities,” IEEE CAS Distinguished Lecture Program, Tsinghua University, Beijing, China, Dec. 17, 2015.

[33] “Implantable and Wearable Microelectronic Devices to Improve Quality of Life for People with Disabilities,” IEEE CAS Distinguished Lecture Program, Shanghai Jiao-Tong University, Shanghai, China, Dec. 16, 2015.

Page 13: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

13 of 35

[34] “Implantable and Wearable Microelectronic Devices to Improve Quality of Life for People with Disabilities,” IEEE CAS Distinguished Lecture Program, Fudan University, Shanghai, China, Dec. 15, 2015.

[35] “Efficient Power and Wideband Data Transmission in Near Field,” 2nd Workshop on RF and Microwave Technologies and IEEE CAS Distinguished Lecture Program, Federal University of Santa Catarina, Florianopolis, Brazil, Nov. 27, 2015.

[36] “Implantable and Wearable Microelectronic Devices to Improve Quality of Life for People with Disabilities,” IEEE CAS Distinguished Lecture Program, University of Sao Paolo, Sao Paolo, Brazil, Nov. 25, 2015.

[37] “Implantable and Wearable Microelectronic Devices to Improve Quality of Life for People with Disabilities,” IEEE CAS Distinguished Lecture Program, University of British Columbia, Vancouver, British Columbia, Canada, Oct. 30, 2015.

[38] A. Jafari, N. Buswell, A. Page, T. Mohsenin, M.N. Sahadat, and M. Ghovanloo “Live Demonstration: Towards an Ultra Low Power On-board Processor for Tongue Drive System,” IEEE Biomedical Circuits and Systems Conference, pp. 193, Oct. 22, 2015.

[39] M.N. Sahadat, Z. Zhang, A. Alreja, P. Srikrishnan, S. Ostadabbas, N. Sebkhi, and M. Ghovanloo, “Live Demonstration: A Tongue-Operated Multimodal Human Computer Interface and Robotic Rehabilitation System,” IEEE Biomedical Circuits and Systems Conference (BioCAS’15), pp. 172, Oct. 22, 2015.

[40] Y. Jia, Z. Wang, S.A. Mirbozorgi, and M. Ghovanloo, “Live Demonstration: A Smart Homecage System with Behavior Analysis and Closed-Loop Optogenetic Stimulation Capabilities,” IEEE Biomedical Circuits and Systems Conference (BioCAS’15), pp. 192, Oct. 22, 2015. [Best Live Demo]

[41] “The Tongue, A New Human Computer Interface,” ENVISION Program (Leadership-Scholarship-Career), Georgia Institute of Technology, Atlanta, GA, July 2015.

[42] Invited talk on “Brain-Tongue-Computer Interfacing,” NeuroHAM – Neural Processing in Humans, Animals, and Machines, Boston, MA, June 12, 2015.

[43] Invited talk on “Implantable and Wearable Microelectronic Devices to Improve Quality of Life for People with Disabilities” Department of Electrical and Computer Engineering, University of Utah, Salt Lake City, UT, Jan. 25, 2015.

[44] Wouter A. Serdijn: Getting better with electricity: electronic medicine to the rescue, invited talk, Univ. Oxford, United Kingdom, 20 April 2016

[45] Wouter A. Serdijn: Getting better with electroceuticals: implantable and injectable electronics to the rescue, invited talk, Univ. Oslo, Norway, 18 February 2016

[46] Wouter A. Serdijn: Getting better with electroceuticals: implantable and injectable electronics to the rescue, keynote presentation, 4th International Symposium on Bioelectronics and Bioinformatics (ISBB 2015), China, Beijing, 14 - 17 October 2015

[47] Wouter A. Serdijn: Beter worden met elektriciteit, invited talk, Bataafsch Genootschap, Rotterdam, October 5, 2015

[48] Wouter A. Serdijn: Circuits and Systems for Electroceuticals, invited talk, 47th annual meeting of the Associazione Gruppo Italiano di Elettronica (GE Association), Siena, Italy, June 25, 2015

[49] Wouter A. Serdijn: Power-Efficient Neural Stimulator Circuits, invited talk, John Choma Commemorative Sessions at ISCAS 2015, Lisbon, Portugal, May 25

[50] G. W. Roberts, “Analog/Mixed-Signal Test Technology and Its Impact on Semiconductor System Design,” Invited for keynote presentation at the 2015 Texas Analog Center of Excellence Symposium, Dallas, Texas, 19th Oct. 2015.

[51] G. W. Roberts, “Analog IPs in a commoditized and fragmented market: Challenge or Opportunity?,” IEEE International Workshop on Test and Validation of High Speed Analog Circuits (Panel Discussion), Anaheim, California, Oct. 9, 2015.

[52] G. W. Roberts, “Two Decades of Mixed-Signal Test – looking back and one decade ahead,” Invited for keynote presentation at the IEEE International Mixed-Signal Test Workshop, Paris, France, 24th June 2015.

[53] G. W. Roberts, “Quick and Easy CMOS Amplifier Design And Optimization,” Proceedings of the 22nd IEEE European Conference on Circuit Theory and Design, Trondheim, Norway, August 2015.

Page 14: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

14 of 35

[54] Y. Li, S. Bielby, A. Chowdhury and G. W. Roberts, “Edge Placement Signal Generation Techniques For Time-Based Signaling,” Proceedings of the IEEE International Mixed-Signal Test Workshop, Paris, France, June 2015.

[55] M. Mahani and G. W. Roberts, “A Sub-THz Folded Substrate Integrated Waveguide in IBM 130nm CMOS Process,” Proceedings of the IEEE 8th Global Symposium on Millimeter-Waves (GSMM), Montreal, Canada, May 25-27, 2015.

[56] O. Abdelfattah, G. W. Roberts I. Shih and Y-C. Shih, “A 0.6 V-Supply Bandgap Reference in 65 nm CMOS,” Proceedings of the 2015 IEEE 13th International NEWCAS Conference, Grenoble, France, June 2015.

[57] S. Ziabakhsh, G. Gagnon and G. W. Roberts, “Wide-range linear voltage-controlled delay for time-mode signal processing,” Proceedings of the IEEE International Circuits and Systems Conference, Lisbon, Portugal, May 2015.

[58] S. Bielby and G. W. Roberts, “An Embedded Probabilistic Extraction Unit For On-Chip Jitter Measurements,” Proceedings of the IEEE International Circuits and Systems Conference, Lisbon, Portugal, May 2015.

[59] O. Abdelfattah, G. W. Roberts I. Shih and Y-C. Shih, “A 0.35-V Bulk-Driven Self-Biased OTA with Rail-to-Rail Input Range in 65 nm CMOS,” Proceedings of the IEEE International Circuits and Systems Conference, Lisbon, Portugal, May 2015.

[60] M. S. Hai, M. M. P. Fard , D. An, F. Gambini, S. Faralli, G. B. Preve, G. W. Roberts and O. Liboiron-Ladouceur, “Automated Characterization of SiP MZI-based Switches,” Proceedings of the IEEE Photonics Society Optical Interconnects Conference, San Diego, California, April 2015.

[61] O. Abdelfattah, G. W. Roberts I. Shih and Y-C. Shih, “A 0.55-V 1-GHz Frequency Synthesizer PLL for Ultra-Low-Voltage Ultra-Low-Power Applications,” Proceedings of the 6th IEEE Latin American Symposium on Circuits and Systems (LASCAS), Montevideo, Uruguay, February 2015.

[62] A. Gordon, G. W. Roberts and C. Fayomi, “NRC OFET Print Technology As Seen From A Circuit Designer’s Perspective, ” Presented at the 2015 Canadian Printable Electronics Symposium (CPES2015), Montreal, Canada, April 21-22, 2015.

[63] S. Ziabakhsh, G. Gagnon and G. W. Roberts, “New Time-Mode Signal Processing Circuits for Low-Voltage CMOS,” Proceedings of the Microsystems Strategic Alliance of Quebec (ReSMiQ) Annual Review Workshop, Montreal, May 2015.

[64] A. Gordon, C. Fayomi and G. W. Roberts, “Low-Cost Trimmable Manufacturing Methods for Printable Electronics,” Accepted for presentation at the 2016 IEEE International Circuits and Systems Conference, Montreal, Canada, May 2016. Worked performed in 2015.

[65] Y. Li and G. W. Roberts, “Design of High-Order Type-II Delay-Locked Loops Using A Gaussian Transfer Function Approach,” accepted for presentation at the 2016 IEEE International Circuits and Systems Conference, Montreal, Canada, May 2016. Worked performed in 2015.

[66] Xiaojin Fu and He Tang, “A novel power optimization mechanism for pipelined ADCs,” IEEE ASICON.

[67] “A Low-Power µg-Sensing Accelerometer ASIC” Hong Kong University of Science and Technology, 30 July 2015

[68] “Efficiency-oriented smart energy management strategies for domestic energy storage systems integrated with EVs charging”, 2015 AutoRenew 2015, 28 – 30 September 2015, EUREF Campus, Berlin, Germany

[69] P. Sotiriadis, “All Digital Frequency Synthesis Based on New Sigma-Delta Modulation Architectures”, IEEE Int. Frequency Control Symposium 2015

Page 15: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

15 of 35

Appendix 6: Relevant Media Reports and Press

[1] Joseph Chang & Tong Ge, “NTU Amps Up Mobile Sound Quality”, an official press release from Bruel & Kjaer UK, https://www.newswire.com/news/ntu-amps-up-mobile-sound-quality-10606287

[2] Joseph Chang & Tong Ge, NTU Chip set to delight music buffs, The Strait times, 3 Aug 2015, Page

B1 [3] Wouter Serdjin, Cyborghs veroveren Nederland: Item (in Dutch) on Telegraaf TV, d. Nov. 22, 2015,

on implantables. In there Wouter Serdijn shows a glimpse of the implantables of the future. [4] Wouter Serdjin, BNR Nieuwsradio Eyeopeners: On July 6, 2015, BNR Nieuwsradio made an item

(in Dutch) about microchips for the treatment of tinnitus and other brain disorders in its technology program Eye openers.

[5] Wouter Serdjin, Nerd 101: de 101 interessantste technologen, uitvinders en knutselaars van

Nederland: On June 10, 2015, an item about me and my work appeared in Vrij Nederland. Together with 10 other nerds I ended No. 12 in VN's Nerd 101, the shortlist of the 101 most interesting technologists, inventors and botchers of the Netherlands.

[6] “100 Italian Energy Stories" report prepared by Enel and Symbola Foundation that describes the

champions and the achievements of the Italian industry in the field of energy, presented at COP21, Paris

[7] 1st place in Microsoft’s National competition

Page 16: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

16 of 35

Appendix 7: Organization of Meetings/Conferences

• Conference General Chair/General Co-Chair

o I.M. Filanovsky IEEE International NEWCAS Conference, 2016

o Shahriar Mirabbasi IEEE Canadian Conference on Electrical and Computer Engineering (CCECE) 2016

o Tony Chan Carusone ISCAS’2016 (Montreal, Canada)

o IEEE BioCAS 2016, Shanghai, China o IEEE BioCAS 2015, Atlanta, Georgia, USA o CCECE’ 2016 (Vancouver, Canada) o “AutoRenew: Battery Technologies and Energy Storage Solutions”, 28 – 30 September 2015,

EUREF Campus, Berlin, Germany

• Conference Steering Committee o Tony Chan Carusone

the IEEE-ISCAS conference o I.M. Filanovsky

the IEEE-MWSCAS conference

• Conference Organization o “Trends in CMOS RF ICs,” Workshop WW02 (8-hr, 8 speakers) organized at IEEE European

Microwave Conference (EuMIC), London, UK, 05 Oct. 2016. o “Micro and Nanowatt Smart RF Transceiver ICs for Internet of Things,” Workshop WMC (4-hr, 5

speakers) organized at IEEE Radio Frequency Integrated Circuits Symp. (RFIC), Phoenix, Arizona, USA, 18 May 2015.

o “Mixed-Signal Power Amplifiers and RF-DACs,” Workshop WSE (8-hr, 8 speakers) organized at IEEE Radio Frequency Integrated Circuits Symp. (RFIC), Phoenix, Arizona, USA, 17 May 2015.

Page 17: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

17 of 35

Appendix 8: Editorial Services

• Editor-in-Chief o Demosthenous, Andreas

IEEE TCAS-I o Apisak Worapishet

ECTI Transactions of Electrical Engineering, Electronics, and Communications, by ECTI Association, Thailand

o Mohamad Sawan IEEE Transactions on Biomedical Engineering

• Senior Editors o Joseph Chang

IEEE Journal on Emerging and Selected Topics in Circuits and Systems

• Co Editor o T. Taris

Special Issue – IJMWT • Guest Editors

o IEEE, Design & Test of Computers, 2014 o Special Issue of IEEE TCAS-I on the 2014 Custom Integrated Circuits Conference (CICC) o Special Issue of the IEEE Journal on Emerging and Selected Topics in Circuits and Systems

(JETCAS) on Next-Generation Delta-Sigma Converters o Special Issue of the IEEE Journal on Emerging and Selected Topics in Circuits and Systems

(JETCAS) on Organic Electronics: A Circuits and Systems Perspective o IEEE Design & Test of Computers, Vol. 32, No. 1, pp. 6-8, Feb. 2015 o

• Associate Editors o José M. de la Rosa

IEEE Transactions on Circuits and Systems I (TCAS_I) o Shahriar Mirabbasi, Tarim Tuna B.

IEEE Transactions on Circuits and Systems II (TCAS_II) o Demosthenous, Andreas

IEEE Transactions on Biomedical Circuits and Systems o IEEE Journal of Emerging and Selected Topics on CAS o I.M. Filanovsky

The International Journal of Circuit Theory and Applications o IEEE Sensors Journal o Frontiers in Neuromorphic Engineering o Journal of Low Power Electronics and Applications Circuits and Systems o Tony Chan Carusone

Journal of Solid-State Circuits

Page 18: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

18 of 35

Appendix 9: Other Professional Services

• Director of the Microsystems Strategic Alliance of Quebec • Invited Senior Researcher at the University of British Columbia, Vancouver, Canada, for 8 months • Member of the scientific team of the exhibition "Light within glass" organized in Venice on the occasion

of the International Year of Light 2015 from February 8th to April 19th.

Page 19: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

19 of 35

Appendix 10: Awards and Honors

• Joseph Chang

Outstanding Prize, IEEE Circuits and Systems Live Demo Competition, Nov 2015

• Joseph Chang Silver Prize, Nanyang Research Programme (High School Programme)

• Maysam Ghovanloo Selected as an IEEE Circuits and Systems Society Distinguished Lecturer for 2015-2016. On topics: "Implantable and Wearable Microelectronic Devices to Improve Quality of Life for People with Disabilities" and "Efficient Power and Wideband Data Transmission in Near Field." Silver Award in the 11th Samsung Electro-Mechanics Best Paper Awards, Byunghun Lee, Pyungwoo Yeon, and Maysam Ghovanloo for paper titled “A Multi-Cycle Q-Modulation Technique for Wirelessly-Powered Biomedical Implants.” Best Demonstration Award in 2015 IEEE Biomedical Circuits and Systems Conference, “A Smart Homecage System with Behavior Analysis and Closed-Loop Optogenetic Stimulation Capabilities,” Yaoyao Jia, Zheyuan Wang, Abdollah Mirbozorgi, and Maysam Ghovanloo

• Wouter Serdijn IEEE Circuits and Systems Society Meritorious Service Award, 2016

• Robert Staszewski Best Lecturer Award in Electrical Engineering, Mathematics and Computer Science from Delft University of Technology, 2015

• I.M. Filanovsky Outstanding Service Contribution Award, Philadelphia Section, Institute of Electrical and Electronic Engineers, 2015.

• Gordon W. Roberts Seven Year Renewal, James McGill Professor of Electrical & Computer Engineering, 2015-2022. Education Award, bourse d’enseignment en genie, Ministére do l’Éducation, du Loisir et du Sport, Quebec Government. 2015.

• Sun, Yichuang Academic and Technical Leaders (Reserve Talents) in Sichuan Province, China

• Roberto Gómez-García Recipient of the “2016 IEEE MTT-S Outstanding Young Engineer Award'' (citation reads: “For outstanding early career contributions to the microwave profession”). Recipient of “Excellent Demo Track Presentation” award for an exemplary demonstration of: “Real-time Human Tracking Based on ISAR Imaging with Portable Radar Systems,” in 2016 IEEE Radio Wireless Symp., Austin, TX, USA, Jan. 24-27, 2016.

• Shahriar Mirabbasi Co-recipient of a best paper award (third place), IEEE Radio-Frequency Integrated Circuits (RFIC) Symposium

• Ioannis Syllaios Promoted to Sr. Staff R&D Engineer (Broadcom Ltd)

Page 20: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

20 of 35

Appendix 11: Patents

[1] J. S. Chang, C.T. Lee and T. Ge, “A Communications Device,” China National Application

201280025067.X, 2015

[2] J. S. Chang, T. Ge, and L. Guo, “A Class D Amplifier Circuit for a Parametric Transducer and a related Audio Device,” PCT/SG2015/050282, Aug 2015

[3] J. S. Chang, T. Ge, and L. Guo, “A Novel Low-Power High-Efficiency 3-State Filterless Bang-Bang Class D Amplifier,” US Provisional Patent 62/262,645, Dec 2015

[4] J. S. Chang, T. Ge, and L. Guo, “A dead time circuit for a Switching Circuit and a Switching Amplifier,” Taiwan Patent Application 104110799, Apr 2015

[5] J. S. Chang, T. Ge, and L. Guo, “A dead time circuit for a Switching Circuit and a Switching Amplifier,” PCT/SG2015/050061, Apr 2015.

[6] J. S. Chang, T. Ge, C. L. Lee, and C. M. Chang, “Packaging for Internet-of-Things Objects and Detection of Change,” Singapore Provisional Patent, 10201500192Q, Jan 2015.

[7] J.S. Chang, G.S. Lim, L. Zhang, Z.P Wang, W. Ru, S.T. Wong and K. Cui, "Lab-In-A-Needle" Device For Point-Of-Care Testing, And A Method Of Using Microfluidic Nucleic Acid Testing For Tissue Assessment, US Provisional Patent No. 62/133,014, 13 March 2015

[8] J.S. Chang, W. Shu and J.Z. Jiang, “Detection and Protection Circuits For Single-Event-Latchup” PCT/SG2015/050452, 17 Nov 2015.

[9] J.S. Chang, W. Shu and J.Z. Jiang, A Radiation Hardened Full CMOS Voltage Reference, PCT, 23 July 2015

[10] J.S. Chang, Gwee B.H., Chong K.S., Sense-Amplifier Quasi-Delay-Insensitive Asynchronous-Logic, US Patent No. 8,994,406, 31 Mar 2015

[11] I.L. Syllaios, H.T. Jensen, "Digital PLL with Hybrid Phase/Frequency Detector and Digital Noise Cancellation", USPTO, 2014/0354336 A1

[12] I.L. Syllaios, H.T. Jensen, "Digital Phase Locked Loop with Hybrid Delta-Sigma Phase/Frequency Detector", USPTO, 2014/0354335 A1

[13] Yu, Zhongjun, and Degang Chen. "System and methodology for analog-to-digital converter linearity testing." U.S. Patent No. 9,184,759, issued November 15, 2015.

[14] Yu, Zhongjun, and Degang Chen. "System and methodology for analog-to-digital converter linearity testing." U.S. Patent 8,947,276, issued February 3, 2015.

[15] M. Ghovanloo, “Systems and Methods for Multichannel Wireless Implantable Neural Recording,” US patent 8,958,868, Applied: May 18, 2009, Granted: Feb. 17, 2015

[16] G. W. Roberts and M. Ali Bakhshian, “Digital Storage, Addition and Subtraction of Time-Mode Variables,” US Patent #8,933,742 Filed: on May 10, 2011, Granted: Jan. 13, 2015.

[17] O. Abdelfattah, G. Roberts and I. Shih, US Provisional Patent No. 62/165394 file on May 22, 2015 entitled “Method and Systems for Enhancing Circuits.”

[18] EU Patent n° EP2709202 B1 “System and method for the measurement and prediction of the charging efficiency of accumulators”, Inventor(s): Gregorio Cappuccino; Francesco A. Amoroso; Applicant(s): CALBATT

Page 21: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

21 of 35

Appendix 12: Interesting Work

[1] I.M. Filanovsky, “On one property of a transfer unction subjected to the band-pass transformation”, IEEE International Symposium on Circuits and Systems (ISCAS’2016), 2016.

The work formulated a theorem involving a band-pass transformation. Yet, this theorem started the investigation of realization conditions for symmetric band-pass filters. It is shown that the band-pass transformation may be applied not only to the well-known filters but to a wider class of realizable transfer functions.

[2] J Chang and T. Ge, “We have developed a Fully-Additive, All-Air, Low-Temperature printing

process for printed electronics. This process features very low process variations (in the perspective of printed electronics) of 4.5% - the lowest process variations amongst all Fully-Additive printing processes”

This process is also one of the very few Fully-Additive printing processes that can print complex circuits and systems.

[3] A.H. Masnadi Shirazi, A. Nikpaik, R. Molavi, S. Lightbody, H. Djahanshahi, M. Taghivand, S.

Mirabbasi, and S. Shekhar, “On the Design of mm-Wave Self-Mixing-VCO Architecture for High Tuning-Range and Low Phase Noise,” to appear in IEEE Journal of Solid-State Circuits Frequency synthesis at mm-wave range suffers from a severe tradeoff between phase noise (PN) and frequency tuning range (FTR).

This work presents the analysis and compares the performance of fundamental-mode voltage-controlled oscillators (F-VCOs) to harmonic-mode VCOs (H-VCOs). It is shown that unlike a mm-wave F-VCO, an H-VCO can simultaneously achieve higher FTR and lower PN.

[4] G. W. Roberts, Development of time-mode circuits for analog signal processing using digital circuits. [5] Y.M. Wang, P. K. Chan, Holden K. H. Li and S. E. Ong “A Low-Power Highly-Sensitive Capacitive

Accelerometer IC using Auto-Zero Time-Multiplexed Differential Technique”, IEEE Sensors Journal, vol. 15, no. 11, pp. 6179 – 6191, Nov. 2015.

The article shows the design of readout IC dedicated to capacitive accelerometers. Due to very simple architecture together with noise-power optimization, it can attain very low noise with low power performance metrics that are useful for highly-sensitive applications. The experimental results have demonstrated the excellent performance

Page 22: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

22 of 35

Appendix 13: Open Problems and Emerging Research

• 200-word contribution by ASPTC to CASS book, 2016, Joseph Chang Analog (and Mixed-Signal) circuits and systems, sometimes deemed as ‘classical’ and ‘traditional’ circuits and systems, in reality remain as pertinent and emerging as ever in the 21st century and beyond – congruous to the pertinence of the Analog Signal Processing Technical Committee to the global scientific and engineering community-at-large. The emerging topics, certainly not exhaustive, include the following. The extension of analog circuits to power management now includes energy harvesting for the ever-greening and carbon-neutrality of electronics, including to the Internet-of-Things for extended battery life. Analog circuits can further facilitate carbon-neutrality with analog computation in conjunction with digital means such by neuromorphic computing. With increasing number of computation cores in many complex microprocessors where the wiring between said cores is increasingly a bottleneck, analog radio frequency ‘wireless network on chip’ could offer a viable alternative. Further on radio frequency where much of the radio frequency spectrum is already allocated and crowded, analog radio frequency designs are reaching to the tens of gigahertz range and into the terahertz, and mm-wave. The terahertz range offers novel exciting applications once envisioned only in science fiction. To realize the full frequency-spectrum range ranging from DC to the terahertz, emerging analog circuits will exploit non-traditional semiconductors/materials, including carbon nano tube/graphene and III/V semiconductors, and including new integration 2.5D, 3D and even ‘4D’ that embodies integrated III/V-on-CMOS. Emerging analog circuits are also realized on flexible substrates such as PET plastic films in the form of printed/organic (large-area printed) electronics, either printed-only or as hybrid electronics embodying printed electronics and classical semiconductors. Such mechanical flexibility offers a flexible form factor where electronics can be molded and bent to fit on/into uneven surfaces and odd-shaped enclosures, including the human skin, clothes, etc. Beyond earth into the extra-terrestrial, the emerging ‘space-tronics’ where most satellites are expected to be not only subminiature pico-satellites but swarms of satellites, analog circuits will be increasing designed by means of radiation hardening by design, ultra power-efficient such as subthreshold operation, and based on commercial nano-scaled CMOS.

• comments from I.M. Filanovsky 1. The design of circuits operating in moderate inversion (and weak inversion as well) becomes more

and more important. Yet, even the convenient definition of moderate inversion does not exist. The design of circuits operating in moderate inversion goes in the indirect way using inversion coefficients. Yet, it is possible to find more convenient ways for description of this region of operation. The author of this proposal made the first steps in this direction, yet the work just started and the completion requires the efforts of many researches.

2. Using of positive feedback becomes more and more widespread. Yet the circuits with such sometimes hidden feedback have the so-called potentially unstable operating points (another name used in literature for them is “Trojan states”. A systematic tests for discovery of these points does not exist. The author of this proposal tried to use for this purpose the dynamic root locus. Yet, the work just started and the completion requires the efforts of many researches.

• comments from Shahriar Mirabbasi

Sub-THz and THz CMOS integrated circuits (applications include medical imaging and high-speed communication) Wireless network on chip; Wireless energy harvesting; Tactile and flexible circuits and interfaces

• comments from Gordon W. Roberts

Design and Test of electronic circuits printed on a flexible substrate. RF Sampling AD/DA converters for 5G communication; ultra-low power ICs for IoTs.

Page 23: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

23 of 35

Appendix 14: ASPTC Vision and Mission Statements

• comments from Tang He Anything related with analog signal processing relates with ASPTC

• comments from Chan Pak Kwong The goals of ASPTC are to support CAS Society by organizing analog signal-processing activities,

promoting relevant events and disseminating information of interest to CAS Society members. • comments from Robert Sobot

Again, I can not see much beyond my field, where the research related to the analog signal processing is now assumed to include vital signs generated by living beings, and stronger connections with the biomedical field.

• comments from José M. de la Rosa

Among other actions, ASPTC should increase its visibility and presence in IEEE CASS (and other IEEE forums), by taking advantage of the huge know-how accumulated by their members, in terms of both quality and quantity. A direct way to implement this mission is to organize workshops and/or short courses, for instance a summer school, or a parallel workshop in parallel with a big IEEE-CASS conference, may be the regional conferences (ICECS, MWSCAS, APCCAS, etc..) We should take advantage of the quality of our committee to apply for project proposals together, by forming strong project consortiums made up of partners from different countries.

• comments from Alyssa B. Apsel

One problem that I have with CAS, is that we have no clear definition of our role in the circuits community. We should not just aim to be another venue for publishing circuits, otherwise we wind up publishing a lot of second rate circuit designs. I would like to see CAS become a leader in the emerging role of systems and signal processing understanding in building truly efficient and useful circuits.

• comments from Ayman Fayed

ASPTC’s vision is to advance the field of analog signal processing and analog circuit design through enabling and encouraging the dissemination of transformative ideas and techniques that go beyond the conventional methods of analog design.

• comments from Shahriar Mirabbasi I think our current statements are great (I have included them here). We may want to mention that we are interested in looking into alternative (non-conventional and non-mainstream) technologies in addition to CMOS. As designers strive for better systems using less power and fewer components, CMOS scaling has changed the nature of the design. New devices require creative circuits to overcome limited dynamic range, extreme process and environmental variations, and challenges of power and thermal management. In parallel, scaling offer benefits of higher ft, lower capacitance, and dense integration, making new research directions possible. Our area of interest includes: • Power Management • Energy Efficient Architectures • Time-Domain Processing • Ultra-High-Speed Analog Signal Processing • Digitally Assisted/Calibrated Analog Circuits • Adaptive Analog Circuits. As mentioned above, I believe we already have nice vision and mission statements. We may want to mention that we are interested in looking into alternative (non-conventional and non-mainstream) technologies in addition to CMOS.

Page 24: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

24 of 35

Appendix 15: Others/Suggestions

Professor Sheila Hemami, 2016 IEEE Vice President for Publication Services and Products has been spearheading efforts to offer author education tutorials and workshops at IEEE conferences. She held one in ICASSP 2015. Motivated by her initiative, we organized a workshop on "How to write better papers and more successful proposals” at IEEE International Conference on RFID (April 2016). We may want to consider offering similar workshops/tutorials in CASS sponsored conferences.

Page 25: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

25 of 35

Appendix 16: Publications

[1] J. Casaleiro, L.B. Oliveira and, I.M. Filanovsky, “A quadrature RC-oscillator with capacitive coupling”, Integration, the VLSI Journal, vol. 52, pp.260-271, Jan. 2016.

[2] J. Järvenhaara, H. Herzog, S. Sipilä, Jing Tian, I.M. Filanovsky,and N. T. Tchamov, “High Speed DC-DC Dead Time Architecture”, IEICE Electronics Express, vol. 12, no. 9, pp. 1-6, 2015.

[3] J. Casaleiro, L.B. Oliveira, and I.M. Filanovsky,”Amplitude and Quadrature Errors of Two-Integrator Oscillator”, Journal of Low-Power Electronics, vol. 11, no.3, pp. 340-348, Sept. 2015

[4] T. Taris, H. Kraïmia, D. Belot, Y. Deval “An FSK and OOK Compatible Demodulator for Wake Up receiver”, Journal of Low Power Electronics and Applications (JLPEA), Vol. 5, Issue 4, pp. 274-290, Nov. 2015 – IF: NAF. Fadhuile, T. Taris, Y. Deval, M. De Matos, D. Belot, C. Enz, “Design Methodology for Low Power RF LNA based on the Figure of Merit and the Inversion Coefficient ”, Analog Integrated Circuits and Signal Processing, springer US, March 2016, pp. 47-52 - IF:0.48G. Guitton, A. Mangla, M.-A. Chalkiadaki, F. Fadhuile, T. Taris and C. Enz, “Design of ultra low-power RF oscillators based on the inversion coefficient methodology using BSIM6 model”, International Journal of Circuit Theory and Applications, John Wiley & SonsWiley, online Library, March 2015, DOI: 10.1002/cta.2082 – IF: 1.25

[5] W. Wu, R. B. Staszewski, and J. R. Long, Millimeter-wave digitally intensive frequency generation in CMOS, Elsevier / Academic Press, 200 pages, ISBN: 978-0-12-802207-8, 24 Sept. 2015.

[6] A. Fouladi, J. Järvenhaara, I.M. Filanovsky, and N.T. Tchamov, “A Variable Battery Supply DC-DC Buck Converter Designed for 45nm-CMOS Technology”, The 29th Annual IEEE Canadian Conference on Electrical and Computer Engineering, CCECE’2016, Vancouver, May 15-18, Canada.

[7] 2. I.M. Filanovsky, J. Järvenhaara, and N.T. Tchamov,”On moderate Inversion/Saturation Regions as Approximations to “Reconciliation” Model”, The 29th Annual IEEE Canadian Conference on Electrical and Computer Engineering, CCECE’2016, Vancouver, May 15-18, Canada.

[8] 3. I.M. Filanovsky, “Property of Rational Functions Related to Band-Pass Transformation”, IEEE Symposium on Circuits and Systems, ISCAS’2016, Montreal, Canada, May 22-25).

[9] I.M. Filanovsky, L.B. Oliveira, “Using “Reconciliation” Model for Calculation of Harmonics in a MOS Transistor Stage Operating in Moderate Inversion”, IEEE Symposium on Circuits and Systems, ISCAS’2016, Montreal, Canada, May 22-25).

[10] I.M. Filanovsky, J. Jarvenhaara, and N.T. Tchamov, “DC-DC Converter Power Stage with Cascoded Transistors and Automatic Dead Time Generation”, IEEE XXX Conference on Design of Circuits and Integrated Systems, DCIS’2015, Estoril, Portugal, November 25-27.

[11] R. Akbar, I.M. Filanovsky, J.K. Jarvenhaara, and N.T. Tchamov, “Operation and Design of VHF Self-Oscillating DC-DC Converter with Integrated Transformer”, IEEE XXX Conference on Design of Circuits and Integrated Systems, DCIS’2015, Estoril, Portugal, November 25-27.

[12] I.M. Filanovsky, J. Jarvenhaara, and N.T. Tchamov, “Cascoded Power Stage with Automatic Dead Time Generation”, Proc. IEEE 58th Int. Midwest Symposium on Circuits and Systems, MWSCAS’2015, Fort Collins, Colorado, USA, Aug. 2-5, pp. 1-4.

[13] R. Akbar, I.M. Filanovsky, J.K. Jarvenhaara, and N.T. Tchamov, “An Asymmetric VHF Self-Oscillating DC-DC converter with Integrated Transformer”, Proc. IEEE 58th Int. Midwest Symposium on Circuits and Systems, MWSCAS’2015, Fort Collins, Colorado, USA, Aug. 2-5, pp. 1-4

[14] Tuna B. Tarim, “Managing Technical Professionals: But What About Your Own Career?”, IEEE Engineering Management Review, to be published in March 2016

[15] H. He, T. Ge, L. Guo, and J. S. Chang, “3-state BTL Closed-loop PWM Class D Amplifiers” Analog Integrated Circuits and Signal Processing, Accepted

[16] J. Zhou, T. Ge, E. Ng, and J. S. Chang, “Fully-Additive Low-Cost Printed Electronics with Very-Low Process Variations” IEEE Trans. Electron Devices, vol. 63, pp. 793-799, Feb 2016

[17] X. Zhang, T. Ge, and J. S. Chang, "Fully-Additive Printed Electronics: Transistor model, process variation and fundamental circuit designs," Organic Electronics: Materials, Physics, Chemistry and Applications, vol. 26, pp. 371-379, Nov 2015

[18] L. Guo, T. Ge and J. S. Chang, “A Ultra-low-power Overcurrent Protection Circuit for Micropower Class D Amplifiers” IEEE Trans. Circuits Syst. II, Exp. Briefs, Vol. 62, No. 10, pp 942-946, Oct 2015

Page 26: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

26 of 35

[19] J. Zhou, T. Ge, J. Chang, T. Lin, et al., “Fully-Additive Printed Electronics: Process Development Kit” IEEE International Symposium on Circuits and Systems, Canada, May 2016

[20] T. Ge, H. He, K. Yang, J. Zhou and J. Chang, “An Investigation of THD of a BTL Class D Amplifier”, IEEE International Symposium on Circuits and Systems, Canada, May 2016

[21] T. Ge, L Guo, Y Kang, J Zhou, H He, PJE Ng, E Fitzgerald, K.E.K. Lee and J Chang, “A Driver Circuit based on the emerging GaN-on-CMOS Process for the emerging Electroluminescent Panels” IEEE Midwest Symposium on Circuits and Systems, Aug 2015

[22] E.A. Fitzgerald, K.E. Lee, S.F. Yoon, S.J. Chua, C.S. Tan, T. Palacios, X. Zhou, J.S. Chang, D.A. Kohen, L. Zhang, K.H. Lee, Z.H. Liu, S.B. Chiah, and T. Ge, “Enabling the Integrated Circuits of the Future”

[23] 2015 IEEE International Conference on Electron Devices and Solid-State Circuits, Singapore, Jun 2015

[24] J. Zhou, T. Ge, L. Guo, et.al., “A High Power Driver IC for Electroluminescent Panel: Design Challenges and Advantages of using the Emerging LEES-SMART GaN-on-CMOS Process” International Conference on Materials for Advanced Technologies, Singapore, Jul 2015

[25] T. Ge, L. Guo, H. He et.al., “Envelope Tracking RF Power Amplifiers: Fundamentals, Design Challenges, and Unique Opportunities Offered by LEES-SMART InGaAs-on-CMOS Process” International Conference on Materials for Advanced Technologies, Singapore, Jul 2015

[26] A. Worapishet, and A. Demosthenous, “Generalized Analysis of Random Common-Mode Rejection Performance of CMOS Current Feedback Instrumentation Amplifiers”, IEEE Transactions on Circuits and Systems – I: Regular Paper, Vol. 62, No. 9, pp. 2137 - 2146, September 2015.

[27] L. Hernandez and E. Gutierrez, "Analytical Evaluation of VCO-ADC Quantization Noise Spectrum Using Pulse Frequency Modulation," in IEEE Signal Processing Letters, vol. 22, no. 2, pp. 249-253, Feb. 2015.doi: 10.1109/LSP.2014.2357071

[28] I.L. Syllaios, H.T. Jensen,"DPLL with hybrid DS phase/frequency detector", in Proc. IEEE International Symposium on Circuits and Systems (ISCAS): Special session on Digitally Intensive Frequency Synthesizers for All-Digital Transmitters in the Nano, May 2015, pp. 2569-2572.

[29] N. Taherinejad, L. Lampe, and S. Mirabbasi, “An Adaptive Impedance Matching System for Vehicular Power Line Communication,” to appear in IEEE Transactions on Vehicular Technology.

[30] A. Farsaei, Y. Wang, R. Molavi, H. Jayatilleka, M. Caverley, M. Beikahmadi, A.H. Masnadi Shirazi, N. Jaeger, L. Chrostowski, and S. Mirabbasi, “A Review of Wireless-Photonic Systems: Design Methodologies and Topologies, Constraints, Challenges, and Innovations in Electronics and Photonics,” to appear in Optics Communications, Elsevier.

[31] A.H. Masnadi Shirazi, A. Nikpaik, R. Molavi, S. Lightbody, H. Djahanshahi, M. Taghivand, S. Mirabbasi, and S. Shekhar, “On the Design of mm-Wave Self-Mixing-VCO Architecture for High Tuning-Range and Low Phase Noise,” to appear in IEEE Journal of Solid-State Circuits

[32] D.S. Brox, X. Chen, S. Mirabbasi, and K. Takahata, “Wireless Telemetry of Stainless-Steel-Based Smart Antenna Stent Using a Transient Resonance Method,” to appear in IEEE Antennas and Wireless Propagation Letters.

[33] M. Beikahmadi, S. Mirabbasi, and K. Iniewski, “Design and Analysis of a Low-Power Readout Circuit for CdZnTe Detectors in 0.13-μm CMOS,” IEEE Sensors Journal, vol. 16, no. 4, pp. 903-911, February 2016.

[34] P. Behnamfar, R. Molavi, and S. Mirabbasi, “Transceiver Design for CMUT-Based Super-Resolution Ultrasound Imaging,” IEEE Transactions on Biomedical Circuits and Systems, vol. 10, no. 2, pp. 383-April, 2016.

[35] P. Kamalinejad, C. Mahapatra, Z. Sheng, S. Mirabbasi, V.C.M. Leung, and Y.L. Guan, “Wireless Energy Harvesting for Internet of Things,” IEEE Communications Magazine, vol. 53, no. 6, pp. 102-108, June 2015.

[36] Conference papers: [37] K. Keikhosravy, P. Kamalinejad, D. Harkness, H. Abdollahi, S. Mirabbasi, “A Digitally Assisted

Technique to Improve Rectifier Efficiency in Wireless Energy Harvesting Systems,” IEEE International Conference on Consumer Electronics (ICCE), Las Vegas, NV, January 8–11, 2016.

[38] [. Taris, A.H. Masnadi Shirazi, and S. Mirabbasi, “Design of Low Power CMOS RF Building Blocks,” Asia-Pacific Microwave Conference (APMC), 3 double-column pages, Nanjing, China, December 6–9, 2015.

Page 27: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

27 of 35

[39] C. Mahapatra, P. Kamalinejad, T. Stouraitis, S. Mirabbasi, V.C.M. Leung, “Low-Complexity Energy-Efficient Security Approach for E-Health Applications Based on Physically Unclonable Functions of Sensors,” IEEE International Conference on Electronics, Circuits, and Systems (ICECS), Cairo, Egypt, December 6–9, 2015.

[40] T. Taris, H. Kraimia, A.H. Masnadi Shirazi, and S. Mirabbasi, “Low-Power Design Techniques for Rx RF Front-End,” IEEE International Conference on Ubiquitous Wireless Broadband (ICUWB), Montreal, Quebec, Canada, October 4–7, 2015

[41] A. Sharkia, S. Aniruddhan, S. Shekhar, and S. Mirabbasi, “A High-Performance, Yet Simple to Design, Digital-Friendly Type I PLL,” IEEE Custom Integrated Circuits Conference, San Jose, CA, September 28–30, 2015.

[42] A. Nikpaik, A. Nabavi, A.H. Masnadi Shirazi, S. Shekhar, and S. Mirabbasi, “A Dual-Tank LC VCO Topology Approaching Towards the Maximum Thermodynamically-Achievable Oscillator FoM,” IEEE Custom Integrated Circuits Conference, San Jose, CA, September 28–30, 2015.

[43] P. Hadadtehrani, P. Kamalinejad, R. Molavi, and S. Mirabbasi, “An Adaptive Magnetically-Coupled Wireless Power Transmission System,” IEEE International NEWCAS Conference, Grenoble, France, June 7–10, 2015.

[44] A.H. Masnadi Shirazi, A. Nikpaik, R. Molavi, S. Mirabbasi, and S. Shekhar, “A Class-C Self-Mixing-VCO Architecture with High Tuning-Range and Low Phase-Noise for mm-Wave Applications,” IEEE Radio Frequency Integrated Circuits Symposium, 4 double-column pages, Phoenix, AZ, May 17–19, 2015. Best Student Paper Award (Third Place).

[45] A. N. Shiraz, M. Craggs, B. Leaker, and A. Demosthenous, "Minimizing stimulus current in a wearable pudendal nerve stimulator using computational models," IEEE Transactions on Neural Engineering and Rehabilitation, vol. 24, no. 4, pp. 506–515, Apr. 2016.

[46] A. Worapishet and A. Demosthenous, “Generalized analysis of random common-mode rejection performance of CMOS current feedback instrumentation amplifiers," IEEE Transactions on Circuits and Systems I – Regular Papers, vol. 62, no. 9, pp. 2137–2146, Sep. 2015.

[47] V. Valente, C. Eder, N. Donaldson, and A. Demosthenous, “A high power CMOS class-D amplifier for inductive-link medical transmitters,” IEEE Transactions on Power Electronics, vol. 30, no. 8, pp. 4477–4488, Aug. 2015.

[48] P. Langlois, Y. Wu, R. Bayford and A. Demosthenous, “On the application of frequency selective common mode feedback for multifrequency EIT,” Physiological Measurement, vol. 36, no. 6, pp. 1337–50, Jun. 2015.

[49] C. Eder and A. Demosthenous, “Electrical Biosensors: Peripheral Nerve Sensors,” in Handbook of Biochips, Sawan, Mohamad, Ed., Springer, 2016.

[50] Wu, Minshun, Zhiqiang Liu, Li Xu, and Degang Chen. "Accurate and cost-effective technique for jitter and noise separation based on single-frequency measurement." Electronics Letters 52, no. 2 (2015): 106-107.

[51] Wu, Minshun, Zhiqiang Liu, and Degang Chen. "Extracting random jitter and sinusoidal jitter in ADC output with a single frequency test." IEICE Electronics Express 0 (2015).

[52] Sudani, Siva Kumar, Li Xu, and Degang Chen. "A Comparative Study of State-of-the-Art High-Performance Spectral Test Methods." Design & Test, IEEE, 32, no. 1 (2015): 26-35.

[53] Q. Wang, D. Chen, and R.L. Geiger, “Transparent Analog Hardware Trojans - A Threat to Trust and Security”, GOMAC-Tech 2016 (accepted for presentation), March 2016.

[54] Xing Cao, Qianqian Wang, Degang Chen, Randall L Geiger, "A Hardware Trojan Vulnerability in Inverse Widlar Reference Generator", Circuits and Systems (MWSCAS), 2015 IEEE 58th International Midwest Symposium on, pp.81-84, 2-5 Aug. 2015

[55] Jiaming Liu, Hao Meng, Degang Chen, "Switched-Compensation Technique in Switched-Capacitor Circuit for Achieving Fast Settling Performance", Circuits and Systems (MWSCAS), 2015 IEEE 58th International Midwest Symposium on, pp. 580-583, 2-5 Aug. 2015

[56] Yuming Zhuang, Tao Chen, Shravan Chaganti, Degang Chen, "Effect of Flicker Noise on SEIR for Accurate ADC Linearity Testing", Circuits and Systems (MWSCAS), 2015 IEEE 58th International Midwest Symposium on, pp. 640-643, 2-5 Aug. 2015

[57] Hao Meng, Degang Chen, " A Simple Ramp Generator with Level Spreading for SEIR based ADC BIST Circuit ", Circuits and Systems (MWSCAS), 2015 IEEE 58th International Midwest Symposium on, pp. 53-56 , 2-5 Aug. 2015

Page 28: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

28 of 35

[58] Chongli Cai, Degang Chen, " A Slew Rate Enhancement Technique for Fully Differential Amplifier Without Inducing Trojan State ", Circuits and Systems (MWSCAS), 2015 IEEE 58th International Midwest Symposium on, pp. 345-348 , 2-5 Aug. 2015

[59] Duan, Yan, Tao Chen, Zhiqiang Liu, Xu Zhang, and Degang Chen. "High-constancy offset generator robust to CDAC nonlinearity for SEIR-based ADC BIST." In Circuits and Systems (ISCAS), 2015 IEEE International Symposium on, pp. 3016-3019. IEEE, 2015.

[60] Li, You, and Degang Chen. "A novel 20-bit R-2R DAC structure based on ordered element matching." In Circuits and Systems (ISCAS), 2015 IEEE International Symposium on, pp. 1030-1033. IEEE, 2015.

[61] Zhang, Xu, Chongli Cai, Hao Meng, Siva Sudani, Randall Geiger, and Degang Chen. "A calibration technique for SAR analog-to-digital converter based on INL testing with quantization bits and redundant bit." In Circuits and Systems (ISCAS), 2015 IEEE International Symposium on, pp. 3024-3027. IEEE, 2015.

[62] Cai, Chongli, and Degang Chen. "Performance enhancement induced Trojan states in op-amps, their detection and removal." In Circuits and Systems (ISCAS), 2015 IEEE International Symposium on, pp. 3020-3023. IEEE, 2015.

[63] Wang, Yen-Ting, Chen Zhao, Degang J. Chen, and Randall L. Geiger. "Direct temperature to digital converters with low supply sensitivity for power/thermal management." In Circuits and Systems (ISCAS), 2015 IEEE International Symposium on, pp. 1066-1069. IEEE, 2015.

[64] Wang, Qianqian, Randall Geiger, and Degang Chen. "A programmable temperature trigger circuit." In Circuits and Systems (ISCAS), 2015 IEEE International Symposium on, pp. 1070-1073. IEEE, 2015.

[65] Zhang, Xu, and Degang Chen. "An integrated circuit solution of thermal noise thermometer with cascaded pre-amplifier and 6-bit resolution analog-to-digital converter." In Circuits and Systems (ISCAS), 2015 IEEE International Symposium on, pp. 2221-2224. IEEE, 2015.

[66] Zhang, Xu, Chongli Cai, Degang Chen, and Gregory Blum. "Cascode and transconductance with capacitances feedback compensation for multistage amplifiers driving no load and 1nF capacitive load." In Circuits and Systems (ISCAS), 2015 IEEE International Symposium on, pp. 2077-2080. IEEE, 2015.

[67] Xu, Li, and Degang Chen. "A low cost jitter estimation and ADC spectral testing method." In Circuits and Systems (ISCAS), 2015 IEEE International Symposium on, pp. 2277-2280. IEEE, 2015.

[68] Xu, Li, and Degang Chen. "Accurate spectral testing of analog-to-digital converters with frequency drift using phase correction and averaging." In Circuits and Systems (ISCAS), 2015 IEEE International Symposium on, pp. 2265-2268. IEEE, 2015.

[69] Chen, Tao, and Degang Chen. "Ultrafast stimulus error removal algorithm for ADC linearity test." In VLSI Test Symposium (VTS), 2015 IEEE 33rd, pp. 1-5. IEEE, 2015.

[70] Xu, Li, Yan Duan, and Degang Chen. "A low cost jitter separation and characterization method." In VLSI Test Symposium (VTS), 2015 IEEE 33rd, pp. 1-5. IEEE, 2015.

[71] M. Kiani, B. Lee, P. Yeon, and M. Ghovanloo, “A Q-modulation technique for efficient inductive power transmission,” IEEE Journal of Solid-State Circuits, vol. 50, no. 12, pp. 2839 - 2848, Dec. 2015.

[72] S. Ostadabbas, A.J. Butler, and M. Ghovanloo, “Developing a tongue controlled exoskeleton for a wrist tracking exercise: a preliminary study”, Journal of Medical Devices, vol. 9, no. 3, 030912, Sep. 2015. DOI: 030912-030912-3. doi:10.1115/1.4030605.

[73] H.-M. Lee and M. Ghovanloo, “Power-efficient wireless neural stimulating system design for implantable medical devices” IEIE Trans. Smart Proc. Computing, vol. 4, no. 3, pp. 133 - 140, July 2015, DOI: http://dx.doi.org/10.5573/IEIESPC.2015.4.3.133

[74] K.Y. Kwon, H.M. Lee, M. Ghovanloo, and W. Li, “Design, fabrication, and packaging of an integrated, wirelessly-powered optrode array for optogenetics application,” Frontiers in Systems Neuroscience, vol. 9, pp. 1 - 12, May 2015. doi: 10.3389/fnsys.2015.00069

[75] B. Lee, M. Kiani, and M. Ghovanloo, “A smart wirelessly-powered homecage for long-term high-throughput behavioral experiments,” IEEE Sensors Journal, vol. 15, no. 9, pp. 4905 - 4916, May 2015.

[76] X. Tong and M. Ghovanloo, “An energy-efficient switching scheme in SAR ADC for biomedical electronics,” Electronics Letters, vol. 51, no. 9, pp. 676 - 678, Apr. 2015.

Page 29: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

29 of 35

[77] S. Viseh, M. Ghovanloo, and T. Mohsenin, “Towards an ultra-low power on-board processor for Tongue Drive System,” IEEE Trans. on Circuits and Systems II, vol. 62, no. 2, pp. 174 - 178, Feb. 2015.

[78] M. Kiani and M. Ghovanloo, “A 13.56-Mbps pulse delay modulation based transceiver for simultaneous near-field data and power transmission,” IEEE Trans. on Biomed. Circuits and Systems, vol. 9, no. 1, pp. 1-11, Jan. 2015.

[79] Laumann, J. Holbrook, J. Minocha, D. Rowles, B. Nardone, D. West, J. Kim, J. Bruce, E.J. Roth, M. Ghovanloo, “Safety and efficacy of medically performed tongue piercing in people with tetraplegia for use with tongue-operated assistive technology,” Topics in Spinal Cord Injury Rehabilitation, vol. 21, no. 1, pp. 61-76, Winter 2015.

[80] H.-M. Lee, K. Kwon, W. Li, and M. Ghovanloo, “A power-efficient switched-capacitor stimulating system for electrical/optical deep brain stimulation,” IEEE Journal of Solid-State Circuits, vol. 50, no. 1, pp. 360-374, Jan. 2015.

[81] Gustavo C. Martins and Wouter A. Serdijn: Multistage Complex-Impedance Matching Network Analysis and Optimization, IEEE Transactions on Circuits and Systems-II: Express Briefs, 2016, DOI 10.1109/TCSII.2016.2534738.

[82] Marijn van Dongen and Wouter Serdijn: Design of Efficient and Safe Neural Stimulators - a multidisciplinary approach, Springer, 2016, ISBN 978-3-319-28129-2, DOI: 10.1007/978-3-319-28131-5.

[83] Andre L. Mansano, Yongjia Li and Wouter A. Serdijn: An Autonomous Wireless Sensor Node With Asynchronous ECG Monitoring in 0.18 um CMOS, IEEE Transactions on Biomedical Circuits and Systems, Digital Object Identifier 10.1109/TBCAS.2015.2495272.

[84] Marijn N. van Dongen and Wouter A. Serdijn: Does a coupling capacitor enhance the charge balance during neural stimulation? An empirical study, Medical & Biological Engineering & Computing, 2015, doi: 10.1007/s11517-015-1312-9.

[85] Xiaolong Li, Wouter A. Serdijn, Wei Zheng, Yubo Tian and Bing Zhang: The injectable neurostimulator: an emerging therapeutic device, Trends in Biotechnology, 2015, doi:10.1016/j.tibtech.2015.04.001.

[86] Marijn van Dongen, Freek Hoebeek, Bas Koekkoek, Chris de Zeeuw and Wouter Serdijn: High frequency switched-mode stimulation can evoke postsynaptic responses in cerebellar principal neurons, Frontiers in Neuroengineering, Vol. 8, No. 2, 2015, DOI: 10.3389/fneng.2015.00002.

[87] Lieke Kros, Oscar H.J. Eelkman Rooda, Jochen K. Spanke, Parimala Alva, Marijn N. van Dongen, Athanasios Karapatis, Else A. Tolner, Christos Strydis, Neil Davey, Beerend H.J. Winkelman, Mario Negrello, Wouter A. Serdijn, Volker Steuber, Arn M.J.M. van den Maagdenberg, Chris I. De Zeeuw and Freek E. Hoebeek: Cerebellar output controls generalized spike-and-wave discharge occurrence, Annals of Neurology, DOI: 10.1002/ana.24399.

[88] Mark Stoopman, Yao Liu, Hubregt J. Visser, Kathleen Philips and Wouter A. Serdijn: Co-Design of Electrically-Short Antenna-Electronics Interfaces in the Receiving Mode, IEEE Transactions on Circuits and Systems II: Express Briefs, DOI 10.1109/TCSII.2015.2406371.

[89] Mark Stoopman, Kathleen Philips and Wouter A. Serdijn: A 2.4 GHz Power Amplifier With 40% Global Efficiency at 5 dBm Output for Autonomous Wireless Sensor Nodes, IEEE Microwave and Wireless Components Letters, DOI 10.1109/LMWC.2015.2400940.

[90] M. Abdelfattah and G. W. Roberts, “Time-Mode Circuit Concepts And Their Transition To All-Digital Synthesizable Circuits,” in CMOS Time-Mode Circuits and Systems: Principles and Application, Eds. K. Iniewski and F. Yuan, CRC Press, 2015.

[91] S. Ziabakhsh, G. Gagnon and G. W. Roberts, “Time-Mode Delta-Sigma Converters,” in CMOS Time-Mode Circuits and Systems: Principles and Application, Eds. K. Iniewski and F. Yuan, CRC Press, 2015.

[92] O. Abdelfattah, G. W. Roberts I. Shih and Y-C. Shih, “An ultra-low-voltage CMOS process-insensitive self-biased OTA with rail-to-rail input range,” IEEE Trans. on Circuits and Systems 1: Regular Papers, vol. 62, issue 10, pp. 2380-2390, Oct. 2015.

[93] Y.M. Wang, P. K. Chan, Holden K. H. Li and S. E. Ong “A Low-Power Highly-Sensitive Capacitive Accelerometer IC using Auto-Zero Time-Multiplexed Differential Technique”, IEEE Sensors Journal, vol. 15, no. 11, pp. 6179 – 6191, Nov. 2015.

Page 30: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

30 of 35

[94] K.C. Koay and P.K. Chan, “A Low-Power Resistance-to-Frequency Converter Circuit with Wide Frequency Range”, IEEE Trans. on Instrumentation and Measurement, vol. 64, no. 12, pp. 3173 – 3182, Dec. 2015

[95] X.L. Tan, P. K. Chan and U. Dasgupta “A Sub-1-V 65nm MOS Threshold Monitoring-Based Voltage Reference ”, IEEE Trans. on Very Large Scale Integration Systems, vol. 23, no. 10, pp. 2317 – 2321, Oct. 2015

[96] P. Sotiriadis, “Spurs-Free Single-Bit-Output All-Digital Frequency Synthesizers With Forward and Feedback Spurs and Noise Cancelation”, IEEE Trans. on Circuits and Systems-I, To appear.

[97] C. Basetas, P. Sotiriadis, “Single-Bit-Output All-Digital Frequency Synthesis Using Multi-Step Look-Ahead Band-Pass Σ-Δ Modulator-Like Quantization Processing"”, IEEE Int. Freq. Contr. Symp. 2015.

[98] C. Basetas, P. Sotiriadis, “Hardware Implementation Aspects of Multi-Step Look-Ahead Σ-Δ Modulation-Like Architectures for All-Digital Frequency Synthesis Applications”, IEEE Int. Frequency Control Symp. 2015.

[99] . Sotiriadis, “All Digital Frequency Synthesis Based on New Sigma-Delta Modulation Architectures”, IEEE Int. Frequency Control Symp. 2015.

[100] P. Sotiriadis, “Spurs-Free Single-Bit-Output Frequency Synthesizers For Fully-Digital RF Transmitters”, IEEE Int. Symp. on Circuits and Systems 2015.

[101] Y. Wang and L. Naja zadeh,\On the Invariance of EEG-based Signatures of Individuality with Application in Biometric Identication," accepted for presentation at IEEE The International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Orlando, FL, Aug. 2016.

[102] T. Le, G. Salles-Loustau, L. Najazadeh, M. Javanmard and S. Zonouz, \Cyto-Encryption: BioMEMS Based Cryptography For Secure Medical Diagnostic Devices," accepted for presentation at IEEE The International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC),Orlando, FL, Aug. 2016.

[103] T. Le, G. Salles-Loustau, P. Xie , M. Javanmard, L. Naja zadeh and S. Zonouz,\Secure Point-of-Care Medical Diagnostics via Trusted Sensing and Cyto-Coded Passwords," accepted for presentation at IEEE International Conference on Dependable Systems and Networks, Toulouse, France, June2016.

[104] Y. Huang and L. Najazadeh, \A Wirelessly Tunable Low Drop-out Regulator for Subcutaneous Muscle Prosthesis," accepted for presentation at IEEE International Symposium on Circuits and Systems (ISCAS), Montreal, Canada, May 2016.

[105] A. Al Hilli, L. Najazadeh and A. Petropulu, \Sparse Reconstruction for SAR Using Range Space Rotation," accepted for presentation at IEEE Radar Conference, Philadelphia, PA, May 2016.

[106] L. Zhu, A. Haddad, T. Zeng, Y. Wang and L. Najazadeh, \Assessing Optimal Electrode/Optode Arrangement in EEG-fNIRS Multi-Modal Imaging," OSA Technical Digest, Fort Lauderdale, FL, Apr. 2016.

[107] L. Zhu and L. Najazadeh, \Temporal Dynamics of fNIRS-Recorded Signals Revealed Via Visibility Graph," OSA Technical Digest, Fort Lauderdale, FL, Apr. 2016.

[108] T. Zeng, L. Zhu, Y. Wang and L. Najazadeh, \On the Relationship Between Trial-to-Trial Response Time Variability and fNIRS-Based Functional Connectivity," OSA Technical Digest, Fort Lauderdale, FL, Apr. 2016.

[109] A. Al Hilli, L. Najazadeh and A. Petropulu, \Generalized Range Space Property for Group Sparsity of Linear Underdetermined Systems," IEEE Conference on Information Sciences and Systems (CISS), Princeton, NJ, Mar. 2016.

[110] A. Al Hilli, L. Najazadeh and A. Petropulu, \EEG Source Localization via Range Space Rotation", Proc. of The IEEE International Workshop on Computational Advances in Multi-Sensor Adaptive Processing (CAMSAP), Cancun, Mexico, Dec. 2015.

[111] Y. Huang, F. Kong, J. Freeman, and L. Najazadeh, \A Low-Dropout Regulator for Subcutaneous Electrical Stimulation of Nanobers Used in Muscle Prosthesis," Proc. of The IEEE International Conference on Biomedical Circuits and Systems (BioCAS), Atlanta, GA, Oct. 2015.

[112] A. Haddad and L. Najazadeh, \Global EEG Segmentation using Singular Value Decomposition," Proc. of The International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Milan, Italy, Aug. 2015, pp. 558-561.

Page 31: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

31 of 35

[113] F. Kong, Y. Huang, and L. Najazadeh, \A New Coil Misalignment Compensation Technique for Wireless Power Transfer Links in Biomedical Implants," Proc. of The IEEE Wireless Power Transfer Conference, Boulder, CO, May 2015, pp. 1-4.

[114] M. Hasan, Y. Zhu and Y. Sun, "Design for Testability of High-order OTA-C filters," International Journal of Circuit Theory and Applications, accepted and on line.

[115] X. Chen, W. Ni, X. Wang and Y. Sun, “Optimal Quality-of-Service Scheduling for Energy-Harvesting Powered Wireless Communications,” IEEE Transactions on Wireless Communications, accepted and on line.

[116] Q. Luo, Y. He and Y. Sun, “Real-Time Fault Detection and Diagnosis System for Analog and Mixed-signal Circuits of Acousto-Magnetic EAS Devices,” IEEE Design & Test Magazine, accepted and on line.

[117] Y. Sun, B. Chi and H. Zhang, editorial, "Software Defined Radio Transceivers and Circuits for 5G Wireless Communications", IEEE Transactions on Circuits and Systems-II, Vol.63, No.1, 2016

[118] O. Simpson, Y. Abdulkadir and Y. Sun, “Optimal Entropy Quantization for Maximum Likelihood Estimation based Cooperative Spectrum Sensing”, Proc. WTS, London, 2016

[119] A. Slaney, Y. Sun, and O. Simpson, “A Novel Computationally-Efficient Digital Frequency Locking Scheme for Software Defined Radio Modem”, Proc. IEEE ISCAS, Montreal, 2016.

[120] Y. Abdulkadir, O. Simpson, N. Nwanekezie and Y. Sun, 'Space-Time Opportunistic Interference Alignment in Cognitive Radio Networks', Proc. IEEE Wireless Communications and Networking Conference (WCNC), Qatar, 2016.

[121] N. Nwanekezie, G. Owojaiye and Y. Sun, 'Implementing Differential Distributed Orthogonal Space Time Block Coding using Coefficient Vectors', Proc. IEEE Wireless Communications and Networking Conference (WCNC), Qatar, 2016.

[122] O. Simpson, Y. Abdulkadir, Y. Sun and B. Chi, “Relay-Based Cooperative Spectrum Sensing with Improved Energy Detection In Cognitive Radio”, The 10-th IEEE International Conference on Broadband and Wireless Computing, Communication and Applications, Krakow, Poland, November 2015.

[123] N. Nwanekezie, G. Owojaiye, Y. Sun, D. Yue and X. Wang, “Optimizing Diversity Gain for Non-Coherent Wireless Multimedia Sensor Networks”, IEEE WiMob, Abu Dhabi, UAE, October, 2015

[124] Y. Tan, Y. Sun, Y. Zhu, D. Lauder, and B. Chi, “Broadband Impedance and Antenna Tuning Using Quantum Genetic Algorithms for Multistandard Wireless Communications”, IEEE Loughborough Antennas and Propagation Conference-LAPC, Loughborough, UK, November 2015.

[125] W. Zhao, Y. He and Y. Sun, “Structure and realization of pole-shared switched-current complex wavelet filter,” Int. Journal of Analog Integrated Circuits and Signal Processing, Vol.85, No.1, 2015.

[126] X. Yu, M. Wei, Y. Yin, Y. Song, S. Han, Q. Liu, Z. Jin, X. Liu, Z. Wang, Y. Sun, B. Chi, “A Fully-Integrated Reconfigurable Dual-Band Transceiver for Short Range Wireless Communications in 180nm CMOS,” IEEE Journal of Solid State Circuits, Vol.50, No.12, 2015.

[127] A. Bannour and Y. Sun, “Duality of antennas and subcarriers in massive MIMO-OFDM downlink system,” Electronics Letters, Vol.51, No.14, pp. 1115 – 1117, 2015.

[128] D.-W. Yue, Y.-N. Jia and Y. Sun, “Average Transmit Power Gain of Adaptive ZF Large Scale Multi-user and Multi-antenna Systems,” Fifth International Conference on Instrumentation and Measurement, Computer, Communication and Control (IMCCC), September, 2015

[129] Y. Abdulkadir, O. Simpson, N. Nwanekezie and Y. Sun, “A Differential Space-Time Coding Scheme for Cooperative Spectrum Sensing in Cognitive Radio Networks,” IEEE PIMRC, Hong Kong, August 2015

[130] X. Zhang, B. Chi, Y. Sun, and Z. Wang, “A 0.5-30GHz Wideband Differential CMOS T/R Switch with Independent Bias and Leakage Cancellation Techniques,” IEEE ISCAS, Lisbon, Portugal, 2015

[131] X. Yu, M. Wei, Y. Yin, Y. Song, Z. Wang, Y. Sun and B. Chi, “A Sub-GHz Low-Power Transceiver with PAPR-Tolerant Power Amplifier for 802.11ah Applications”, IEEE RFIC, USA, 2015.

[132] Z. Song, X. Liu, X. Zhao, Q. Liu, Z. Jin, Y. Yin, Y. Sun, and B. Chi, “A Fully-Integrated Reconfigurable Transceiver for Narrowband Wireless Communication in 180nm CMOS”, IEEE RFIC, 2015

[133] J. Zhang, Z. Zhang, Y. Xu, Y. Sun, and B. Chi, “A 54.4-mW 4th-order Quadrature Bandpass CT ΣΔ Modulator with 33-MHz BW and 10-bit ENOB for a GNSS receiver,” IEEE RFIC, 2015

Page 32: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

32 of 35

[134] X. Chen, W. Ni, X. Wang, and Y. Sun, “Provisioning quality-of-service to energy harvesting wireless communications,” IEEE Communications Magazine, Vol.53, No.4, 2015.

[135] W. Zhao, Y. He and Y. Sun, “Switched-current filter structure for synthesizing arbitrary characteristics based on follow-the-leader feedback configuration,” Int. Journal of Analog Integrated Circuits and Signal Processing, Vol.82, No.2, 2015.

[136] D. W. Yue and Y. Sun, “Average transmit power of adaptive ZF very large multi-user and multi-antenna systems,” Wireless Personal Communications, Vol.81, No.3, 2015.

Page 33: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

33 of 35

Appendix 17: Members’ Expertise and competence

• RF circuits & System • RF energy Harvesting • Analog & mixed-signal integrated Circuit and Systems • Printed Electronics • Organic Electronics • High Speed Switching Power Amplifier • Supply Modulator • Class D Amplifiers • Microwave Active and Passive Circuits • Sigma delta modulation • Data Conversion • Time encoding in signal processing • Low-power analog integrated circuits for wearable and implantable biomedical devices and RF and

UWB communications • Bio-inspired electronics, biosignal conditioning / detection, neuroprosthetics, transcutaneous wireless

communication, power management and energy harvesting • Design of analog integrated circuits including amplifiers, filters, data converters. Design and Test of

analog and mixed-signal circuits with specific emphasis on embedded test circuits. • High-speed high-resolution AD/DA converters, audio/video codec, low-power ICs for IoTs. • Sensor Interface IC Design • Power Management IC Design • Analog & RF IC design, modeling & optimization, Digital RF architectures, Mixed-signal circuits,

Advanced frequency synthesis, Biomedical instrumentation, On-chip interconnect networks

Page 34: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

34 of 35

Appendix 18: New Startups

• BES Electronics • InnoReate Technologies Inc. • Advanced Electroacoustics Pte Ltd

Page 35: IEEE Circuits and Systems Society Analog Signal Processing ... · Apisak Worapishet Ioannis Syllaios . 5 of 35 Appendix 2: Introduction to the ASPTC (Dated – needs to be updated)

35 of 35

Appendix 19: Industry Collaboration/Activities

• Apisak Worapishet R&D Collaboration with Seagate Technology (THAILAND)

• Expert Consultant and Witness, mixed-signal and memory circuits in power management ICs, Spencer-Scott LLC., Dallas, Texas, USA

• Member of the Test Advisory Board of Cypress Semiconductor, Inc., • Industrial Short Courses, Mixed-Signal IC Test Technology. • Invited Professor at EPFL, Lauzanne, Switzerland • Joint Lab with ST Microelectronics • Strategic collaboration with CEA LETI • Funding PhD Programm with Thales • Two Projects for Space Positionning with a group of companies • Research projects with Infineon Technologies; Intel; Austria Microsystems • Collaborated with Intel and Intel Canada (on mixed-signal verification and wireless power transfer),

Sierra Wireless and Telus (on people and planet friendly homes), Qualcomm (on flexible tactile interfaces), Greenlight Innovation (on high voltage electrochemical impedance spectroscopy), Huawei (on silicon photonics), Ecoation Innovative Solutions (on sensors for biogenic signals), and EPIC Semiconductors (3D gesture tracking for IoT and wearable applications).

• With Zilico Ltd (www.zilico.co.uk) on the development of electrical impedance spectroscopy technology for cancer diagnostics.

• Collaborate with IC corporations, such as ZTE, IP Goals, ACTT • Member of the Patent Commission of University of Calabria