human generations driving semiconductor materials demand...

33
Human Generations Driving Semiconductor Materials Demand Lita Shon - Roy President / CEO Semicon Europa October 2016 www.Techcet.com [email protected]

Upload: dinhbao

Post on 20-May-2018

222 views

Category:

Documents


2 download

TRANSCRIPT

Human Generations Driving Semiconductor Materials Demand

Lita Shon-RoyPresident / CEO

Semicon Europa October [email protected]

OutlineIntroduction

World Economic Influencers

Business and Technical Trends for Materials

Strategic Materials Market Information

• CMP Consumables

• Gases - Neon

• Hi K / ALD / CVD Precursors

MegaTrends & Summary

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

2

Disclaimer This presentation represents the interpretation and analysis of information

generally available to the public or released by responsible agencies or individuals. Data was obtained from sources considered reliable. However, accuracy or completeness is not guaranteed. This report contains information generated by Techcet by way of primary and secondary market research methods.

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

3

Analyze, Prepare, and Move Forward –

The World is Changing

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

4

TECHCET :

A Technology Centered

Semiconductor Materials

Market & Supply Chain

Advisory Firm

CMC Fabs is a membership based group made up of Semiconductor Device Fabricators For more info go to : www.cmcfabs.org

TECHCET started in 2000 to support the CMC hosted by Sematech / ISMI and the materials supply chain.

Supporting the CMC / SEMATECH for > 15 years

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

5

CMCFabs include:IntelMicronGFTIInfineonQorvoCypressSEMATECHEtc.

OutlineIntroduction

World Economic InfluencersChina & US

The Next Generation of Users

Business and Technical Trends for Materials

Strategic Materials Market Information• CMP Consumables

• Gases - Neon

• Hi K / ALD / CVD Precursors

Summary

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

6

A Connected World Indeed!WW News

“When China Sneezes….” China Economy Softening due to moderating consumer demand as well as increasing debt.

• Current Gov’t GDP : Debt = 43.9% and growing 5.6%/yr

• Housing Bubble

Presidential Race: Donald Trump vs. Hillary Clinton• Issues Raised during campaigning: China

Trade, Iran nuclear deal, TransPacificPartnership ideas

BREXIT – The UK the 5th largest economy of the world exiting the EU free trade zone

Impact

Lower sales demand from China can create a negative impact on manufacturing across the world.

US / European companies depend on sales to China

China manufacturing dependent on WW manufacturing Demand

Major changes in foreign trade policy may create significant shifts in corporate profitability and consumer spending.

Uncertainty; may likely lead to delayed investment and in turn impacting corporate and consumer spending.

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

7

ANOTHER Major Influencer: A Changing Population…

The Next Generation of Users of Electronic Stuff

This year, the “Millennial” generation in the US is projected to surpass the Baby Boom generation as the nation’s largest living generation, according to the population projections released by the U.S. Census Bureau last month. [1]

US Millennials = 78 M people

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

8

Populations Drive Consumer Spending

[email protected] 10/13/2016

9Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

References [2], [3] & [4]

All figures refer to 2015

The majority of consumers over the next decade will be from Millennials (ages 18-34): 28% of the largest economies

The second largest population will be the Babyboomers: 25%

These two generations will determine ‘what will sell’ and ‘what chips we will need to make’

The largest single market is potentially China, followed by the US.

-

200

400

600

800

1.000

1.200

1.400

1.600

China Europe** US Japan S. Korea Taiwan

Populations

Youngins 0-14 'Milliennials' 15-34* X generation 35-49 'Babyboomers' 50-69* 70+

M

Babyboomers and Millennials Represent the two largest generations

B

** excludes Russia and Eastern Europe

Which Country are these Millennials from?“They’re criticized for being materialistic and rebellious, with unprecedented access to consumer goods and exposure to global pop culture” …. “They’re also educated and tech-savvy (like the post-’90s and following generations) and have access to more information and social networks than ever before.”

Their most famous members include NBA player Yao Ming, young-adult novelist Guo Jingming an outspoken blogger Han

References: [5], [6] & [7]

Do you want a hint?

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

10

In China, “the two largest populations are the 20-24 age group and the 60-64 age group”[8] ‘Millennials’ (ages 15-34) here make up ~30 % of the population. 1.38B x 21% = 290 M people

The Next Generation of Users Millennials (people ages :14-24 by 2015) [1-3, 8,9]

Will buy Instead of buying

• Cell phones

• Tablets

• Laptops

• TVs

• Less desktop

computers

• Bicycles; mass transit user • Cars

• Rent before buy, instead

“Tiny Home” (or live at

home with aging parents)

• Buying a house right

away

• Online delivered to door • Bulk purchases

(TESCO? and Costco?)

• Electronic stuff to track

their aging parents

• Service support

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

11

Impact on Electronics Markets?Continued need and dependency on more smart, interconnected portable, electronics stuff

Internet Connectivity Demands Grow

• # wireless devices & operating frequencies RF growth

• Sensors, and programmable devices

• Big Data, Security and Software Applications Growing

Continued Growth Portable Devices and Big Data driving growth in low power, compact devices, <65nm & leading edge and ….Materials

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

12

OutlineIntroduction

World Economic Influencers

The Next Generation of Users

Business and Technical Trends for Materials

Strategic Materials Market Information

• CMP Consumables

• Gases - Neon

• Hi K / ALD / CVD Precursors

MegaTrends & Summary

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

14

20nm Planar

SOI Hκ/MG

15

IC Technology Roadmap Evolutions/RevolutionsNote “Node” is “nm” performance, physical is GLph

RAM & Non Volatile ?

18-15nm STT-MRAM

Non-Volatile 80-30nm features

3D NAND (BiCS, TCAT, etc.)Non-Volatile 1X & 1Z nm

Shrink Planar NAND

Non-Volatile <10nm

CNT? PCM

2013 2014 2015 2016 2017 2018 2019

10nm

Fin w/ STI,

channel change?14nm TriGate

14/16nm FinFET-STI

DRAM 32-28nm

Vertical Capacitors

Continue DRAM Shrink w/ MPUHoney comb cell + Air-gap spacer

DRAM

26-16nm

HκMG +

Si Fin

Charge Trap Flash in Vertical Plane

also called 3D or V-NAND

7nm

III-V or Ge ?

450mm

7nm?

EUV

7nm ?

www.Techcet.com

3D/V-NAND Extend 5+ yrs16 to 256 layers

< 3% estimated growth for 2016

SunEdison to be acquired by Taiwanese firm GlobalWafers for $683M USD. 17% share (3rd largest behind S E H and SUMCO)

Increase in market demand is causing availability problems for polished 300mm wafers. Supply Chain issues looming.

Discrete fabs trend toward 200mm causing Epi-wafer supply issues.

Silicon unit shipments have shown an upward trend post-US recession (housing collapse / WW credit crisis) but prices continue to decline, but change in the wind.

2015 2016 2017 2018 2019 2020

5 & 7nm Logic

7nm RAM

3D NAND G2

10-11nm Logic

10-11nm RAM

3D NAND G1

14nm Intel

14-16nm RAM

1x-z NV

22,16nm Logic

22-20nm RAM

2x-z NV

32,28,20nm Logic

32-28nm RAM

45nm Logic

65/45 nm RAM

65 nm Logic

90 nm Logic

130 nm Logic

180-150 nm L

>180nm

Wafer Starts AnalysisSilicon Wafer Report

120 M

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

16

Photoresists / Ancillaries /

Extension Materials

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

17

Photoresist/Ancillaries Report

Photoresist /Ancil. /Ext. Update2016 Photoresist Revenues ~ $1.5B

Highest growth in “Extension” (EXT) materials (ARCs + Shrink/Trim) for ArFi

~ US $676M for 2016 growing to US$790M by 2020

Si-Hard Mask (Si-HM) in Tri-Layer Resist (TLR) use minimizes PR thickness required, so PR volume steady despite increase in wafer-starts and increase in litho steps per wafer

EUVL for mix-and-match applications pushed to 5-10nm nodes

DSA, EBDW, and NIL all for niche applications

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

18

CMP Consumables

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

19

Slurry: $1.36 B in 2016 up ~ 8% from 2015

Pads: $730 M up ~ 6% from 2015.

Newer CMP processes • 3D transistor: Al and W for High k Gate Electrodes

• 3D Packaging: High RR Cu slurry for TSV

• 3D NAND: Optimize for Defect Reduction for STI, PolySi, W

Continued Incr. in customized CMP processes (combination of slurry, pad hardness & porosity)

Continued merger activity: NexPlanar + Cabot

Legacy Devices – CIP and IoT

CMP Consumables Report

CMP Trends

2014 2015 2016 2017

Slurry Volume Forecast

S-STI Al MGE Ox HKG

Oxide Tungsten Cu Step 1

Cu Barrier

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

20

Electronic Gases

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

21

Electronic Gases + NEONNeon Report

Ne: 0.034 percent of air

Steel Mfgr

Air separation Plant--> N2, O2, Ar, Ne

Nepurification

O2

• Ukraine sources up and running (70%)

• Chinas Sources increased production – but steel market concerns

• Gigaphoton and Cymer continue work on reducing neon usage for DUV lasers.

• Expansions announced: • Linde : +40MM liters annually in TX ‘16

• Wisco in China: 230,000 m3/yr ‘ 17

• Ramp up of LPTS TFT Displays and 3D devices may threaten S-D Balance.

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

22

Total Gas Market 2016: $3.9B est.

Revenue Forecast to grow to $4.6B by 2020

NEON

ALD & CVD Precursorsincluding Hi K

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

23

Scaling trend ALD MigrationI - Migration to ALD due to shrink- LPCVD SiN and SiO2- PECVD SiN and SiO2- CVD Metals- CVD Metal nitrides- PVD Metals

II - New Materials & Unit Processes:- MIM Caps & High-k / Metal Gate - Cu barriers- Multipatterning

III - 3D Devices:DRAM, FinFET, 3DNAND More ALD

ALD

2007 2009 2011 2013 2016 2018

Nu

mb

er

of

ALD

Pas

ses

Leading Logic

Fully loaded

45nm

14nm

7nm

15 ALD passes at 14nm and more than 30 at 7 nm according to estimations

x2

ALD / CVD Metal Precursors Report

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

24

7%-8% CAGR 2015-2020

Metal Precursors Market: $385M in 2016 growing to $420M by 2020

Dielectric Precursors Market: $300M by 2020

OutlineIntroduction

World Economic Influencers

The Next Generation of Users

Business and Technical Trends for Materials

Strategic Materials Market Information

• CMP Consumables

• Gases - Neon

• Hi K / ALD / CVD Precursors

MegaTrends & Summary

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

25

MegaTrends: M & AActive Yet Barriers Increasing

Linde and Praxair possible merger TERMINATED (Sept. 12, 2016) but not dead (still open for further discussions)

Dow Chemical/ Dow Corning / DuPont Merger – US Senate Committee and European Commission doing in-depth investigations into merger regards*

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

26

May 23, 2016, Air Liquide completed the acquisition of AirgasAirgas remains a wholly owned subsidiary but will be led by Air Liquide management

Air Products Spin off of Versum now completed Performance Materials portion sold to EvonikNew headquarters located in Tempe, AZ

Solvay acquires Cytec

Dupont spins off Chemours

OCI Materials gets acquired by SK – now called SK Materials

Avantor combined with Nusil two of Mountain Capital’s businesses investments

EHS and Materials Trends

Environmental Responsibility is not embraced equally by all companies in all countries• Costs associated with environmental regulation

compliance• Those suppliers that do not comply may have a

pricing advantage.

ROHS & REACH style regulations are now being adopted by Asian countries

• Environmentally responsible Fabs require their suppliers adhere to these regulations

Wet Chemicals Report

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

27

Summary - Take AwaysThe changing populations are impacting what people buy and buying habits; influencing consumer spending, in turn, semiconductor market growth

China’s influence on population and spending is a key driver

Materials Supply Segments will continue growing 4% CAGR thru 2020

High growth areas in ALD/CVD and CMP Consumables 6% to 10% / yr

M&A activity Alive & Complex – Watch out for Moves from Asia (Korea and China)

EHS Issues Will Continue to be Increasingly Important

TECHCET’s Critical Materials information is here to help you analyze your market environment, understand the risks & opportunities and help you strategically move forward

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

28

TECHCET Critical Materials Report Advisories Issue Date

1 2016 CVD / ALD Metal Precursors Apr 10

2 2016 Electronic Gases May 30

3 2016 Wet Process Chemicals June 30

4 2016 Silicon Wafers June 30

5 2016 Photoresists and Ancillaries June 30

6 2016 CVD / ALD Dielectric Precursors Aug 10

7 2016 CMP Slurries and Pads Consumables Aug 31

8 2016 Sputtering Targets Sept 10

9 2015 -16 Quartz -Equipt Components April 15

10 2015-16 Ceramics & SiC - Equipt Components July 15

11 2016-17 Semiconductor Device Technology Trends and

Materials Requirements

4Q2016Coming soon!

12. 2016 Neon Supply & Demand Mar ‘16 +Updated

Quarterly

TECHCET’s Critical Materials Reports™

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

29

Jonas, Sundqvist, Ph.D. – Sr. Technology Analyst

• Fraunhofer, Quimonda

Terry Francis – Sr. Technology Analyst

• Matheson Gases, Applied Materials, Air Products

Brooks Hurd– Sr. Technology Analyst

• Quantum Clean, Matheson Gas, SAES Getters, Air Products

Sue Davis – Sr. Market Analysts

• Sematech, Rohm & Haas, Rodel, Texas Instruments

Chris Michaluk – Sr. Market Analyst

• Dillinger-May, H.C. Stark, Climax Molybdenum, Williams, Cabot

Chris Blatt – Sr. Market Analyst

• Zeon Chemicals, Fujifilm, Arch, IPEC/Athens, Air Products

Mike Fury, Ph.D.– Sr. Technology Analyst

• Vantage, EKC/DuPont, Rodel, IBM

► Tim Dyer – Sr. Technology Analyst

• Elcon, Matsci, Morgan Ceramics, IPEC/Speedfam

Techcet Group Analysts (& Experience)

Lita Shon-Roy – President / CEO• Rasirc/Matheson Gas, IPEC/Athens, Air Products, Rockwell/

Brooktree, Hughes Aircraft

► Karey Holland, Ph.D. – Chief Technical Officer• MegaFluid Systems, FEI, NexPlanar, IPEC, Motorola, IBM

► Mike Walden – Director, Business Development / Sr. Analyst

• SunEdison, SUMCO, IBM

► Ed Korczynski – Director, Marketing / Sr. Analyst• Solid State Technology, Intermolecular, Nanomarkets, Applied

Materials

► Jerry Yang, Ph.D. – Director, Asia Business Development

• Sematech, Rohm & Haas, Rodel, LAM, IPEC

► Yu Bibby, Ph. D. – Sr. Technology Analyst• UV Global, ipCapital Group, Wilkes University

► Bruce Adams – Sr. Market Analyst• Matheson Gas, Air Products, & Chemicals, Honeywell

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

30

Thank you!www.TECHCET.com

ww.cmcfabs.org

[email protected]+1-480-382-8336

www.Techcet.com

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

31

[1] http://techcrunch.com/2013/03/11/zero-tv-households-now-at-5-million-says-nielsen-up-from-3-million-in-2007-but-

still-just-5-of-market/

[2] www.pewresearch.org/fact-tank/2015/02/09/who-are-europes-millennials/

[3] www.census.gov

[4] www.tradingeconomics.com

[5] http://www.chinafile.com/conversation/chinas-post-1980s-generation-are-kids-all-right

[6] http://www.pewresearch.org/fact-tank/2015/11/12/in-china-1980-marked-a-generational-turning-point/

[7] http://www.newyorker.com/magazine/2011/07/04/the-han-dynasty

[8] http://finance.yahoo.com/blogs/the-exchange/real-reason-millennials-don-t-buy-cars-homes-153340750.html

[9] http://www.theatlantic.com/magazine/archive/2012/09/the-cheapest-generation/309060/

[10] http://www.economist.com/news/briefing/21601248-generation-old-people-about-change-global-economy-they-

will-not-all-do-so

[11] http://www.hsph.harvard.edu/program-on-the-global-demography-of-

aging/WorkingPapers/2010/PGDA_WP_53.pdf

[12] http://www.bloomberg.com/news/articles/2013-09-17/aging-boomers-befuddle-marketers-eying-15-trillion-prize

www.techcet.com [email protected]

© 2016 Techcet CA LLC 32

References

Materials Market TrendsTotal Market ~$3.5B in 2015 CAGR 4%, 2015-2020.

Increase in number and volume of materials for each technology node.

Increasing Concerns regarding Waste Management / Handling of Materials

Source: SEMI, SST, semimd

[email protected] 10/13/2016

Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

33

World Bank Data for 2015

[email protected] 10/13/2016

34Techcet CA LLC Copyright 2016 all rights reserved. www.techcet.com

United States25%

China15%

Japan6%Germany

5%

United Kingdom4%

France3%

India3%

Italy2%

Brazil2%

Canada2%

Korea2%

Other31%

Nominal GDP as a % of Total 2015