high-resolution euv microstepper tool for resist testing & … · 2006-11-03 · capable of...

12
High-resolution EUV Microstepper tool for resist testing & technology evaluation A Brunton, J Cashmore, P Elbourn, G Elliner, M Gower, P Grünewald, M Harman, S Hough, N McEntee, S Mundair, D Rees, P Richards, V Truffert, I Wallhead, M Whitfield Exitech Ltd, Oxford Industrial Park, Yarnton, Oxford OX5 1QU, England ABSTRACT Key features are presented of the Exitech MS-13 EUV Microstepper tool developed for EUV resist testing & technology evaluation at the 32nm node and beyond. Details of the tool design architecture, module layout, vacuum chamber, major subsystems including source, optics and performance specifications are given. Key Words: EUV lithography, Microsteppers 1. INTRODUCTION Using a reduced image field size at a similar optical resolution to that eventually intended to be adopted in production, the semiconductor industry uses Microsteppers to gain early learning on new technology nodes four to five years ahead of their introduction. Microsteppers allow manufacturers and researchers alike to develop and qualify new photoresists well ahead of when they are required for volume IC manufacturing. For example, processing an average of 50 wafers and 5-15 resist formulations a day since June 2000, the 157nm, 0.85NA, 15x reduction, 0.7mm field diameter Exitech MS- 157 Microstepper at the Resist Test Center of International SEMATECH (ISMT) has been a workhorse for the industry in qualifying 157nm F 2 laser lithography for manufacturing to the 45nm node. Microsteppers also allow researchers to investigate defect printability, test new reticle designs and fabricate prototype IC’s at the node of interest as well as providing early learning on tool-related technology challenges associated with for example sources, optics, lens aberrations, imaging effects, materials, metrology, reticles, pellicles, photoresists, contamination, tool cost of ownership, reliability and lifetime. Figure 1. MS-13 EUV Microstepper architecture. This paper describes key features of the Exitech MS-13 Microstepper, the world's first commercial high-resolution EUV exposure tool. The tool whose architecture is shown in Figure 1, is developed for EUV resist testing, technology evaluation and early learning at the 32nm node and beyond. Operating in a step-and-repeat exposure mode, the tool is Reticle a ac cc ce es s s s W Wa af f e er r s s t t a ag ge e a ac cc ce es ss s EUV source Xe discharge Z-pinch 3 35 5W W/ / 2 2 Main tool exposure chamber Wafer track Turbo molecular pump 3 3, , 0 00 00 0l l / / s s e ec c Wafer load robot & w wa af f e er r p pr re ea al l i i g gn ne er r

Upload: others

Post on 07-Aug-2020

1 views

Category:

Documents


1 download

TRANSCRIPT

Page 1: High-resolution EUV Microstepper tool for resist testing & … · 2006-11-03 · capable of printing x5 de-magnified high-resolution features from 6" EUV reflective reticles onto

High-resolution EUV Microstepper tool for resist testing & technology evaluation

A Brunton, J Cashmore, P Elbourn, G Elliner, M Gower, P Grünewald, M Harman, S Hough, N McEntee, S Mundair, D Rees, P Richards, V Truffert, I Wallhead, M Whitfield

Exitech Ltd, Oxford Industrial Park, Yarnton, Oxford OX5 1QU, England

ABSTRACT

Key features are presented of the Exitech MS-13 EUV Microstepper tool developed for EUV resist testing & technology evaluation at the 32nm node and beyond. Details of the tool design architecture, module layout, vacuum chamber, major subsystems including source, optics and performance specifications are given. Key Words: EUV lithography, Microsteppers

1. INTRODUCTION Using a reduced image field size at a similar optical resolution to that eventually intended to be adopted in production, the semiconductor industry uses Microsteppers to gain early learning on new technology nodes four to five years ahead of their introduction. Microsteppers allow manufacturers and researchers alike to develop and qualify new photoresists well ahead of when they are required for volume IC manufacturing. For example, processing an average of 50 wafers and 5-15 resist formulations a day since June 2000, the 157nm, 0.85NA, 15x reduction, 0.7mm field diameter Exitech MS-157 Microstepper at the Resist Test Center of International SEMATECH (ISMT) has been a workhorse for the industry in qualifying 157nm F2 laser lithography for manufacturing to the 45nm node. Microsteppers also allow researchers to investigate defect printability, test new reticle designs and fabricate prototype IC’s at the node of interest as well as providing early learning on tool-related technology challenges associated with for example sources, optics, lens aberrations, imaging effects, materials, metrology, reticles, pellicles, photoresists, contamination, tool cost of ownership, reliability and lifetime.

Figure 1. MS-13 EUV Microstepper architecture. This paper describes key features of the Exitech MS-13 Microstepper, the world's first commercial high-resolution EUV exposure tool. The tool whose architecture is shown in Figure 1, is developed for EUV resist testing, technology evaluation and early learning at the 32nm node and beyond. Operating in a step-and-repeat exposure mode, the tool is

RReettiicclleeaacccceessss

WWaaffeerr ssttaaggee aacccceessss

EEUUVV ssoouurrcceeXXee ddiisscchhaarrggee ZZ--ppiinncchh

3355WW//22ππ

MMaaiinn ttooooll eexxppoossuurree cchhaammbbeerr

WWaaffeerr ttrraacckk

TTuurrbboo mmoolleeccuullaarr ppuummpp33,,000000ll//sseecc

WWaaffeerr llooaadd rroobboott &&wwaaffeerr pprreeaalliiggnneerr

Page 2: High-resolution EUV Microstepper tool for resist testing & … · 2006-11-03 · capable of printing x5 de-magnified high-resolution features from 6" EUV reflective reticles onto

capable of printing x5 de-magnified high-resolution features from 6" EUV reflective reticles onto 200 or 300mm diameter wafers over an image field die size of 0.6x0.2mm. Exposures times for a 10mJ/cm2 sensitivity photoresist are around 0.25sec/die. Details of the tool design architecture, module layouts, high vacuum chamber, major subsystems including performance specifications are presented below.

2. EUV TOOL CONCEPTS When imaging the same feature sizes with EUV or DUV photons the greater than tenfold reduction of EUV wavelength allows an equivalent reduction to be made to the mask imaging numerical aperture (NA) which in turn leads to almost an equivalent increase in the depth of focus (DOF) of the image. Making incremental increases to imaging NA provides EUV lithography (EUVL) with the resolution capability of covering many nodes beyond 32nm. Between 1997–2002 EUVL was given a tremendous technology push by developments made by the EUV Limited Liability Company (EUV LLC) - a consortium formed by IC manufacturers AMD, IBM, Infineon, Intel, Micron and Motorola. By building relatively low numerical aperture (0.1NA), x10 microstepper and x4 full-field scanner systems (the Engineering Test Stand) and providing access to their exposure capabilities, work carried out by the EUV LLC at the Virtual National Laboratory (Lawrence Livermore, Sandia and Lawrence Berkeley National Laboratories) did much to establish the credibility of EUVL. The EUV LLC also provided valuable early learning in areas such as EUV source development, optics and coating fabrication, high accuracy interferometry and tool related issues such as contamination, EUV reticle and wafer handling. In developing the MS-13 Exitech licensed the intellectual property and the more than 100 related patents owned by the EUV LLC. Since all solids, liquids and gases are highly opaque to EUV radiation at 13.5nm, the source, beam delivery, imaging objective, reticle, wafer handling and stages in the tool must operate in a vacuum environment. Reflective rather than refractive optics must be used for optical beam transport, shaping and imaging. Normal incidence mirrors can be fabricated using phase-additive reflections from up to 100 layers of silicon and molybdenum (magnetron, ion or electron beam deposited) each only 3nm or about 15 atoms thick. Reticles themselves must be reflective with circuit features now defined by patterning a thin absorbing layer on top of the reflective multilayers. To replace the vacuum chucking methods used in more traditional atmospheric pressure DUV steppers, new techniques for wafer and reticle stage clamping must be developed compatible with an overall vacuum environment. Because EUV radiation is very aggressive at cracking most molecular species the products of which can contaminate and erode sensitive optical coatings, any hydrocarbons or water vapour in the vacuum chamber must be minimized. This requirement necessitates the tool chamber to have the cleanliness of an ultra-high vacuum (UHV) system. Since all high-power EUV sources are currently based on plasmas (laser-produced or gas discharge pinches), the potential for further optics contamination can also arise from the inherent heavy particle and charged ion debris such sources produce. Source debris must be contained by trap arrangements and kept well away from the imaging section of the tool. This is particularly difficult since a EUV source must be engineered to be integral to the tool body rather than being remote from it like an excimer laser source is with a DUV stepper. With most of their input energy converted to waste heat, incoherent EUV plasma-based sources are much less efficient generators of radiation than DUV excimer lasers. Efficient thermal management of excess heat from the source in both its radiative and conductive forms as well as effective damping of any source mechanical vibration are required if the ultimate imaging performance of the tool is to be achieved. Unlike DUV tools operating at atmospheric pressure, the high vacuum chambers required for EUV stepper operation make subsystems inaccessible for simple maintenance and servicing. A high degree of subsystem automation of appropriate vacuum, radiation, position and motion control together with their diagnostics are required to maintain tool functionality and serviceability. Compared to 248nm, 193nm and 157nm DUV exposure tools, the EUV spectral region at 13.5nm wavelength presents many complex challenges to the exposure tool builder which require entirely new engineering concepts be developed.

2. EUV SOURCE The Xe gas discharge Z-pinch plasma illumination source used in the MS-13 is shown in Fig. 2(a) and is manufactured by Xtreme Technologies GmbH. Its electrode structure is shown in Fig 2(b). At 1kHz repetition rate, approximately 3kW of radiation at all wavelengths is emitted by the source into 2π steradians of which 35W is contained within 2% bandwidth of the EUV wavelength at 13.5nm. Bursts of pulses with a duty cycle typically between 2-10% are used for resist exposures. The pulse-to-pulse stability of the EUV radiation from the source as measured at the reticle plane in the

Page 3: High-resolution EUV Microstepper tool for resist testing & … · 2006-11-03 · capable of printing x5 de-magnified high-resolution features from 6" EUV reflective reticles onto

MS-13 is shown in Fig 2(c). As can be seen, after relaying to the reticle by the collection and relay optics in the tool the 3x standard deviation (3σ) of the EUV pulse intensity is 18.0%.

(a) (c)

(b)

(a) (c) Figure 2. (a) EUV Z-pinch Xe gas plasma source (b) electrode structure of pinch (c) Pulse-to-pulse EUV power measured for 10,000

pulses at the MS-13 reticle plane. Rep rate = 1kHz, 2% duty cycle; Bursts of 250 pulses. 3σ deviation: 18.0%

3. EUV OPTICS 3.1 EUV imaging objective High-resolution imaging in the MS-13 Microstepper is accomplished using a 0.3NA, x5, 600x200µm field size objective of a two-mirror aspheric design developed by the EUV LLC under a contract from International SEMATECH. The objective and other elements of the EUV optical train are manufactured by Carl Zeiss SMT. The lens and its key parameters are shown in Figure 3.

Wavelength 13.54nm . Fit to 6° CRA reticles @ 13.5nm Numerical aperture 0.3 Form 2 mirror aspheric design Field size at wafer 0.6 x 0.2mm Demagnification 5x Mag anisotropy 10-3 due to reticle tilt Track length Wavefront error - design - manufactured

≤ 0.031 rms (0.42nm) <1.0nm rms

Straylight < 10% including DUV Minimum CD 30nm dense lines & spaces Usable DOF 80nm for 30nm isolated lines

150nm for 50nm dense lines CD uniformity < 5nm TIR H-V bias < 3nm Linearity < 12% TFPD < 120nm Astigmatism < 50nm worse point Outgassing rates < 10-5 mbar l /sec; < 10-7 mbar l /sec C-H

Figure 3. The EUV MET objective 3.2 EUV collection and illumination system As shown by the concept in Figure 4, EUV light collection from the source and uniform illumination of the reticle and lens entrance pupil is accomplished using a quasi-critical illumination scheme incorporating a four-shell nested Wolter-

Test Data for Specification 8.1Source Power Measurement (at Q2 quad dose sensor)

(sigma 0.36 - 0.55)

0

0.001

0.002

0.003

0.004

0.005

0.006

0 2000 4000 6000 8000 10000

Pulse Count

Dos

e(m

J/cm

2 )

3σσσσ = 18.0%

Page 4: High-resolution EUV Microstepper tool for resist testing & … · 2006-11-03 · capable of printing x5 de-magnified high-resolution features from 6" EUV reflective reticles onto

type collector. A magnified defocused image of the source illuminates the reticle field plane while a de-magnified image of the output plane of the collector shells provides annular illumination of an intermediate pupil plane at which partial coherence apertures can be positioned. A de-magnified image of this pupil plane is then relayed into the entrance pupil of the objective. This design allows relatively simple high reflectivity mirrors (two spherical normal incidence and two plane folding) to be used within the beam delivery system and provides easy access to both pupil and field stop positions.

Source

Collector2-Lens Condensor

Fieldplane Entrance

PupilFieldstop

Aperture stop positions

ReticleSource

Collector2-Lens Condensor

Fieldplane Entrance

PupilFieldstop

Aperture stop positions

Reticle

Figure 4. Quasi-critical EUV illumination scheme used in MS-13. Courtesy of Carl Zeiss SMT.

The four nested reflective collector shells which collect radiation over a solid angle of 0.55sr from the source are shown in Figure 5(a). The outer 3 shells are used for reticle illumination while the innermost is used to provide a secondary monitor of the EUV power for dose control and source diagnostic purposes. As shown in Figure 4(b), a water-cooled lamella structure mounted on the front of the collector shells is used to contain migration of particulate debris caused by electrode erosion from the plasma source.

(a) (b) Figure 5. (a) 4-shell nested Wolter collector. Front view. (b) Debris mitigation device mounted on collector

NNoorrmmaall iinncciiddeenncceemmiirrrroorr

SSoouurrcceeMMoodduullee 11

GGaatteevvaallvvee

RReettiiccllee

WWaaffeerr

DDeebbrriiss ttuubbeeMMEETT lleennss

FFiieelldd ssttoopp

CCoolllleeccttoorr

MMoodduullee 22

MMoodduullee 33

Page 5: High-resolution EUV Microstepper tool for resist testing & … · 2006-11-03 · capable of printing x5 de-magnified high-resolution features from 6" EUV reflective reticles onto

The EUV illumination at the pupil plane taken with an off-axis CCD camera viewing the fluorescence from a scintillator screen is shown in Figure 6(a). The EUV intensity distribution at this plane, which is a de-magnified image of the collector exit plane, consists of three uniformly illuminated concentric rings that are further relayed into the entrance pupil of the centrally obscured objective. The picture in Fig 6(a) shows the shadowing caused by the spider mounting structure of the collector rings, the source debris mitigation lamella structure as well as obscuration by the deflection mirror used to pick off light in the inner ring used for source power monitoring. By inserting apertures at the pupil plane using the motorized wheel assembly shown in Fig 6(b), the partial coherence of the tool illumination can be varied. Five of the six positional settings on the wheel can be used to provide annular, quadrupole or dipole illumination with inner and outer coherence factors ranging between 0.36 and 0.55. An EUV scintillator screen used for monitoring the pupil plane intensity distribution is located at the sixth wheel position.

(a) (b) Figure 6. (a) EUV intensity distribution at the pupil plane. Apparent slight ring ellipticity is caused by viewing with an off-axis

camera. (b) Pupil wheel structure used to control illumination partial coherence factor. A thin silicon membrane 'spectral purity filter' (SPF) positioned at the intermediate field stop is used to absorb out-of-band visible and deep-uv radiation emitted by the source. As well as filtering the EUV radiation the SPF also serves to isolate the source vacuum chamber and its operating conditions from the main tool exposure vacuum chamber. In addition it isolates the exposure chamber and the optics contained therein from heavy and charged particle debris produced by the source.

(a) (b) Figure 7. (a) Silicon membrane SPF window strip (b) burst SPF's caused by excessive radiation-induced thermal loading.

As shown in Figure 7(a), for ease of replacement these membranes are built into a motorized moveable window strip. Early experiments showed that if the thickness and material of the SPF are not commensurate with the thermal loading caused by absorption of out-of-band radiation from the source, the membrane windows can easily burst - see Fig 7(b).

Page 6: High-resolution EUV Microstepper tool for resist testing & … · 2006-11-03 · capable of printing x5 de-magnified high-resolution features from 6" EUV reflective reticles onto

4. TOOL SUBSYSTEMS 4.1 Tool body and platform To achieve low mechanical vibrations of the reticle-lens-wafer assembly during exposures, both active and passive vibration control systems are integrated with the tool chamber and subsystems. The exposure chamber, source and wafer loader are mounted on a common massive polymer concrete base. The exposure chamber is mounted on its own polymer concrete plinth that has a low Q, high stiffness and damping. Designed for low stage forces and short settle times the exposure chamber itself is also very stiff. Relatively 'quiet' magnetic-levitation turbo-molecular pumps are used for establishing and maintaining the high vacuum in the chamber.

4.2 Reticle-objective-wafer mounting assembly

Figure 8. Tool core used for lens, reticle and wafer stage mounting

As shown in Figure 8, a stiff invar conic shell structure isolated by three active vibration isolation mounts from the vacuum chamber, is used as a common core structure for mounting the imaging objective, the wafer and reticle stages. The active isolator mounts use air-spring and linear forcers to achieve an attenuation of -30dB at 10Hz. Detailed modelling by finite element analysis was used to engineer the structural modes and dynamics of this 'tool core' to provide a high resonant frequency (175Hz) structure with low displacements, high stiffness, light weight and very low thermal expansion properties. Its high gas conductance allows for efficient pumping and easy access to mounted subsystems. 4.3 Wafer and reticle stages Coarse and fine motion control of the reticle and wafer positions is achieved using two stacked sets of piezo-electric driven stage assemblies. The vacuum-compatible wafer stages in Figure 9 use multiple driver blocks each containing 8 piezo-ceramic ‘finger walkers’ to achieve 450 x 200mm of travel and 20nm positional resolution. Fine 6-axis control with 2nm resolution over a 100µm range of the wafer and reticle motion is made using piezo-driven flexure stages mounted on top of these stages. Stage vibrations in the static exposure position were measured to be ≤ 3nm

.Figure 9. Coarse and fine stage assemblies for wafer motion

WWaaffeerr SSttaaggee

MMEETT lleennss

CCoolllleeccttoorr

AAccttiivvee vviibbrraattiioonniissoollaattiioonn mmoouunntt EExxppoossuurree

cchhaammbbeerr pplliinntthh

MMaaiinn yy--ssttaaggee

MMaaiinn xx--ssttaaggee

PPiieezzoo--fflleexxuurreessuubbssttaaggee

CChhuucckk

Page 7: High-resolution EUV Microstepper tool for resist testing & … · 2006-11-03 · capable of printing x5 de-magnified high-resolution features from 6" EUV reflective reticles onto

4.4 Wafer and reticle chucks Because most designs of vacuum chucks are incompatible with operation in a vacuum environment, electrostatic chucks of a bipolar design are used to hold the wafers and reticles in the MS-13. By using advanced sensor electronics to monitor the proximity of the substrate to the surface, the grip voltage is optimized and substrate release can be facilitated in a few seconds using residual charge canceling methods. Over 40mm these ULE light-weighted chucks shown in Figure 10 had surface flatnesses of 250nm. Pin elevators were used to lift wafers from the chuck.

(a) (b) Figure 10. (a) Electrostatic 6" reticle chuck. (b) FEA optimized light-weighted ULE chuck mounting structure.

4.5 Wafer and reticle loading As shown in Figure 11, 200mm or 300mm wafers are loaded from a wafer track into and out of the MS-13 using a vacuum cluster tool robot. For enabling tool testing without the use of a track an additional load lock was developed to allow separate manual loading of wafers. An in-vacuum wafer pre-aligner orientates wafers prior to loading into the load lock of the exposure chamber.

Figure 11. Wafer loading robot

Because of the reduced image field size of the objective, the tool is designed to be used with reticles containing up to a 5 x 3 array of subfield patterns which can be moved on precision stages into the exposure field. Coupled to its primary use as a tool for EUV resist testing, there is thus very little requirement for frequent reticle changes by users. Hence early in the design of the MS-13 it was decided to implement manual reticle loading. The arm for loading reticles into the vacuum load lock, then on into the tool chamber for releasing onto the electrostatic chuck is shown in Figure 12.

MS 13

WWaaffeerrpprree--aalliiggnneerr

Track load lock

MMaannuuaall llooaadd lloocckkMMaaiinn ttrraannssffeerr

vvaaccuuuumm cchhaammbbeerr

Page 8: High-resolution EUV Microstepper tool for resist testing & … · 2006-11-03 · capable of printing x5 de-magnified high-resolution features from 6" EUV reflective reticles onto

(a) (b) Figure 12. (a) Reticle loader arm with EUV reticle (b) loader arm interface to vacuum load lock and exposure chamber

4.6 EUV radiation monitoring Specially developed EUV radiation-hard silicon photodiodes with a thin deposited layer of zirconium to act as a spectral filter were used for monitoring the EUV beam intensity at key positions throughout the tool. As shown in Figure 13(a), for aligning the source and collector a quadrant diode sensor was used at the intermediate focus position.

(a) (b) Figure 13. Quadrant sensors at (a) at intermediate focus for aligning source & collector, (b) at reticle for dose monitoring.

Illumination uniformity at the reticle is measured using a scanning diode with a pinhole-restricted aperture. As shown in Fig 13(b), another quadrant sensor situated immediately below the reticle monitoring overspill EUV radiation immediately outside the object field is used for primary dose control. A further diode located near the pupil plane monitoring the EUV light collected by the inner shell of the collector is used for secondary dose control and source diagnostics. Detectors are cross-calibrated for exposure dose settings at the wafer level using another diode mounted at the edge of the wafer chuck. 4.7 Vacuum system & control Wherever possible ultra high vacuum (UHV) practices were adopted in the design and assembly of the tool in order to minimize the risk of optics contamination by EUV induced cracking of background hydrocarbons and water vapor. The tool is designed to operate at a base pressure of < 10-6mbar with partial pressures of hydrocarbons < 10-9mbar. Extensive outgassing tests were carried out on all subassemblies integrated inside the chamber. TransCalc (BOC-Edwards), VacTran, StarD and Monte Carlo software codes were used to carry out extensive modelling of all aspects of vacuum system during its design.

RReettiiccllee QQuuaaddrraanntt sseennssoorrss

Page 9: High-resolution EUV Microstepper tool for resist testing & … · 2006-11-03 · capable of printing x5 de-magnified high-resolution features from 6" EUV reflective reticles onto

Figure 14. Vacuum layout of the tool and touch screen vacuum system control

To contain any potential optics contamination arising from e.g. resist and cable insulation outgassing products, as shown in the layout in Figure 14 the main exposure chamber is divided by baffles into three zones - reticle, imaging objective and wafer, each of which is differential pumped with a 3,000l/sec turbo-molecular pump backed by a scroll pump. An additional three 1,300l/sec turbo pumps are used to pump the source gases (Xe and Ar buffer) from the source vacuum chamber which is physically isolated from the exposure chamber by the spectral purity filter. The vacuum system controller for the tool was manufactured by BOC-Edwards. Full automation, interfacing and sequencing control of gauges, pumps and valves was provided by a touch screen interface. For simplicity of manufacture, ease of assembly and access, the main exposure chamber itself was constructed in 4 sections. During its design, finite element analysis was carried out addressing issues such as modal response, stiffness, safety and pump-down distortion.

4.8 Tool control Tool control is uses a specially-developed man-machine interface based on Visual Basic software. Touch screens and keyboards operate the tool and provide diagnostics of all relevant process information. The processing parameters, including the exposure dose at each site and wafer location are selected by the operator using exposure editor GUI's. Basic software routines are provided for exposure tests such as step and repeat, focal position and exposure dose matrix scans, raster scans, etc. The GUI allows dose and focal scans to be easily set up with all information stored in separate data bases for later reference. The screen allows exposure sites to be 'drag and dropped' and show the most relevant process parameters alongside a graphical display of sites on the wafer. A variety of analysis tools in other GUI’s provide for monitoring of the tool performance and environmental effects.

(a) (b) Figure 15. (a) Tool control console (b) Exposure software editor

Page 10: High-resolution EUV Microstepper tool for resist testing & … · 2006-11-03 · capable of printing x5 de-magnified high-resolution features from 6" EUV reflective reticles onto

4.9 Tool enclosure The environmental chamber enclosing the tool and controlling its temperature stability to ± 0.1oC is shown in Figure 16. Removable stainless steel panels allow easy access to subassemblies.

Figure 16. MS-13 enclosure and environmental chamber

4.10 Tool assembly The MS-13 is assembled in a Class 1000 cleanroom. All parts inside the tool chamber were passed through a cleaning track comprising a combination of chemical, ultrasonic and thermal heat treatment cleaning procedures.

(a) (b) Figure 17. (a) Mid and top sections of exposure chamber (b) Installation of surrogate lens into exposure chamber

A surrogate MET lens was fabricated identical to the real imaging objective apart from the actuators not being installed and the mirror blanks not having any aspheric departure. This surrogate shown being loaded into the tool in Fig 17(b) was used to ensure good mechanical fit of the lens inside the tool and to enable separate opto-electro-mechanical subsystem testing to be performed without the risk of contaminating the real imaging objective.

5. TOOL SUBSYSTEM TESTING 5.1 Vacuum conditions The complete chamber integrated to the source, subsystem assemblies and diagnostics is shown in Figure 18(a).

WWaaffeerr ttrraacckkOOppeerraattoorr''ss

ccoonnssoollee

SSoouurrccee

Page 11: High-resolution EUV Microstepper tool for resist testing & … · 2006-11-03 · capable of printing x5 de-magnified high-resolution features from 6" EUV reflective reticles onto

(a) (b) Figure 18. (a) Assembled MS-13 chamber and source. (b) Residual gas analyzer spectrum of atomic masses >44amu after ~2 weeks

of pumping fully populated exposure chamber Although the risk of optics contamination and the composition of other subsystem assemblies do not allow the populated chamber to be baked, after only a few days pumping the chamber reached a pressure of 3 x 10-7mbar. After ~ 2 weeks pumping, as shown by the residual gas analyzer spectrum in Figure 18(b) the individual hydrocarbon partial pressures of masses > 44amu was < 10-10torr - further proof of the cleanliness and vacuum tightness of the chamber. 5.2 Autofocus repeatability The repeatability and error of the wafer autofocus system was measured by moving the wafer through focus in 50nm increments over a range of 0.7µm and measuring the difference between the wafer height set using the z-axis wafer stage encoder and the value taken from the silicon position-sensitive-detector used to detect the height of the wafer surface. A typical data set is shown in Figure 19. As can be seen, with a 3σ deviation of only 15.6nm this autofocus repeatability error is very small.

-20

-15

-10

-5

0

5

10

15

20

-0.4 -0.3 -0.2 -0.1 0 0.1 0.2 0.3 0.4

Wafer height (µm)

Focu

ser

ror

(nm

)

Figure 19. Measurements of the wafer autofocus repeatability error 5.3 Illumination Uniformity A high degree of illumination uniformity at the reticle and wafer planes is crucial for achieving the ultimate imaging performance of exposure tools. The time-averaged uniformity of the EUV radiation illuminating the reticle in the MS-13 is measured by scanning a EUV sensitive photodiode across the illumination area with a 200µm diameter pinhole obscuring its area of detection. In the quasi-critical illumination scheme the illumination at the reticle is a magnified image of the source emission. As can be seen by the isometric plot of the intensity distribution in Figure 20, over the restricted 1 x 3mm object field of the objective the uniformity is very good and for the case shown is < ±2.7%.

3σ deviation = 15.6nm

Page 12: High-resolution EUV Microstepper tool for resist testing & … · 2006-11-03 · capable of printing x5 de-magnified high-resolution features from 6" EUV reflective reticles onto

5.4 Exposure dose control Accurate setting, control and reproducibility of the exposure dose are critical features for any photolithography exposure tool. Primary dose detection in the MS-13 is provided by silicon diode quadrant detectors that monitor EUV radiation overspill outside the object field at the reticle. Dose control at the exposure site is then provided by measuring the integrated dose accumulated on a pulse-by-pulse basis. Firing of the source is automatically commanded to stop when the dose set is achieved. For doses at the reticle in the range 0.1-10mJ/cm2 corresponding to doses of approximately 1-100mJ/cm2 at the wafer, Figure 21 shows the error between the set dose and the dose delivered. As can be seen this error is very small. For the data shown the 3σ deviation is only 0.57%. As expected, larger errors are encountered at lower doses when fewer pulses are used for the integration - in this case below 1mJ/cm2.

Figure 21. Measurements of the error between exposure dose set and delivered.

6. SUMMARY This paper describes the key features of the Exitech MS-13 Microstepper, the world's first commercial high-resolution EUV exposure tool, developed for EUV resist testing & technology evaluation at the 32nm node and beyond. With robotic transfer of 200mm or 300mm wafers from a track through a vacuum load lock into and out of the tool chamber, the tool is capable of exposing 3 wafers an hour. The limited field size allows 15 different mask patterns on a single 6" EUV reticle to be accurately positioned in the exposure location. Wafer vibration, positioning resolution and autofocus repeatability tolerances prior to and during exposure have been measured to be in the nanometer range. EUV exposure dose control and illumination uniformity were measured to have excellent performance (< 0.2% and < ±2.7% respectively) and are consistent with production requirements for this technology. Thus far, the tool has operated for approximately 10M pulses of the source without any major problems being experienced. In particular, no changes to the performance of the EUV collector and illumination optics have been apparent. First high-resolution imaging and installation of an early MS-13 tool at the EUV Resist Test Center of International SEMATECH North in Albany, NY are scheduled for the second quarter of 2004. With its relatively large NA, the MS-13 Microstepper will help further the establishment of EUVL as a viable technology for the future manufacturing of IC’s.

0.0%

0.5%

1.0%

1.5%

2.0%

0.1 1 10

Exposure Dose (mJ/cm 2)

Dos

eer

ror(

%)

<250 pulses/exposure

500Hz; 10% duty cycle σinner = 0.36; σouter = 0.55

3σ deviation = 0.57%

250 pulses/exposure

Figure 20. EUV intensity distribution measured at the reticle object field. 3 x 3mm field with 10 x 10 sites, 200µm pinhole, 500 pulses/site (2 bursts of 250 pulses); 500Hz, 10% duty cycle.

Uniformity: < ±2.7%