extreme scale design automation€¦ · challenges and opportunities for 2025 and beyond r. iris...

24
EXTREME SCALE DESIGN AUTOMATION CCC/SIGDA Workshop Series Alex K. Jones University of Pittsburgh Special Session Presentation SiG da special interest group on design automation

Upload: others

Post on 20-Jul-2020

8 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: EXTREME SCALE DESIGN AUTOMATION€¦ · Challenges and Opportunities for 2025 and Beyond R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and

EXTREME SCALE DESIGN AUTOMATION CCC/SIGDA Workshop Series

Alex K. Jones

University of Pittsburgh

Special Session Presentation

SiGdaspecial interest group on

design automation

Page 2: EXTREME SCALE DESIGN AUTOMATION€¦ · Challenges and Opportunities for 2025 and Beyond R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and

Distribution A – Approved for Public Release; Distribution Unlimited

Classic Moore’s Law: Made New Designs Possible, Old Ones Lucrative

Silicon Process Technology

Intel386™ DX

Processor

Intel486™ DX

Processor

Pentium® Processor

Pentium® II, III

Processors

Pentium® 4

1.5µ 1.0µ 0.8µ 0.6µ 0.35µ 0.25µ 0.18µ

Time

30 engineers, ½ yr

500+ engineers, 5 years 5

Source:    Bob  Colwell  Intel,  DARPA  

Page 3: EXTREME SCALE DESIGN AUTOMATION€¦ · Challenges and Opportunities for 2025 and Beyond R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and

SURVEY: WILL MOORE’S LAW END?

Source:  EE  Times  Survey  March  2014  

•  Traditional (Dennard) scaling ended 10 years ago (sub 80nm)

•  Industry roadmap will continue to find patches to silicon (5nm) –  Seems the cost scaling

proposition may have ended

EDA  is  sDll  chasing  a    mul$-­‐dimensional  moving  target  

SiGdaspecial interest group on

design automation

Page 4: EXTREME SCALE DESIGN AUTOMATION€¦ · Challenges and Opportunities for 2025 and Beyond R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and

EXTREME SCALE SILICON ROADMAP

EUV Saves Costs versus Double Patterning

450mm Increases Throughput to Save Cost

2023?  

DELAYED  FD-­‐SOI?  

All  around  gate  transistors?  

Will  scale  to  10nm?    7nm?    5nm?  

What  is  clear?  EDA  will  become  increasingly  important    

at  the  Extreme  Scale  

SiGdaspecial interest group on

design automation

Page 5: EXTREME SCALE DESIGN AUTOMATION€¦ · Challenges and Opportunities for 2025 and Beyond R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and

CCC/SIGDA WORKSHOPS ON EXTREME SCALE DESIGN AUTOMATION

Series of three workshops:

•  Workshop 1: Emerging Technologies and Workforce Continuity –  March 7-8, 2013 Pittsburgh

•  Workshop 2: Extreme Scale Chips and Industry Research –  June 2-3, 2013 Austin (Collocated with DAC)

•  Workshop 3: Achieving Sustainable Collaborations Through Abstractions, Methodologies, and Benchmarks –  February 20-21, 2014 Tampa

The  purpose  of  this  workshop  series  was  to  take  an  introspec8ve  look  at  the  EDA  field  while  crystalizing  

a  vision  for  both  the  near  and  long  term.  

SiGdaspecial interest group on

design automation

Page 6: EXTREME SCALE DESIGN AUTOMATION€¦ · Challenges and Opportunities for 2025 and Beyond R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and

WHERE SHOULD EDA INVEST?

Major Focus Areas

•  Extreme-scale Electronic Design Automation (ESDA) –  First Big Data Discipline, 1015 devices –  Focus on System-level Design and Verification

•  Emerging Technologies (Post-CMOS/Hybrid) –  Develop Full System Flows –  Technology choices: more than ad hoc demonstrations

•  New Markets (DAoT) –  Near term: CPS/IoT, Cyber-secure systems (hardware) –  Medium term: Biology/Medical Technology

•  Cross Cutting –  Abstractions,

Metrics, Benchmarks

–  Synergy with Computer Architecture

–  Education and Workforce

SiGdaspecial interest group on

design automation

Page 7: EXTREME SCALE DESIGN AUTOMATION€¦ · Challenges and Opportunities for 2025 and Beyond R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and

EXTREME SCALE DESIGN AUTOMATION Continuing Onward: Next-Generation Electronic Systems

SiGdaspecial interest group on

design automation

Page 8: EXTREME SCALE DESIGN AUTOMATION€¦ · Challenges and Opportunities for 2025 and Beyond R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and

ESDA IS AN EXCITING TIME FOR EDA

EDA’s Objectives “at a glance” ITRS Productivity Curve

Figure 1: The design productivity gap [6]

198

119

85

198

9

1993

199

720

01

200

5

200

9

2013

2017

2021

2025

2029 Time

Technology Capabilities2x/36 months

HW Design ProductivityFilling with IP and Memory

HW Design Productivity

HW Design Gap

LogGates/Chip

Gates/Day

Figure 3: Key aspects of modern research and development in EDA.

Level of Abstraction

Quality (of optimization) of result = QOR; PPAY= power, performance, area and yield

Paradigm domain-specific, stochastic and approximate computing, etcApplication

Time-scale of the research lifecycle in years How  are  we  doing?  

EDA  Improvement  PotenDal  

This  uncertain,  and  exci8ng  environment  is  reminiscent  of  the  beginnings  of  the  EDA  era  prior  to  the  stability  provided  by  Dennard  

scaling.    

SiGdaspecial interest group on

design automation

Uncertainty  of  the  current  environment  is  alleviated  by  the  large  global  demand  for  IC  

products  

Page 9: EXTREME SCALE DESIGN AUTOMATION€¦ · Challenges and Opportunities for 2025 and Beyond R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and

Figure 1: The design productivity gap [6]

1981

1985

1989

1993

1997

2001

2005

2009

2013

2017

2021

2025

2029 Time

Technology Capabilities2x/36 months

HW Design ProductivityFilling with IP and Memory

HW Design Productivity

HW Design Gap

LogGates/Chip

Gates/Day

WHAT ABOUT “LEGACY” TECHNOLOGY NODES?

130nm design starts dominate the market

•  Barriers –  “Good enough solutions” –  Fear new methods break tools

•  Unintended side effects •  Push button flows still achievable

–  Inadequate investment

•  130nm designs are popular –  Reduces upfront cost –  Increases yield

Closing  the  produc$vity  gap  at  130nm  could  approximate  

current  technology  capabili$es  

An  EDA  advancement  demonstrated  in  a  tool  that  finds  superior  solu8ons  is  par8cularly  valuable  to  effec8vely  u8lize  legacy  technology  nodes.    SiGdaspecial interest group on

design automation

Page 10: EXTREME SCALE DESIGN AUTOMATION€¦ · Challenges and Opportunities for 2025 and Beyond R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and

HYBRID POST-CMOS ELECTRONICS A Changing Landscape: New Technologies for Integrated Circuits

SiGdaspecial interest group on

design automation

Page 11: EXTREME SCALE DESIGN AUTOMATION€¦ · Challenges and Opportunities for 2025 and Beyond R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and

ROLE OF EMERGING TECHNOLOGIES

Replace Si-CMOS? •  There are many emerging

technologies –  Most are niche –  Likely hybrid solutions

•  Challenges –  Integration –  Stochastic behavior –  Models and

abstractions –  Full system

demonstrations

11

processed in order to evaluate and understand low-level (e.g., atomistic) behavior and to explore all reachable system states is huge. There may need to be better statistical methods for simulations involving big data.

Flexible Models: There will be a need for more flexible, modular, and/or extendable tools that can easily incorporate different behavior from various emerging technologies. A building-block approach, where each block is easily modifiable may be particularly advantageous.

High-level Abstractions: The benefits of high-level prototyping, estimation, synthesis, and verification can only be unlocked with appropriate high-level design and EDA abstractions. Some emerging technologies are particularly dependent on new abstractions and models–from logic to system level.

Physical Layout: New devices and circuit styles typically require rethinking traditional notions of physical layout, synthesis, extraction, and verification.

3.2 New Design Processes for New Technologies

Focusing on high-level analysis may require rethinking how systems are specified, designed, and implemented. If we take a current system that has been optimally designed using traditional silicon technology, and then simply replace each silicon component with an emerging-technology-equivalent, it may not yield any significant benefits (and may even be worse). This may not be surprising, since design decisions at the highest level are often the result of constraints dictated at the technology level. Instead, if we have the capacity to accomplish design exploration at the highest level first, unconstrained by technology, we may end up with a completely new way of computing that could allow us to better exploit the best properties of new technologies. Only then will it become apparent what kind of design automation tools would be most beneficial for these new technologies. We need new models that give designers the flexibility to change the high-level structure.

TECHNOLOGY PROPOSED USEOptical interconnect, optical devices High-performance, high-bandwidth communications

Terahertz (RF) circuitsAutomotive radar, security, high-bandwidth wireless communcation

Microelectromechanical systems (MEMS) and Nanoelectromechanical (NEMS)

Mechanical filter/switches, wideband antennas, gyroscopres, energy harvesting, data storage, sensors

Spintronics/multiferroicsModeling synapse, physical brain/biomimetric behavior

Flexible electronicsWearable computing, body tracking, glucose monitoring

Qbit technologies Quantum computing/annealing/optimization

Phase-change memories (including memristors) DNA memory (having long retention)

Microfludicis Lab-on-a-chip, cooling

Steep slope devices Ultra low-power computing

Superconductors Ultra low-power computing, ultra high performance

Carbon-based electronicsUltra low-power computing, high performance, monolithic 3D ICs

Figure 4: Emerging technologies for the EDA community.

EDA  should  not  determine  which  new  technologies  to  pursue.      

EDA  should  address  new  technology  specific  challenges  for  EDA  flows.    

SiGdaspecial interest group on

design automation

Page 12: EXTREME SCALE DESIGN AUTOMATION€¦ · Challenges and Opportunities for 2025 and Beyond R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and

THE HYPE CYCLE OF INNOVATION

Expectations

time

InnovationTrigger

Expectations

First-generationproducts, high price, lots of customization needed

time

InnovationTrigger

Expectations

Mass mediaHype begins

First-generationproducts, high price, lots of customization needed

time

InnovationTrigger

Expectations

Mass mediaHype begins

First-generationproducts, high price, lots of customization needed

time

InnovationTrigger

Peak of InflatedExpectations

Expectations

Negative press beginsMass media

Hype begins

First-generationproducts, high price, lots of customization needed

time

InnovationTrigger

Peak of InflatedExpectations

Expectations

Negative press beginsMass media

Hype begins

First-generationproducts, high price, lots of customization needed

time

InnovationTrigger

Peak of InflatedExpectations

Trough ofDisillusionment

Expectations

Negative press beginsMass media

Hype begins

First-generationproducts, high price, lots of customization needed

Second-generationproducts, some services

time

InnovationTrigger

Peak of InflatedExpectations

Trough ofDisillusionment

Expectations

Negative press beginsMass media

Hype begins

First-generationproducts, high price, lots of customization needed

Second-generationproducts, some services

time

InnovationTrigger

Peak of InflatedExpectations

Trough ofDisillusionment Slope of Enlightenment

Expectations

Negative press beginsMass media

Hype begins

First-generationproducts, high price, lots of customization needed

Methodologies and best practices developing

Second-generationproducts, some services

time

InnovationTrigger

Peak of InflatedExpectations

Trough ofDisillusionment Slope of Enlightenment

Expectations

Negative press beginsMass media

Hype begins

First-generationproducts, high price, lots of customization needed

Methodologies and best practices developing

Third-generationproducts, out of thebox solutions, product suitesSecond-generation

products, some services

time

InnovationTrigger

Peak of InflatedExpectations

Trough ofDisillusionment Slope of Enlightenment

Expectations

Negative press beginsMass media

Hype begins

First-generationproducts, high price, lots of customization needed

Methodologies and best practices developing

Third-generationproducts, out of thebox solutions, product suitesSecond-generation

products, some services

time

InnovationTrigger

Peak of InflatedExpectations

Trough ofDisillusionment Slope of Enlightenment

Plateau ofProductivity

Expectations

Negative press beginsMass media

Hype begins

First-generationproducts, high price, lots of customization needed

Methodologies and best practices developing

High-growth adoptionphase starts: 20 to 30percent adoption

Third-generationproducts, out of thebox solutions, product suitesSecond-generation

products, some services

time

InnovationTrigger

Peak of InflatedExpectations

Trough ofDisillusionment Slope of Enlightenment

Plateau ofProductivity

Source:  Gartner  

Generalized Pattern of Research and Commercialization From Conception to Productive Use

EDA  Minimizes  the    Trough  of  Disillusionment  

EDA  Maximizes  the    Slope  of  Enlightenment  

EDA  Can  Lead  to  Concrete  Evalua$on    of  New  

Technologies    

NSF  NEB  Program–EDA  should  be  included  

SiGdaspecial interest group on

design automation

Page 13: EXTREME SCALE DESIGN AUTOMATION€¦ · Challenges and Opportunities for 2025 and Beyond R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and

THE DESIGN AUTOMATION OF THINGS Looking Forward: New Markets and Applications

SiGdaspecial interest group on

design automation

Page 14: EXTREME SCALE DESIGN AUTOMATION€¦ · Challenges and Opportunities for 2025 and Beyond R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and

THE POWER OF DESIGN AUTOMATION

Success of Electronic DA •  Facilitated unprecedented

exponential advancement of Si/CMOS

•  EDA separates –  Design –  Construction –  Optimization

•  Clear abstraction & predictive models of low-level behavior allows: –  High level analysis –  Optimization –  Verification

DA Techniques Beneficial to Areas •  Require analysis before

construction •  Lack appropriate abstractions •  Rely on both optimization and

analysis to meet specs •  Can make low cost alternatives to

existing high cost products •  Need efficient assessment of

outcomes prior to construction

Biological  and  Medical  Technologies  

Cyber-­‐physical  Systems  

Cyber-­‐secure  Systems  

SiGdaspecial interest group on

design automation

Page 15: EXTREME SCALE DESIGN AUTOMATION€¦ · Challenges and Opportunities for 2025 and Beyond R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and

NEW MARKETS WHERE DESIGN AUTOMATION CAN BE TRANSFERRED

Identified Potential

CPS/loT

Automotive Energy

Robotics

Medical Technology

High Barrier

DFS/Verification

Emerging

DF Wearables

Low Barrier

Identified Potential

High Barrier

Emerging

Low Barrier

Identified Potential

CPS/loT

High Barrier

Emerging

Low Barrier

Identified Potential

CPS/loT

Automotive

High Barrier

Emerging

Low Barrier

Identified Potential

CPS/loT

Automotive Energy

High Barrier

Emerging

Low Barrier

Identified Potential

CPS/loT

Automotive Energy

Robotics

High Barrier

Emerging

Low Barrier

Identified Potential

CPS/loT

Automotive Energy

Robotics

High Barrier

Emerging

DF Wearables

Low Barrier

Identified Potential

CPS/loT

Automotive Energy

Robotics

High Barrier

DFS/Verification

Emerging

DF Wearables

Low Barrier

SiGdaspecial interest group on

design automation

NSF  CPS–  EDA  iden8fied    but  missing  

NSF  STARSS–  EDA  also  missing  

NSF  EFRI–  BioDA  poten8al  

topic  

Page 16: EXTREME SCALE DESIGN AUTOMATION€¦ · Challenges and Opportunities for 2025 and Beyond R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and

CROSS CUTTING CHALLENGES Abstractions, Metrics, and Benchmarks

Education and Workforce Synergies with Computer Architecture

SiGdaspecial interest group on

design automation

Page 17: EXTREME SCALE DESIGN AUTOMATION€¦ · Challenges and Opportunities for 2025 and Beyond R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and

SiGdaspecial interest group on

design automation

EDUCATING IN EDA “EDA?    But  I  want  to  save  the  planet!”  

“EDA?    But  I  want  to  do  something  cool!”  

•  Students  want  to  impact  society  

•  EDA  is  not  perceived  to  “change  the  world”  •  EDA  is  TWO  levels  of  

indirecDon  away  from  “cool”  

“EDA?    That’s  too  hard!    I  have  to  learn  physics  and  algorithms  and  

stuff!”  

“What  do  you  mean,  build  the  tools  to  make  the  chips  that  enable  the  

smartphone?    Can’t  I  just  write  apps  for  Google?”  

Industry  University  Partnerships!    

Ac8vity  creates  excitement  

Page 18: EXTREME SCALE DESIGN AUTOMATION€¦ · Challenges and Opportunities for 2025 and Beyond R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and

CURRICULUM AND STRATEGY

•  I  teach  the  same  old  courses  the  same  way,  it  works!  

•  Students  can’t  learn  this  stuff  before  they  know  “the  basics”  

•  Students  will  see  the  importance  of  MY  course  

•  We  should  call  this  course  what  it  is:  “formal  methods  of  verificaDon”  X  BeZer  marke8ng  to  

students  

Make  EDA  fun  (e.g.,  Crowdsourcing)  

Cri8cal  Mass  &  MOOCs  

SiGdaspecial interest group on

design automation

Page 19: EXTREME SCALE DESIGN AUTOMATION€¦ · Challenges and Opportunities for 2025 and Beyond R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and

CONCLUSIONS

SiGdaspecial interest group on

design automation

Page 20: EXTREME SCALE DESIGN AUTOMATION€¦ · Challenges and Opportunities for 2025 and Beyond R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and

DIMENSIONS OF FUTURE EDA ACTIVITIES

Electronics: Hybrid CMOS with Emerging

Technologies

New Markets:Cyber-physical, Cyber-secure, and Bio-medical Technologies

Traditional EDA Tool-kitImmediate Need: EDA for

scaled CMOS + product ready tech

Immediate Need: EDA applied to near fields – automotive,

robotics, and energy

EDA Approaches on Big Data

Transformative: Big data research–system level design and verification

Transformative: EDA big-data methodologies applied to far

fields – synthetic bio, systems bio, medical devices

Electronics: Hybrid CMOS with Emerging

Technologies

Electronics: Hybrid CMOS with Emerging

Technologies

New Markets:Cyber-physical, Cyber-secure, and Bio-medical Technologies

Electronics: Hybrid CMOS with Emerging

Technologies

New Markets:Cyber-physical, Cyber-secure, and Bio-medical Technologies

Traditional EDA Tool-kit

Electronics: Hybrid CMOS with Emerging

Technologies

New Markets:Cyber-physical, Cyber-secure, and Bio-medical Technologies

Traditional EDA Tool-kitImmediate Need: EDA for

scaled CMOS + product ready tech

Electronics: Hybrid CMOS with Emerging

Technologies

New Markets:Cyber-physical, Cyber-secure, and Bio-medical Technologies

Traditional EDA Tool-kitImmediate Need: EDA for

scaled CMOS + product ready tech

Immediate Need: EDA applied to near fields – automotive,

robotics, and energy

Electronics: Hybrid CMOS with Emerging

Technologies

New Markets:Cyber-physical, Cyber-secure, and Bio-medical Technologies

Traditional EDA Tool-kitImmediate Need: EDA for

scaled CMOS + product ready tech

Immediate Need: EDA applied to near fields – automotive,

robotics, and energy

EDA Approaches on Big Data

Electronics: Hybrid CMOS with Emerging

Technologies

New Markets:Cyber-physical, Cyber-secure, and Bio-medical Technologies

Traditional EDA Tool-kitImmediate Need: EDA for

scaled CMOS + product ready tech

Immediate Need: EDA applied to near fields – automotive,

robotics, and energy

EDA Approaches on Big Data

Transformative: Big data research–system level design and verification

SiGdaspecial interest group on

design automation

Page 21: EXTREME SCALE DESIGN AUTOMATION€¦ · Challenges and Opportunities for 2025 and Beyond R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and

ORGANIZERS

Alex  Jones  –  Pim  Iris  Bahar  -­‐  Brown   Srinivas  Katkoori  -­‐  USF  

Patrick  Madden  –  Binghamton   Diana  Marculescu  -­‐  CMU   Igor  Markov  -­‐  Michigan  

SiGdaspecial interest group on

design automation

Page 22: EXTREME SCALE DESIGN AUTOMATION€¦ · Challenges and Opportunities for 2025 and Beyond R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and

PARTICIPANTS AND CONTRIBUTORS R. Iris Bahar, Sankar Basu, Sanjukta Bhanja, Randy Bryant, Paul Bunyk, Krish Chakrabarty, Yiran Chen, Derek Chiou, Bob Colwell, Andre DeHon, Sujit Dey, Alex Doboli, Nik Dutt, Dale Edwards, Jim Faeder, Richard Goering, Patrick Groeneveld, Ian Harris, Mark Johnson, Alex Jones, Bill Joyner, Ramesh Karri, Srinivas Katkoori, Selcuk Kose, Steve Levitan, Hai Li, Xin Li, Patrick Madden, Diana Marculescu, Radu Marculescu, Igor L. Markov, Pinaki Mazumder, Mac McNamara, Noel Menezes, Prabhat Mishra, Natasa Miskov-Zivanov, Kartik Mohanram, Vijay Narayanan, Sani Nassif, John Nestor, David Pan, Mandy Pant, Sudeep Pasricha, Rob Rutenbar, Sachin Sapatnekar, Lou Scheffer, Carl Sechen, Don Thomas, Josep Torrellas, Jacob White, Mehmet C. Yildiz.

SiGdaspecial interest group on

design automation

Page 23: EXTREME SCALE DESIGN AUTOMATION€¦ · Challenges and Opportunities for 2025 and Beyond R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and

FINAL REPORT AVAILABLE

Workshops on Extreme Scale Design Automation (ESDA) Challenges and Opportunities for 2025 and Beyond

R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and Igor L. Markov

AbstractIntegrated circuits and electronic systems, as well as design technologies, are evolving at a great rate—both quantitatively and qualitatively. Major developments include new interconnects and switching devices with atomic-scale uncertainty, the depth and scale of on-chip integration, electronic system-level integration, the increasing significance of software, as well as more effective means of design entry, compilation, algorithmic optimization, numerical simulation, pre- and post-silicon design validation, and chip test. Application targets and key markets are also shifting substantially from desktop CPUs to mobile platforms to an Internet-of-Things infrastructure. In light of these changes in electronic design contexts and given EDA’s significant dependence on such context, the EDA community must adapt to these changes and focus on the opportunities for research and commercial success. The CCC workshop series on Extreme-Scale Design Automation, organized with the support of ACM SIGDA, studied challenges faced by the EDA community as well as new and exciting opportunities currently available. This document represents a summary of the findings from these meetings.

Workshop ParticipantsR. Iris Bahar, Sankar Basu, Sanjukta Bhanja, Randy Bryant, Paul Bunyk, Krish Chakrabarty, Yiran Chen, Derek Chiou, Bob Colwell, Andre DeHon, Sujit Dey, Alex Doboli, Nik Dutt, Dale Edwards, Jim Faeder, Richard Goering, Patrick Groeneveld, Ian Harris, Mark Johnson, Alex Jones, Bill Joyner, Ramesh Karri, Srinivas Katkoori, Selcuk Kose, Steve Levitan, Hai Li, Xin Li, Patrick Madden, Diana Marculescu, Radu Marculescu, Igor L. Markov, Pinaki Mazumder, Mac McNamara, Noel Menezes, Prabhat Mishra, Natasa Miskov-Zivanov, Kartik Mohanram, Vijaykrishnan Narayanan, Sani Nassif, John Nestor, David Pan, Mandy Pant, Sudeep Pasricha, Rob Rutenbar, Sachin Sapatnekar, Lou Scheffer, Carl Sechen, Don Thomas, Josep Torrellas, Jacob White, Mehmet C. Yildiz, Hao Zheng.

WORKSHOPS ON EXTREME SCALE DESIGN AUTOMATION (ESDA) CHALLENGES AND OPPORTUNITIES FOR 2025 AND BEYOND

SiGdaspecial interest group on

design automation

Workshops on Extreme Scale Design Automation (ESDA) Challenges and Opportunities for 2025 and Beyond

R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and Igor L. Markov

AbstractIntegrated circuits and electronic systems, as well as design technologies, are evolving at a great rate—both quantitatively and qualitatively. Major developments include new interconnects and switching devices with atomic-scale uncertainty, the depth and scale of on-chip integration, electronic system-level integration, the increasing significance of software, as well as more effective means of design entry, compilation, algorithmic optimization, numerical simulation, pre- and post-silicon design validation, and chip test. Application targets and key markets are also shifting substantially from desktop CPUs to mobile platforms to an Internet-of-Things infrastructure. In light of these changes in electronic design contexts and given EDA’s significant dependence on such context, the EDA community must adapt to these changes and focus on the opportunities for research and commercial success. The CCC workshop series on Extreme-Scale Design Automation, organized with the support of ACM SIGDA, studied challenges faced by the EDA community as well as new and exciting opportunities currently available. This document represents a summary of the findings from these meetings.

Workshop ParticipantsR. Iris Bahar, Sankar Basu, Sanjukta Bhanja, Randy Bryant, Paul Bunyk, Krish Chakrabarty, Yiran Chen, Derek Chiou, Bob Colwell, Andre DeHon, Sujit Dey, Alex Doboli, Nik Dutt, Dale Edwards, Jim Faeder, Richard Goering, Patrick Groeneveld, Ian Harris, Mark Johnson, Alex Jones, Bill Joyner, Ramesh Karri, Srinivas Katkoori, Selcuk Kose, Steve Levitan, Hai Li, Xin Li, Patrick Madden, Diana Marculescu, Radu Marculescu, Igor L. Markov, Pinaki Mazumder, Mac McNamara, Noel Menezes, Prabhat Mishra, Natasa Miskov-Zivanov, Kartik Mohanram, Vijaykrishnan Narayanan, Sani Nassif, John Nestor, David Pan, Mandy Pant, Sudeep Pasricha, Rob Rutenbar, Sachin Sapatnekar, Lou Scheffer, Carl Sechen, Don Thomas, Josep Torrellas, Jacob White, Mehmet C. Yildiz, Hao Zheng.

WORKSHOPS ON EXTREME SCALE DESIGN AUTOMATION (ESDA) CHALLENGES AND OPPORTUNITIES FOR 2025 AND BEYOND

For  more  informaDon  hZp://www.cra.org/ccc/visioning/visioning-­‐ac8vi8es/esda  

Page 24: EXTREME SCALE DESIGN AUTOMATION€¦ · Challenges and Opportunities for 2025 and Beyond R. Iris Bahar, Alex K. Jones, Srinivas Katkoori, Patrick H. Madden, Diana Marculescu, and

THANK YOU! Alex K. Jones

University of Pittsburgh [email protected]

SiGdaspecial interest group on

design automation