emerging research materials and processeschm.pse.umass.edu/nmsworkshop/protected/herrslides.pdf3.3...

23
February 11, 2008 Recipient of the 2005 National Medal of Technology Emerging Research Materials and Processes Center For Hierarchical Manufacturing - National Nanomanufacturing Network: Nanomanufacturing Systems Workshop Daniel J.C. Herr, Director Nanomanufacturing Sciences [email protected] 919-941-9431 www.src.org

Upload: others

Post on 25-May-2020

3 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Emerging Research Materials and Processeschm.pse.umass.edu/NMSworkshop/protected/HerrSlides.pdf3.3 Breakthrough Methods; e.g. In-situ 3D Imaging of Atomic and Nanoscale Materials 3.4

February 11, 2008

Recipient of the 2005 National Medal of Technology

Emerging Research Materials and Processes

Center For Hierarchical Manufacturing - National Nanomanufacturing Network: Nanomanufacturing Systems Workshop

Daniel J.C. Herr, DirectorNanomanufacturing [email protected]

Page 2: Emerging Research Materials and Processeschm.pse.umass.edu/NMSworkshop/protected/HerrSlides.pdf3.3 Breakthrough Methods; e.g. In-situ 3D Imaging of Atomic and Nanoscale Materials 3.4

2

Background and motivation

Nanomanufacturing research prioritiesOverview Selected strategic research opportunities

Key messages

Overview

Page 3: Emerging Research Materials and Processeschm.pse.umass.edu/NMSworkshop/protected/HerrSlides.pdf3.3 Breakthrough Methods; e.g. In-situ 3D Imaging of Atomic and Nanoscale Materials 3.4

3

Functional Diversification

Adding value through functional scaling on CMOS:Dimensional scaling + integration of functional materials

12/2006 ITRS Meeting, Taiwan

Goals: Sense, Power, Process, Interact, Empower

Page 4: Emerging Research Materials and Processeschm.pse.umass.edu/NMSworkshop/protected/HerrSlides.pdf3.3 Breakthrough Methods; e.g. In-situ 3D Imaging of Atomic and Nanoscale Materials 3.4

4

Rising Cost of Wafer Fabs Vs. GNPs

Page 5: Emerging Research Materials and Processeschm.pse.umass.edu/NMSworkshop/protected/HerrSlides.pdf3.3 Breakthrough Methods; e.g. In-situ 3D Imaging of Atomic and Nanoscale Materials 3.4

5

Difficult Material Scaling Challenges

Line-edge Roughness

Long-Range Dimensional Control and Repeatability

Pattern Fidelity

Resolution: Catalytic Blurring

What Designers See What Inspectors See

Page 6: Emerging Research Materials and Processeschm.pse.umass.edu/NMSworkshop/protected/HerrSlides.pdf3.3 Breakthrough Methods; e.g. In-situ 3D Imaging of Atomic and Nanoscale Materials 3.4

6

Nanomanufacturing Research Priorities

Patterning Nanoengineered/Emerging Materials1.1 Directed Self-Assembly1.2 Nanoimprint Patterning 1.3 Post NGL Patterning1.4 Manufacturing for Design1.5 NGL Extensibility/Limits1.6 Low-Volume Patterning

2.1 ITRS Identified Emerging Research Materials2.2 Functional Diversification and Heterogeneous Integration on CMOS2.3 Low-Temperature Materials and Processes2.4 Materials by Design2.5 Deterministic Fabrication

Environment, Safety, Health Nanocharacterization/Metrology/Modeling

4.1 Water and Energy4.2 Design for ESH4.3 Additive/Wasteless Processes4.4 ESH Impact of New and Nanomaterials for CMOS4.5 Sustainable Chemical Substitution4.6 Hierarchical Assessment

3.1 Limits of Known Characterization Methods3.2 Nanoscale Defects, Visual, and Non-Visual3.3 Breakthrough Methods; e.g. In-situ 3D Imaging of Atomic and Nanoscale Materials3.4 Metrology for MFD and DFM3.5 Measuring Coupled Nanoscale Phenomena3.6 Nanoscale Probe-Sample Measurement Uncertainty

Page 7: Emerging Research Materials and Processeschm.pse.umass.edu/NMSworkshop/protected/HerrSlides.pdf3.3 Breakthrough Methods; e.g. In-situ 3D Imaging of Atomic and Nanoscale Materials 3.4

7

Directed self-assembly:Can it extend nanomanufacturing?

2012 ITRS Emerging Research Material Requirements: Self Assembling Materials

Metric Requirements

Defects <0.02 20 nm defects cm-2 [Develop a basic understanding of material and process defect related mechanisms; Develop

strategies to achieve projected ITRS requirements]

Low Frequency LER ~2.1 nm 3 σ

Gate CD Control ~1.7 nm 3 σ

Resolution 11 nm

Essential shapes Dense and isolated L/S, circles, hexagonal arrays

Overlay and registration 5.1-7.1 nm 3 σ

Mean Throughput 1 W/Min[Via single wafer or batch processing]

Etch and pattern transfer Satisfy projected ITRS requirements for patterning electronically useful features

Placement and orientation 20% of the critical dimension

Multiple Sizes-Pitches/Layer 2-3/layer

Ease of integration Compatible with CMOS processing

Overall Performance Competitive with chemically amplified resist processing

Other ESH impact requirements? Functional diversification applications?

Addressed by SRC’s Research Team

Page 8: Emerging Research Materials and Processeschm.pse.umass.edu/NMSworkshop/protected/HerrSlides.pdf3.3 Breakthrough Methods; e.g. In-situ 3D Imaging of Atomic and Nanoscale Materials 3.4

8

Essential Features for Integrated Circuits

Page 9: Emerging Research Materials and Processeschm.pse.umass.edu/NMSworkshop/protected/HerrSlides.pdf3.3 Breakthrough Methods; e.g. In-situ 3D Imaging of Atomic and Nanoscale Materials 3.4

9

Comparison of Subtractive VersusBio-assisted Self-Assembly/Patterning

EUV Lithographic Patterning

[Subtractive Patterning 32 nm]

Growth of a Baby

[Bio-Assisted Self-Assembly]

Assisted Assembly

Advantage

Bits patterned per second

~ 8.59E+09 bits/s/masking

layer

7.53E+17amino acid

equivalents/s

1.29E-20J/amino acid

equivalent[4.57 KTLn(2)]

~ 9E+07

Energy required per

bit

> 1.46E-12J/bit/masking

layer> 1E+8

Consider leveraging natural processes

Page 10: Emerging Research Materials and Processeschm.pse.umass.edu/NMSworkshop/protected/HerrSlides.pdf3.3 Breakthrough Methods; e.g. In-situ 3D Imaging of Atomic and Nanoscale Materials 3.4

10

Manufacturing for Design:Technology - Design Interdependencies

Materials

Processes

Devices

Circuits

Systems

Architectures

Applications

Design for Manufacturing Manufacturing for Design

Regular Fabrics Directed Assembly

Application Specific Materials

Global Optimization of: Variability, Performance,

Matching, Centering, Reliability, Cost, &

Sustainability

Circle of Innovation

Page 11: Emerging Research Materials and Processeschm.pse.umass.edu/NMSworkshop/protected/HerrSlides.pdf3.3 Breakthrough Methods; e.g. In-situ 3D Imaging of Atomic and Nanoscale Materials 3.4

11

1.00E+00

1.00E+02

1.00E+04

1.00E+06

1.00E+08

1.00E+10

1.00E+12

1.00E+14

1.00E+16

1.00E+18

1.00E+20

1.00E+22

1.00E+24

1.00E+26

1945 1955 1965 1975 1985 1995 2005 2015 2025 2035 2045 2055

Ato

ms

per B

itNanoengineered Materials: Macromolecular Scale Devices are on the ITRS Horizon

ITRS

Revised 2006 from: D. Herr and V. Zhirnov, Computer, IEEE, pp. 34-43 (2001).

Macromolecular Scale Components:Low dimensional nanomaterialsMacromoleculesDirected self-assemblyComplex metal oxidesHetero-structures and interfacesSpin materialsBenign and sustainable nanomaterials

Macromolecular Scale Devices

Emerging Research Materials

Page 12: Emerging Research Materials and Processeschm.pse.umass.edu/NMSworkshop/protected/HerrSlides.pdf3.3 Breakthrough Methods; e.g. In-situ 3D Imaging of Atomic and Nanoscale Materials 3.4

12

Functional Diversification:Information and Energy Management

Distributed intelligent networks of autonomous systems composed at the nano-level with adaptive

emergent behaviors;

High Altitude Long EnduranceRemotely Operated Aircraft

H2 Fuel Cell Powered,Nanotube Composite Aircraft

Page 13: Emerging Research Materials and Processeschm.pse.umass.edu/NMSworkshop/protected/HerrSlides.pdf3.3 Breakthrough Methods; e.g. In-situ 3D Imaging of Atomic and Nanoscale Materials 3.4

13

Thermal and odor (?) via thermal expansion

Odor (e.g., sex) via protein gating

Vibration and odor via hair arrays and shaft-like mechanical motion

Functional Diversification:Sensing–thermal, chemical, mechanical

Page 14: Emerging Research Materials and Processeschm.pse.umass.edu/NMSworkshop/protected/HerrSlides.pdf3.3 Breakthrough Methods; e.g. In-situ 3D Imaging of Atomic and Nanoscale Materials 3.4

14

Functional Diversification: Prototypical Semiconductor Bioelectronics Roadmap

2007

2xxx

A p p l i c a t i o n

Implantable microsystems

Tablet PC

ClinicalAssistant

2D arrays of pressure sensors with sub10 μm resolution

High-resolution tactile imaging for palpation

R e s e a r c h G o a l

Sub10μm probe electrodes

Bio-FET

Sensing state of individual cell

A two-way interface between neurons and transistors

On-chip integrated energy sources

e.g. artificial eye

Biomimetic material architecure, nanofabrication, and function

Page 15: Emerging Research Materials and Processeschm.pse.umass.edu/NMSworkshop/protected/HerrSlides.pdf3.3 Breakthrough Methods; e.g. In-situ 3D Imaging of Atomic and Nanoscale Materials 3.4

15

Low Temperature Processes: Pure MulticomponentOxides with Peptides as Mineralizing Agents

No firing with catalyzed growth process!No firing with catalyzed growth process!

G. Ahmad, M. B. Dickerson, B. C. Church, Y. Cai, S. E. Jones, R. R. Naik, J. S. King, C. J. Summers, N. Kroger, K. H. Sandhage, Adv. Mater., 18, 1759-1763 (2006).

Degrees 2qDegrees 2q

Rel

ativ

e In

tens

ityR

elat

ive

Inte

nsity

Room-Temperature Fabrication of Complex Ceramics

Page 16: Emerging Research Materials and Processeschm.pse.umass.edu/NMSworkshop/protected/HerrSlides.pdf3.3 Breakthrough Methods; e.g. In-situ 3D Imaging of Atomic and Nanoscale Materials 3.4

16

Low Temperature Processes: Packaging - Thermal Behavior of Metal Nano-particles on Substrates

Au

Ex. Droplet on Demand patterning enables low temperature [130C] Cu sintering and enhanced conductivity.

V. Subramanian and J. Bokor

What is the ESH impact of 5 nm Cu particles?

Page 17: Emerging Research Materials and Processeschm.pse.umass.edu/NMSworkshop/protected/HerrSlides.pdf3.3 Breakthrough Methods; e.g. In-situ 3D Imaging of Atomic and Nanoscale Materials 3.4

17

Nanomaterials by Design

The catalysis rate of ethylene to vinyl acetate is a function ofthe atomic spacing between Pd atoms in the Pd-Au matrix.

M. Chen et. al., The Promotional Effect of Gold in Catalysis by Palladium-Gold, Science, 310 [5746], pp. 291-293 (2005).

What is the correlation between the atomic structure of a surface or catalyst and thin film growth or CNT helicity?

Page 18: Emerging Research Materials and Processeschm.pse.umass.edu/NMSworkshop/protected/HerrSlides.pdf3.3 Breakthrough Methods; e.g. In-situ 3D Imaging of Atomic and Nanoscale Materials 3.4

18

The Structure-Property Challenge: The Need for a Predictive Material by Design Capability

Wang et al., Materials Today, 2002Wang et al., Materials Today, 2002

Example. Example. Zinc oxide Zinc oxide

Why does zinc Why does zinc oxide express oxide express different different shapes and shapes and different different physicophysico--chemical chemical properties,properties,with the same with the same zinc oxide zinc oxide composition?composition?

Page 19: Emerging Research Materials and Processeschm.pse.umass.edu/NMSworkshop/protected/HerrSlides.pdf3.3 Breakthrough Methods; e.g. In-situ 3D Imaging of Atomic and Nanoscale Materials 3.4

19

Deterministic Fabrication:Goal - Reduce device variability

ITRS Trend for the Number of Channel Electrons

Conductance variability reduced from 63% to 13% by controlling dopant numbers and roughly ordered arrays;

Conductance due to implant positional variability within circular implant regions of the ordered array ~ 13%.

1

10

100

1000

10000

2003

2004

2005

2006

2007

2008

2009

2010

2011

2012

2013

2014

2015

2016

2017

2018

2019

2020

No.

of

Ch

ann

el E

lect

ron

s

D. Herr, with data from the 2005 ITRS

~2014

S D

From Shinada et. Al., “Enhancing Semiconductor Device Performance Using Ordered Dopant Arrays”, Nature, 437 (20) 1128-1131 (2005) [Waseda University]

Page 20: Emerging Research Materials and Processeschm.pse.umass.edu/NMSworkshop/protected/HerrSlides.pdf3.3 Breakthrough Methods; e.g. In-situ 3D Imaging of Atomic and Nanoscale Materials 3.4

20

1×1018atoms/cm3

10nm

10nm

10nm

D. Herr, The Potential Impact of Natural Dopant Wavefront (NDW) Roughness On High Frequency Line Edge Roughness Requirements II, Cavin’s Corner: SRC (June 2006) and Future Fab (September 2006).

Deterministic fabrication: Continued

a)STM image of In nanoclusters on Si(111) at an In coverage of ~0.05 monolayers [Ref.: Li, et. Al., Physical Review Letters, 88, 66101 (2002);

b)Simulation of current flow vsdiscrete dopant positions [Ref.: David L. Jaeger, Victor V. Zhirnov, Daniel J. C. Herr, SRC Review of Deterministic Doping Project (2003)].

Page 21: Emerging Research Materials and Processeschm.pse.umass.edu/NMSworkshop/protected/HerrSlides.pdf3.3 Breakthrough Methods; e.g. In-situ 3D Imaging of Atomic and Nanoscale Materials 3.4

21

Key Messages

The convergence of today’s difficult challenges, emerging market drivers, and recent breakthroughs in materials technology represents a rare opportunity for chemists, chemical engineers, materials scientists, and others to develop breakthrough material and process insertion options;

This is a good time for the research and development communities to question some of our basic assumptions.

Must the percent variability, with respect to projected application and architecture requirements, increase with functional density? Do emerging materials and processes exist that could enable new and more favorable cost curves for nanoelectronics fabrication? With respect to functional diversification, is it possible to design custom nanomaterials with electronically useful, application specific functionality?

However, it remains to be seen whether potential material and process solutions are identified and matured in time to impactkey insertion windows.

Page 22: Emerging Research Materials and Processeschm.pse.umass.edu/NMSworkshop/protected/HerrSlides.pdf3.3 Breakthrough Methods; e.g. In-situ 3D Imaging of Atomic and Nanoscale Materials 3.4

22

0

1

2

3

4

5

1870 1880 1890 1900 1910 1920 1930

Transfer of Knowledge

Entrant Co formed

Market production (Established Technology)

T1~ 20years ~12years

T2 T3

Solid State DiodeT1 26 (1874-1900)T2 7 (1900-1907)T3 6 (1907-1913)Learning Period 13 years

Vacuum TubeT1 20 (1884-1904)T2 9 (1904-1913)T3 6 (1913-1919)Learning Period 15 years

TransistorT1 25 (1923-1948)T2 6 (1948-1954)T3 5 (1954-1959)Learning period 11years

Integrated CircuitT1 17 (1942-1959)T2 3 (1959-1961)T3 5(1961-1966)Learning Period 8 years

Human Carrier Sponsor 1st Customer

Example: Solid State Rectifier

Enabling B

ackground exists

Estimates of R&D Pipeline Latency forthe Semiconductor Industry: Time Gaps

Discovery phase ~20 yrs; Innovation phase ~12 yrs.

Prototype built (Disruptive Technology)

V. Zhirnov/SRC

Page 23: Emerging Research Materials and Processeschm.pse.umass.edu/NMSworkshop/protected/HerrSlides.pdf3.3 Breakthrough Methods; e.g. In-situ 3D Imaging of Atomic and Nanoscale Materials 3.4

23

Thank you